JP4457846B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP4457846B2
JP4457846B2 JP2004309154A JP2004309154A JP4457846B2 JP 4457846 B2 JP4457846 B2 JP 4457846B2 JP 2004309154 A JP2004309154 A JP 2004309154A JP 2004309154 A JP2004309154 A JP 2004309154A JP 4457846 B2 JP4457846 B2 JP 4457846B2
Authority
JP
Japan
Prior art keywords
layer
conductive layer
insulating film
fuse element
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004309154A
Other languages
Japanese (ja)
Other versions
JP2005150712A (en
Inventor
昌良 大村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yamaha Corp
Original Assignee
Yamaha Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yamaha Corp filed Critical Yamaha Corp
Priority to JP2004309154A priority Critical patent/JP4457846B2/en
Publication of JP2005150712A publication Critical patent/JP2005150712A/en
Application granted granted Critical
Publication of JP4457846B2 publication Critical patent/JP4457846B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、半導体装置およびその製造方法に係り、特に、少なくとも容量素子とヒューズ素子とを有する半導体装置およびその製造方法に関する。   The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a semiconductor device having at least a capacitor element and a fuse element and a manufacturing method thereof.

半導体基板の一表面に、MOS(金属−酸化膜−半導体)型電界効果トランジスタ(以下、このトランジスタを「MOSFET」と略記する。)等の能動素子や、容量素子、抵抗素子、ヒューズ素子等の受動素子を形成し、これらの素子を配線で繋ぐことにより、所望の回路を備えた半導体装置を得ることができる。   An active element such as a MOS (metal-oxide-semiconductor) type field effect transistor (hereinafter, this transistor is abbreviated as “MOSFET”), a capacitor element, a resistance element, a fuse element, etc. By forming passive elements and connecting these elements with wirings, a semiconductor device having a desired circuit can be obtained.

個々の回路素子は、例えば、半導体基板上に形成した導電膜の上に所定形状のマスクを配置し、このマスクによっては覆われていない領域の導電膜をエッチング除去することによって形成される。ただし、全ての回路素子が単層構造というわけではなく、積層構造の回路素子も種々あるため、多くの工程が必要になる。   Each circuit element is formed, for example, by placing a mask having a predetermined shape on a conductive film formed on a semiconductor substrate, and etching away a conductive film in a region not covered by the mask. However, not all circuit elements have a single layer structure, and there are various types of circuit elements having a laminated structure, so that many steps are required.

種々の回路素子を集積した半導体装置の生産性の向上や製造コストの低減を図るうえからは、その製造に要する工程数を低減させることが望まれる。このため、複数種の回路素子の製造工程を一部同一(共通)化することによって、工程数の低減が図られている。   In order to improve the productivity and reduce the manufacturing cost of a semiconductor device in which various circuit elements are integrated, it is desired to reduce the number of steps required for the manufacturing. For this reason, the number of processes is reduced by making the manufacturing processes of a plurality of types of circuit elements partially the same (common).

例えば、特許文献1には、MOSFET用のゲート電極とヒューズ素子とを1つのパターニング工程で同時に形成した半導体装置が記載されている。     For example, Patent Document 1 describes a semiconductor device in which a gate electrode for MOSFET and a fuse element are simultaneously formed in one patterning process.

特許文献2には、容量素子(キャパシタ)の下部電極、ヒューズ素子および配線を1つの導電層で形成した半導体装置が記載されている。     Patent Document 2 describes a semiconductor device in which a lower electrode, a fuse element, and a wiring of a capacitor element (capacitor) are formed by one conductive layer.

特許文献3には、容量素子(コンデンサ)の上部電極とヒューズ素子とを1つのパターニング工程で同時に形成した自己保護型デカップリング・コンデンサが記載されている。     Patent Document 3 describes a self-protecting decoupling capacitor in which an upper electrode of a capacitive element (capacitor) and a fuse element are formed simultaneously in one patterning process.

特許文献4には、MOSFET用のゲート電極とヒューズ素子とを1つのパターニング工程で同時に形成した半導体集積回路装置が記載されている。     Patent Document 4 describes a semiconductor integrated circuit device in which a gate electrode for MOSFET and a fuse element are simultaneously formed in one patterning process.

特許文献5には、容量素子の上部電極および下部電極と、抵抗素子と、MOSFET用のゲート電極とを1つのパターニング工程で同時に形成した半導体装置が記載されている。ただし、この半導体装置の容量素子の上部電極は2層構造を有し、2層構造の上部電極を得るための前処理として、予めパターニング工程が1回行われる。   Patent Document 5 describes a semiconductor device in which an upper electrode and a lower electrode of a capacitor element, a resistor element, and a gate electrode for a MOSFET are formed simultaneously in one patterning process. However, the upper electrode of the capacitor element of this semiconductor device has a two-layer structure, and a patterning step is performed once in advance as a pretreatment for obtaining an upper electrode having a two-layer structure.

特許文献6には、MOSトランジスタと容量素子とを互いに分離不能に結合させて形成し、この容量素子の上部電極(対向電極)もしくは下部電極と抵抗素子もしくはヒューズ素子とを1つのパターニング工程で同時に形成した半導体装置が記載されている。     In Patent Document 6, a MOS transistor and a capacitor element are formed so as not to be separated from each other, and an upper electrode (counter electrode) or a lower electrode of the capacitor element and a resistor element or a fuse element are simultaneously formed in one patterning process. A formed semiconductor device is described.

特開昭60−261154号公報JP-A-60-261154 特開平2−290078号公報JP-A-2-290078 特開平6−283665号公報JP-A-6-283665 特開平7−130861号公報Japanese Patent Laid-Open No. 7-130861 特開平8−274257号公報JP-A-8-274257 特開平11−195753号公報Japanese Patent Application Laid-Open No. 11-195753

容量素子、MOSFET、およびヒューズ素子は、メモリ回路、電圧値もしくは電流値を調整するためのトリミング回路、回路の一部に欠陥が生じたときでもこの回路を救済して機能を維持させる欠陥救済回路(いわゆる冗長回路)等、種々の回路において併用される。   The capacitor element, the MOSFET, and the fuse element are a memory circuit, a trimming circuit for adjusting a voltage value or a current value, and a defect relief circuit that relieves the circuit and maintains its function even when a defect occurs in a part of the circuit. It is used in various circuits such as (so-called redundant circuit).

容量素子は下部電極、容量絶縁膜、および上部電極を備え、半導体基板を下部電極として利用する場合を除き、その層数は少なくとも3である。一方、MOSFET用のゲート電極やヒューズ素子の層数は、少なくとも1である。   The capacitive element includes a lower electrode, a capacitive insulating film, and an upper electrode, and the number of layers is at least 3 except when the semiconductor substrate is used as the lower electrode. On the other hand, the number of layers of MOSFET gate electrodes and fuse elements is at least one.

少なくとも3層によって構成される容量素子と、少なくとも1層によって構成されるヒューズ素子とを従来の方法によって半導体基板上に形成する場合、未配線の状態の容量素子およびヒューズ素子を得る過程においてだけでも、少なくとも3種類のエッチングマスクを使い分けて所定の層をパターニングすることが必要である。   In the case where a capacitor element constituted by at least three layers and a fuse element constituted by at least one layer are formed on a semiconductor substrate by a conventional method, only in the process of obtaining an unwired capacitor element and fuse element. It is necessary to pattern a predetermined layer using at least three types of etching masks.

半導体装置の製造に使用するエッチングマスクの数を減らすことができれば、工程数を低減させることができる。半導体装置の生産性の向上や製造コストの低減を図ることが容易になる。   If the number of etching masks used for manufacturing a semiconductor device can be reduced, the number of processes can be reduced. It becomes easy to improve the productivity of the semiconductor device and reduce the manufacturing cost.

本発明の目的は、容量素子とMOSFETとヒューズ素子とを備え、切断特性が異なる複数種のヒューズ素子をこれらの線幅を異ならせることなく形成する場合でも少ない工程数で製造することが可能な半導体装置を提供することである。   An object of the present invention is to provide a capacitor element, a MOSFET, and a fuse element, and to manufacture a plurality of types of fuse elements having different cutting characteristics without changing their line widths, with a small number of processes. A semiconductor device is provided.

本発明の目的は、容量素子とMOSFETとヒューズ素子とを備えた半導体装置を、切断特性が異なる複数種のヒューズ素子をこれらの線幅を異ならせることなく形成する場合でも少ない工程数で製造することが可能な半導体装置の製造方法を提供することである。   An object of the present invention is to manufacture a semiconductor device including a capacitor element, a MOSFET, and a fuse element with a small number of steps even when a plurality of types of fuse elements having different cutting characteristics are formed without changing their line widths. It is to provide a method for manufacturing a semiconductor device.

本発明の観点によれば、素子分離絶縁膜およびMOS型電界効果トランジスタ用のゲート絶縁膜が一表面に形成された半導体基板と、前記素子分離絶縁膜上に形成された容量素子であって、下部電極、容量絶縁膜、および上部電極が前記素子分離絶縁膜上にこの順番で積層された層構成を有し、前記上部電極が、前記下部電極と同じ材料によって前記容量絶縁膜上に形成された第1上部電極と、前記第1上部電極とは異なる材料によって該第1上部電極上に配置された第2上部電極とによって構成される容量素子と、前記ゲート絶縁膜上に形成されたゲート電極を有するMOS型電界効果トランジスタであって、前記ゲート電極が、前記下部電極と同じ材料によって形成された第1ゲート電極と、該第1ゲート電極上に前記第2上部電極と同じ材料によって形成された第2ゲート電極とを有し、前記第1ゲート電極の膜厚が前記下部電極の膜厚に等しく、前記第2ゲート電極の膜厚が前記第2上部電極の膜厚に等しいMOS型電界効果トランジスタと、前記半導体基板の一表面上に絶縁膜を介して配置された下地層であって、前記下部電極と同じ材料によって形成された第1下地層と、該第1下地層上に前記容量絶縁膜と同じ材料によって形成された第2下地層とを有し、前記第1下地層の膜厚が前記下部電極の膜厚に等しく、前記第2下地層の膜厚が前記容量絶縁膜の膜厚に等しい下地層と、前記下地層上に形成された第1のヒューズ素子であって、前記第1上部電極と同じ材料によって形成された第1可溶断層と、該第1可溶断層上に前記第2上部電極と同じ材料によって形成された第2可溶断層とを有し、前記第1可溶断層の膜厚が前記第1上部電極の膜厚に等しく、前記第2可溶断層の膜厚が前記第2上部電極の膜厚に等しい第1のヒューズ素子とを備えた半導体装置が提供される。 According to one aspect of the present invention, there is provided a semiconductor substrate having an element isolation insulating film and a gate insulating film for a MOS field effect transistor formed on one surface, and a capacitor element formed on the element isolation insulating film. A lower electrode, a capacitor insulating film, and an upper electrode are stacked in this order on the element isolation insulating film, and the upper electrode is formed on the capacitor insulating film with the same material as the lower electrode. A capacitive element including a first upper electrode formed on the first upper electrode and a second upper electrode disposed on the first upper electrode with a material different from that of the first upper electrode, and the gate insulating film. A MOS field effect transistor having a gate electrode, wherein the gate electrode is formed of the same material as the lower electrode, and the second upper electrode is formed on the first gate electrode. Flip and a second gate electrode formed of a material, the like properly thickness of the first gate electrode within the thickness of the lower electrode, film of the thickness of the second gate electrode and the second upper electrode an equal correct MOS field effect transistor in thickness, said a base layer disposed over the insulating layer on one surface of a semiconductor substrate, a first base layer formed by the same material as the lower electrode, the and a second base layer formed by a same material as the capacitor insulating film on the first base layer, etc. properly the film thickness of the first underlayer is the thickness of the lower electrode, the second base layer and film thickness is equal correct underlayer thickness of the capacitor insulating film, a first fuse element formed on the underlying layer, the first friendly formed by the same material as the first upper electrode A molten fault, and the same material as the second upper electrode on the first soluble fault. And a second friendly fusing layers, the thickness of the first accepted fusing layer is equal properly the film thickness of the first upper electrode, the thickness of the second-friendly fusing layer of the second upper electrode semiconductor device provided with an equal correct first fuse element in thickness is provided.

本発明の更に他の観点によれば、半導体基板の一表面に、少なくとも容量素子、ヒューズ素子、およびMOS型電界効果トランジスタが形成された半導体装置の製造方法であって、一表面上に素子分離絶縁膜および前記MOS型電界効果トランジスタ用のゲート絶縁膜が形成され、前記素子分離絶縁膜および前記ゲート絶縁膜を覆う第1導電層、誘電体層、および前記第1導電層と同じ材質の第2導電層がこの順番で積層された半導体基板を用意する準備工程と、前記誘電体層と前記第2導電層とを1つのエッチングマスクを用いて所定形状にエッチングする第1パターニング工程であって、前記容量素子を形成しようとする領域中の前記誘電体層を該容量素子の容量絶縁膜として残すと共に、前記容量絶縁膜上の前記第2導電層も残し、第1のヒューズ素子を形成しようとする領域中の前記誘電体層は除去する第1パターニング工程と、前記第1導電層、前記誘電体層、および前記第2導電層を覆い、前記第1導電層とは材質が異なる第3導電層を金属もしくは金属シリサイドによって形成する導電層形成工程と、前記誘電体層および前記素子分離絶縁膜をエッチング停止層として利用し、1つのエッチングマスクを用いて前記素子分離絶縁膜上の各層を所定形状にエッチングする第2パターニング工程であって、前記容量絶縁膜上の前記第2導電層を前記容量素子用の第1上部電極に成形すると共に、該第1上部電極上の前記第3導電層を前記容量素子用の第2上部電極に成形し、前記容量絶縁膜下の前記第1導電層を前記容量素子用の下部電極として残し、前記第1のヒューズ素子を形成しようとする領域中の前記第1導電層を該第1のヒューズ素子用の第1可溶断層として残すと共に、該第1可溶断層上の前記第3導電層を前記第1のヒューズ素子用の第2可溶断層として残す第2パターニング工程とを含む半導体装置の製造方法が提供される。   According to still another aspect of the present invention, there is provided a method of manufacturing a semiconductor device in which at least a capacitor element, a fuse element, and a MOS field effect transistor are formed on one surface of a semiconductor substrate. An insulating film and a gate insulating film for the MOS field effect transistor are formed. The first conductive layer, the dielectric layer, and the first conductive layer covering the element isolation insulating film and the gate insulating film are made of the same material. A preparation step of preparing a semiconductor substrate in which two conductive layers are laminated in this order; and a first patterning step of etching the dielectric layer and the second conductive layer into a predetermined shape using a single etching mask. The dielectric layer in the region where the capacitive element is to be formed is left as a capacitive insulating film of the capacitive element, and the second conductive layer on the capacitive insulating film is also left, A first patterning step of removing the dielectric layer in the region where the fuse element is to be formed, covering the first conductive layer, the dielectric layer, and the second conductive layer, and Uses a metal or metal silicide to form a third conductive layer made of a metal or metal silicide, and uses the dielectric layer and the element isolation insulating film as an etching stop layer to isolate the element using a single etching mask. A second patterning step of etching each layer on the insulating film into a predetermined shape, wherein the second conductive layer on the capacitive insulating film is formed into a first upper electrode for the capacitive element, and the first upper electrode is formed The upper third conductive layer is formed into a second upper electrode for the capacitive element, the first conductive layer under the capacitive insulating film is left as the lower electrode for the capacitive element, and the first fuse is formed. The first conductive layer in a region where an element is to be formed is left as a first fusible fault for the first fuse element, and the third conductive layer on the first fusible fault is the first fusible fault. There is provided a method for manufacturing a semiconductor device including a second patterning step that remains as a second soluble fault for a fuse element.

本発明の更に他の観点によれば、半導体基板の一表面に、少なくとも容量素子、ヒューズ素子、およびMOS型電界効果トランジスタが形成された半導体装置の製造方法であって、一表面上に素子分離絶縁膜および前記MOS型電界効果トランジスタ用のゲート絶縁膜が形成され、前記素子分離絶縁膜および前記ゲート絶縁膜を覆う第1導電層、誘電体層、および前記第1導電層と同じ材質の第2導電層がこの順番で積層された半導体基板を用意する準備工程と、前記誘電体層と前記第2導電層とを1つのエッチングマスクを用いて所定形状にエッチングする第1パターニング工程であって、前記容量素子を形成しようとする領域中の前記誘電体層を該容量素子の容量絶縁膜として残すと共に、前記容量絶縁膜上の前記第2導電層も残し、第2のヒューズ素子を形成しようとする領域中の前記誘電体層を残すと共に、該第2のヒューズ素子を形成しようとする領域中の前記第2導電層も残す第1パターニング工程と、前記第1導電層、前記誘電体層、および前記第2導電層を覆い、前記第1導電層とは材質が異なる第3導電層を金属もしくは金属シリサイドによって形成する導電層形成工程と、前記誘電体層および前記素子分離絶縁膜をエッチング停止層として利用し、1つのエッチングマスクを用いて前記素子分離絶縁膜上の各層を所定形状にエッチングする第2パターニング工程であって、前記容量絶縁膜上の前記第2導電層を前記容量素子用の第1上部電極に成形すると共に、該第1上部電極上の前記第3導電層を前記容量素子用の第2上部電極に成形し、前記容量絶縁膜下の前記第1導電層を前記容量素子用の下部電極として残し、前記第2のヒューズ素子を形成しようとする領域中の前記第2導電層を該第2のヒューズ素子用の第1可溶断層に成形すると共に、該第1可溶断層上の前記第3導電層を前記第2のヒューズ素子用の第2可溶断層として残す第2パターニング工程とを含む半導体装置の製造方法が提供される。   According to still another aspect of the present invention, there is provided a method of manufacturing a semiconductor device in which at least a capacitor element, a fuse element, and a MOS field effect transistor are formed on one surface of a semiconductor substrate. An insulating film and a gate insulating film for the MOS field effect transistor are formed. The first conductive layer, the dielectric layer, and the first conductive layer covering the element isolation insulating film and the gate insulating film are made of the same material. A preparation step of preparing a semiconductor substrate in which two conductive layers are laminated in this order; and a first patterning step of etching the dielectric layer and the second conductive layer into a predetermined shape using a single etching mask. The dielectric layer in the region where the capacitive element is to be formed is left as a capacitive insulating film of the capacitive element, and the second conductive layer on the capacitive insulating film is also left, A first patterning step of leaving the dielectric layer in the region where the fuse element is to be formed and also leaving the second conductive layer in the region where the second fuse element is to be formed; A conductive layer forming step of covering a layer, the dielectric layer, and the second conductive layer, and forming a third conductive layer made of a metal or metal silicide, the material being different from the first conductive layer, and the dielectric layer and the A second patterning step of using the element isolation insulating film as an etching stop layer and etching each layer on the element isolation insulating film into a predetermined shape using one etching mask; A conductive layer is formed on the first upper electrode for the capacitive element, and the third conductive layer on the first upper electrode is formed on the second upper electrode for the capacitive element, and is formed under the capacitive insulating film. The first conductive layer is left as a lower electrode for the capacitor element, and the second conductive layer in a region where the second fuse element is to be formed is used as a first fusible fault for the second fuse element. And a second patterning step of forming and leaving the third conductive layer on the first soluble fault as a second soluble fault for the second fuse element.

容量素子の上部電極を第1上部電極とその上の第2上部電極との2層構造とし、MOSFET用のゲート電極およびヒューズ素子を、容量素子の下部電極、第1上部電極および第2上部電極の形成に使用する3つの導電層のうちの2つの層の積層構造とすることにより、これらの素子を少ない工程数で形成することが可能となり、かかる少ない工程数で目的とする半導体装置を製造することが可能になる。   The upper electrode of the capacitive element has a two-layer structure of the first upper electrode and the second upper electrode thereon, and the gate electrode and the fuse element for the MOSFET are the lower electrode, the first upper electrode and the second upper electrode of the capacitive element. By forming a laminated structure of two of the three conductive layers used for forming the semiconductor device, these elements can be formed with a small number of steps, and a target semiconductor device can be manufactured with such a small number of steps. It becomes possible to do.

また、容量素子の下部電極および容量絶縁膜の形成に使用する2つの層を使用して下地層を形成し、容量素子の上部電極の形成に使用する2つの導電層を使用して前記の下地層上にヒューズ素子を形成することもできる。このヒューズ素子を形成することにより、切断特性が異なる複数種のヒューズ素子をこれらの線幅を異ならせることなく、かつ工程数を増加させることなく形成することが可能になる。   In addition, a base layer is formed using two layers used for forming the lower electrode of the capacitive element and the capacitive insulating film, and the lower layer is formed using two conductive layers used for forming the upper electrode of the capacitive element. A fuse element can also be formed on the formation. By forming this fuse element, it is possible to form a plurality of types of fuse elements having different cutting characteristics without changing their line widths and without increasing the number of processes.

なお、本明細書でいう「可溶断層」とは、ヒューズ素子を構成する導電層であって、過電流が流れたときに溶断(切断)される導電層を意味する。   In addition, the “soluble fault” in the present specification means a conductive layer that forms a fuse element and is blown (cut) when an overcurrent flows.

また、本明細書においては、素子分離絶縁膜およびゲート絶縁膜を「半導体基板の一表面に形成された絶縁膜」と総称することがある。   In this specification, the element isolation insulating film and the gate insulating film may be collectively referred to as “an insulating film formed on one surface of a semiconductor substrate”.

本明細書においていう「同じ材料」とは、成膜方法に起因する不可避的な混入物、例えば水素や炭素の含有量の相違を無視し、さらに、不純物半導体を得る際にドナーまたはアクセプタとして利用される元素の含有量の相違を無視したときに、比較対照の複数の材料の組成が互いに同じであることを意味する。   The term “same material” as used in the present specification ignores differences in the contents of inevitable contaminants such as hydrogen and carbon resulting from the film formation method, and further uses it as a donor or acceptor when obtaining an impurity semiconductor. This means that the composition of the plurality of comparative materials is the same as each other when the difference in the content of the element is ignored.

以上説明したように、本発明によれば、容量素子とMOSFETとヒューズ素子とを備えた半導体装置を、切断特性が異なる複数種のヒューズ素子をこれらの線幅を異ならせることなく集積する場合でも、少ない工程数で製造することが可能になる。所望の回路を備えた半導体装置を安価に提供することが容易になる。   As described above, according to the present invention, a semiconductor device including a capacitor element, a MOSFET, and a fuse element can be integrated even when a plurality of types of fuse elements having different cutting characteristics are integrated without changing their line widths. It becomes possible to manufacture with a small number of steps. It becomes easy to provide a semiconductor device including a desired circuit at low cost.

図1は、第1の実施例による半導体装置100の容量素子10、第1ヒューズ素子20、第2ヒューズ素子30、相補型MOSFET40、抵抗素子60、および配線70の平面配置を概略的に示す。   FIG. 1 schematically shows a planar arrangement of a capacitor element 10, a first fuse element 20, a second fuse element 30, a complementary MOSFET 40, a resistance element 60, and a wiring 70 of a semiconductor device 100 according to the first embodiment.

これらの回路素子および配線は、p型半導体基板1の一表面に配置され、その上に層間絶縁膜(図示せず)が形成される。層間絶縁膜の上面に上層配線(図示せず)が設けられる。   These circuit elements and wirings are arranged on one surface of the p-type semiconductor substrate 1, and an interlayer insulating film (not shown) is formed thereon. Upper layer wiring (not shown) is provided on the upper surface of the interlayer insulating film.

容量素子10は、下部電極12と、この下部電極12よりも小形の上部電極16と、これら下部電極12と上部電極16との間に配置された容量絶縁膜(図示せず)とを有する。   The capacitive element 10 includes a lower electrode 12, an upper electrode 16 that is smaller than the lower electrode 12, and a capacitive insulating film (not shown) disposed between the lower electrode 12 and the upper electrode 16.

容量素子10からすこし離れて、第1ヒューズ素子20と第2ヒューズ素子30とが配置される。   The first fuse element 20 and the second fuse element 30 are arranged slightly apart from the capacitive element 10.

第1ヒューズ素子20から少し離れて、相補型MOSFET40が配置される。この相補型MOSFET40は、pチャネルMOSFET42と、nチャネルMOSFET52とを備える。pチャネルMOSFET42のゲート電極47とnチャネルMOSFET52のゲート電極57とは、配線59によって結線される。   A complementary MOSFET 40 is arranged at a distance from the first fuse element 20. The complementary MOSFET 40 includes a p-channel MOSFET 42 and an n-channel MOSFET 52. The gate electrode 47 of the p-channel MOSFET 42 and the gate electrode 57 of the n-channel MOSFET 52 are connected by a wiring 59.

抵抗素子60は単層構造を有し、例えば容量素子10と第2ヒューズ素子30との間に配置される。   The resistance element 60 has a single-layer structure, and is disposed, for example, between the capacitive element 10 and the second fuse element 30.

配線70は2層構造を有し、第1ヒューズ素子20と相補型MOSFET40との間に配置される。   The wiring 70 has a two-layer structure, and is disposed between the first fuse element 20 and the complementary MOSFET 40.

図1においては図示を省略した層間絶縁膜が、容量素子10、第1ヒューズ素子20、第2ヒューズ素子30、pチャネルMOSFET42、nチャネルMOSFET52、抵抗素子60、および配線70を覆う。この層間絶縁膜には、個々の回路素子および配線に1〜複数個ずつコンタクトホールが形成され、その中にコンタクトプラグ(図示せず)が埋め込まれる。図1には、15個のコンタクトホールCH1〜CH15を例示してある。   An interlayer insulating film (not shown in FIG. 1) covers the capacitive element 10, the first fuse element 20, the second fuse element 30, the p-channel MOSFET 42, the n-channel MOSFET 52, the resistance element 60, and the wiring 70. In this interlayer insulating film, one to a plurality of contact holes are formed in each circuit element and wiring, and contact plugs (not shown) are embedded therein. FIG. 1 illustrates 15 contact holes CH1 to CH15.

上述した各回路素子および配線の具体的構造について、以下、図2を参照しつつ詳述する。   The specific structure of each circuit element and wiring described above will be described in detail below with reference to FIG.

図2は、図1に示したII−II線に沿った半導体装置100の断面を概略的に示す。同図には、図1において図示を省略した層間絶縁膜80、層間絶縁膜80上に配置された上層配線91〜97等も示されている。   FIG. 2 schematically shows a cross section of the semiconductor device 100 taken along the line II-II shown in FIG. The figure also shows an interlayer insulating film 80 (not shown in FIG. 1), upper layer wirings 91 to 97 disposed on the interlayer insulating film 80, and the like.

図2に示すように、p型半導体基板1の一表面には、活性領域を画定するようにして、素子分離絶縁膜5が形成される。pチャネルMOSFET42用の活性領域上にはゲート絶縁膜46が形成され、nチャネルMOSFET52用の活性領域上にはゲート絶縁膜56が形成される。これらの素子分離絶縁膜5、ゲート絶縁膜46およびゲート絶縁膜56は、例えばシリコン酸化物によって構成される。   As shown in FIG. 2, an element isolation insulating film 5 is formed on one surface of a p-type semiconductor substrate 1 so as to define an active region. A gate insulating film 46 is formed on the active region for the p-channel MOSFET 42, and a gate insulating film 56 is formed on the active region for the n-channel MOSFET 52. The element isolation insulating film 5, the gate insulating film 46, and the gate insulating film 56 are made of, for example, silicon oxide.

容量素子10は、下部電極12、容量絶縁膜14および上部電極16を有する。下部電極12は、例えばn型ポリシリコンによって素子分離絶縁膜5上に形成され、その上にシリコン酸化物、シリコン窒化物、タンタル酸化物等で構成された誘電体層によって容量絶縁膜14が形成される。この容量絶縁膜14上に上部電極16が配置される。上部電極16は、例えばn型ポリシリコンによって容量絶縁膜14上に形成された第1上部電極16aと、その上に例えば金属または金属シリサイドによって形成された第2上部電極16bとの2層によって構成される。   The capacitive element 10 includes a lower electrode 12, a capacitive insulating film 14, and an upper electrode 16. The lower electrode 12 is formed on the element isolation insulating film 5 by, for example, n-type polysilicon, and a capacitive insulating film 14 is formed thereon by a dielectric layer made of silicon oxide, silicon nitride, tantalum oxide, or the like. Is done. An upper electrode 16 is disposed on the capacitive insulating film 14. The upper electrode 16 is composed of two layers, for example, a first upper electrode 16a formed on the capacitor insulating film 14 by n-type polysilicon and a second upper electrode 16b formed thereon by metal or metal silicide, for example. Is done.

図2には、下部電極12に対応する1つのコンタクトホールCH1と、上部電極16に対応する1つのコンタクトホールCH2とが示されている。これらのコンタクトホールCH1及びCH2には、それぞれ、コンタクトプラグP1及びP2が埋め込まれている。   In FIG. 2, one contact hole CH1 corresponding to the lower electrode 12 and one contact hole CH2 corresponding to the upper electrode 16 are shown. Contact plugs P1 and P2 are embedded in these contact holes CH1 and CH2, respectively.

下部電極12は、コンタクトホールCH1に埋め込まれたコンタクトプラグP1によって、層間絶縁膜80上に形成された上層配線91と導通する。上部電極16は、コンタクトホールCH2に埋め込まれたコンタクトプラグP2によって、層間絶縁膜80上に形成された上層配線92と導通する。   The lower electrode 12 is electrically connected to the upper layer wiring 91 formed on the interlayer insulating film 80 by the contact plug P1 embedded in the contact hole CH1. The upper electrode 16 is electrically connected to the upper layer wiring 92 formed on the interlayer insulating film 80 by the contact plug P2 embedded in the contact hole CH2.

第1ヒューズ素子20は、素子分離絶縁膜5上に形成された第1可溶断層22と、その上に形成された第2可溶断層24との2層構造を有する。第1可溶断層22は下部電極12と同じ材料、例えばn型ポリシリコンによって形成され、その膜厚は下部電極12の膜厚にほぼ等しい。第2可溶断層24は第2上部電極16bと同じ材料、例えば金属または金属シリサイドによって形成され、その膜厚は第2上部電極16bの膜厚にほぼ等しい。   The first fuse element 20 has a two-layer structure of a first soluble fault 22 formed on the element isolation insulating film 5 and a second soluble fault 24 formed thereon. The first soluble fault 22 is formed of the same material as the lower electrode 12, for example, n-type polysilicon, and the film thickness thereof is substantially equal to the film thickness of the lower electrode 12. The second soluble fault 24 is formed of the same material as the second upper electrode 16b, for example, metal or metal silicide, and the film thickness thereof is substantially equal to the film thickness of the second upper electrode 16b.

第2ヒューズ素子30は、特定の下地層上に形成された第1可溶断層32と、その上に形成された第2可溶断層34との2層構造を有する。第1可溶断層32は第1上部電極16aと同じ材料、例えばn型ポリシリコンによって形成され、その膜厚は第1上部電極16aの膜厚にほぼ等しい。第2可溶断層34は第2上部電極16bと同じ材料、例えば金属または金属シリサイドによって形成され、その膜厚は第2上部電極16bの膜厚にほぼ等しい。   The second fuse element 30 has a two-layer structure of a first soluble fault 32 formed on a specific underlying layer and a second soluble fault 34 formed thereon. The first soluble fault 32 is formed of the same material as the first upper electrode 16a, for example, n-type polysilicon, and the film thickness thereof is substantially equal to the film thickness of the first upper electrode 16a. The second soluble fault 34 is formed of the same material as the second upper electrode 16b, for example, metal or metal silicide, and the film thickness thereof is substantially equal to the film thickness of the second upper electrode 16b.

第2ヒューズ素子30の下地層は、下部電極12と同じ材料、例えばn型ポリシリコンによって形成された第1下地層25と、容量絶縁膜14と同じ材料(誘電体)によって形成された第2下地層26との2層構造を有する。第1下地層25の膜厚は下部電極12の膜厚にほぼ等しく、第2下地層26の膜厚は容量絶縁膜14の膜厚にほぼ等しい。   The base layer of the second fuse element 30 is the same material as the lower electrode 12, for example, the first base layer 25 formed of n-type polysilicon, and the second material formed of the same material (dielectric) as the capacitor insulating film 14. It has a two-layer structure with the base layer 26. The film thickness of the first underlayer 25 is substantially equal to the film thickness of the lower electrode 12, and the film thickness of the second underlayer 26 is substantially equal to the film thickness of the capacitive insulating film 14.

相補型MOSFET40を構成するpチャネルMOSFET42は、低濃度ドレイン(LDD)構造を有する。ゲート絶縁膜46上にゲート電極47が配置され、ゲート絶縁膜46下には、nチャネルMOSFET52側から順番に、ドレイン領域43D、低濃度ドレイン領域44a、チャネル領域、低濃度ソース領域44b、およびソース領域43Sが配置される。   The p-channel MOSFET 42 constituting the complementary MOSFET 40 has a low concentration drain (LDD) structure. A gate electrode 47 is disposed on the gate insulating film 46. Under the gate insulating film 46, a drain region 43D, a lightly doped drain region 44a, a channel region, a lightly doped source region 44b, and a source are sequentially arranged from the n-channel MOSFET 52 side. Region 43S is arranged.

ドレイン領域43Dとソース領域43Sとは、それぞれ、ゲート絶縁膜46下に形成されているn型ウェル領域45の所定箇所に形成されたp型不純物添加領域によって構成される。 The drain region 43D and the source region 43S are each constituted by a p + -type impurity doped region formed at a predetermined location of the n-type well region 45 formed under the gate insulating film 46.

低濃度ドレイン領域44aおよび低濃度ソース領域44bは、それぞれ、n型ウェル領域45の所定箇所に形成されたp型不純物添加領域によって構成される。低濃度ドレイン領域44aの接合深さはドレイン領域43Dの接合深さよりも浅く、低濃度ソース領域44bの接合深さはソース領域43Sの接合深さよりも浅い。p型不純物添加領域におけるp型不純物の濃度は、p型不純物添加領域におけるp型不純物の濃度よりも低い
Each of the lightly doped drain region 44 a and the lightly doped source region 44 b is constituted by a p type impurity doped region formed at a predetermined position of the n type well region 45. The junction depth of the lightly doped drain region 44a is shallower than the junction depth of the drain region 43D, and the junction depth of the lightly doped source region 44b is shallower than the junction depth of the source region 43S. The concentration of the p-type impurity in the p type impurity added region is lower than the concentration of the p type impurity in the p + type impurity added region.

チャネル領域は、低濃度ドレイン領域44aと低濃度ソース領域44bとの間に介在するn型ウェル領域45の一領域によって構成される。このチャネル領域の上方にゲート電極47が位置する。   The channel region is constituted by a region of the n-type well region 45 interposed between the low concentration drain region 44a and the low concentration source region 44b. A gate electrode 47 is located above the channel region.

ゲート電極47は、ゲート絶縁膜46上に形成された第1ゲート電極47aと、その上に形成された第2ゲート電極47bとの2層構造を有する。   The gate electrode 47 has a two-layer structure of a first gate electrode 47a formed on the gate insulating film 46 and a second gate electrode 47b formed thereon.

第1ゲート電極47aは下部電極12と同じ材料、例えばn型ポリシリコンによって形成され、その膜厚は下部電極12の膜厚にほぼ等しい。   The first gate electrode 47 a is formed of the same material as the lower electrode 12, for example, n-type polysilicon, and the film thickness thereof is substantially equal to the film thickness of the lower electrode 12.

第2ゲート電極47bは第2上部電極16bと同じ材料、例えば金属または金属シリサイドによって形成され、その膜厚は第2上部電極16bの膜厚にほぼ等しい。
ゲート電極47の側面には、ドレイン領域43Dおよびソース領域43Sを形成するためのイオン注入の際に利用したサイドウォールスペーサSWが残存する。このサイドウォールスペーサSWの下方に、上述した低濃度ドレイン領域44a、低濃度ソース領域44bが位置する。
The second gate electrode 47b is formed of the same material as the second upper electrode 16b, for example, metal or metal silicide, and the film thickness thereof is substantially equal to the film thickness of the second upper electrode 16b.
On the side surface of the gate electrode 47, the sidewall spacer SW used for ion implantation for forming the drain region 43D and the source region 43S remains. The low-concentration drain region 44a and the low-concentration source region 44b described above are located below the sidewall spacer SW.

図2には、ソース領域43Sに対応する1つのコンタクトホールCH7と、ドレイン領域43Dに対応する1つのコンタクトホールCH8とが示されている。これらのコンタクトホールCH7及びCH8には、それぞれ、コンタクトプラグP3及びP4が埋め込まれている。   FIG. 2 shows one contact hole CH7 corresponding to the source region 43S and one contact hole CH8 corresponding to the drain region 43D. Contact plugs P3 and P4 are buried in these contact holes CH7 and CH8, respectively.

ソース領域43Sは、コンタクトホールCH7に埋め込まれたコンタクトプラグP3によって、層間絶縁膜80上に形成された上層配線93と導通する。ドレイン領域43Dは、コンタクトホールCH8に埋め込まれたコンタクトプラグP4によって、層間絶縁膜80上に形成された上層配線94と導通する。   The source region 43S is electrically connected to the upper layer wiring 93 formed on the interlayer insulating film 80 by the contact plug P3 embedded in the contact hole CH7. The drain region 43D is electrically connected to the upper layer wiring 94 formed on the interlayer insulating film 80 by the contact plug P4 buried in the contact hole CH8.

相補型MOSFET40を構成するnチャネルMOSFET52も、pチャネルMOSFET42と同様に、低濃度ドレイン(LDD)構造を有する。ゲート絶縁膜56上にゲート電極57が配置され、ゲート酸化膜56下には、pチャネルMOSFET42側から順番に、ドレイン領域53D、低濃度ドレイン領域54a、チャネル領域、低濃度ソース領域54b、およびソース領域53Sが配置される。   Similarly to the p-channel MOSFET 42, the n-channel MOSFET 52 constituting the complementary MOSFET 40 also has a low concentration drain (LDD) structure. A gate electrode 57 is disposed on the gate insulating film 56. Under the gate oxide film 56, a drain region 53D, a lightly doped drain region 54a, a channel region, a lightly doped source region 54b, and a source are sequentially formed from the p-channel MOSFET 42 side. A region 53S is arranged.

ドレイン領域53Dとソース領域53Sとは、それぞれ、ゲート絶縁膜56下に形成されているp型ウェル領域55の所定箇所に形成されたn型不純物添加領域によって構成される。 The drain region 53D and the source region 53S are each constituted by an n + -type impurity doped region formed at a predetermined position of the p-type well region 55 formed under the gate insulating film 56.

低濃度ドレイン領域54aおよび低濃度ソース領域54bは、それぞれ、p型ウェル領域55の所定箇所に形成されたn型不純物添加領域によって構成される。低濃度ドレイン領域54aの接合深さはドレイン領域53Dの接合深さよりも浅く、低濃度ソース領域54bの接合深さはソース領域53Sの接合深さよりも浅い。n型不純物添加領域におけるn型不純物の濃度は、n型不純物添加領域におけるn型不純物の濃度よりも低い
Each of the lightly doped drain region 54 a and the lightly doped source region 54 b is constituted by an n type impurity added region formed at a predetermined position of the p type well region 55. The junction depth of the lightly doped drain region 54a is shallower than the junction depth of the drain region 53D, and the junction depth of the lightly doped source region 54b is shallower than the junction depth of the source region 53S. The n - type impurity concentration in the n -type impurity doped region is lower than the n-type impurity concentration in the n + -type impurity doped region.

チャネル領域は、低濃度ドレイン領域54aと低濃度ソース領域54bとの間に介在するp型ウェル領域55の一領域によって構成される。このチャネル領域の上方にゲート電極57が位置する。   The channel region is constituted by a region of the p-type well region 55 interposed between the low concentration drain region 54a and the low concentration source region 54b. A gate electrode 57 is located above the channel region.

ゲート電極57は、ゲート絶縁膜56上に形成された第1ゲート電極57aと、その上に形成された第2ゲート電極57bとの2層構造を有する。   The gate electrode 57 has a two-layer structure of a first gate electrode 57a formed on the gate insulating film 56 and a second gate electrode 57b formed thereon.

第1ゲート電極57aは下部電極12と同じ材料、例えばポリシリコンによって形成され、その膜厚は下部電極12の膜厚にほぼ等しい。   The first gate electrode 57 a is formed of the same material as the lower electrode 12, for example, polysilicon, and the film thickness thereof is substantially equal to the film thickness of the lower electrode 12.

第2ゲート電極57bは第2上部電極16bと同じ材料、例えば金属または金属シリサイドによって形成され、その膜厚は第2上部電極16bの膜厚にほぼ等しい。   The second gate electrode 57b is formed of the same material as the second upper electrode 16b, for example, metal or metal silicide, and the film thickness thereof is substantially equal to the film thickness of the second upper electrode 16b.

ゲート電極57の側面には、ドレイン領域53Dおよびソース領域53Sを形成するためのイオン注入の際に利用したサイドウォールスペーサSWが残存する。このサイドウォールスペーサSWの下方に、上述した低濃度ドレイン領域54a、低濃度ソース領域54bが位置する。   On the side surface of the gate electrode 57, the sidewall spacer SW used for ion implantation for forming the drain region 53D and the source region 53S remains. The low concentration drain region 54a and the low concentration source region 54b described above are located below the sidewall spacer SW.

図2には、ソース領域53Sに対応する1つのコンタクトホールCH9と、ドレイン領域53Dに対応する1つのコンタクトホールCH10とが示されている。これらのコンタクトホールCH9及びCH10には、それぞれ、コンタクトプラグP5及びP6が埋め込まれている。   FIG. 2 shows one contact hole CH9 corresponding to the source region 53S and one contact hole CH10 corresponding to the drain region 53D. Contact plugs P5 and P6 are buried in the contact holes CH9 and CH10, respectively.

ソース領域53Sは、コンタクトホールCH9に埋め込まれたコンタクトプラグP5によって、層間絶縁膜80上に形成された上層配線95と導通する。ドレイン領域53Dは、コンタクトホールCH10に埋め込まれたコンタクトプラグP6によって、層間絶縁膜80上に形成された上層配線94と導通する。上層配線94は、ドレイン領域43Dとドレイン領域53Dとを電気的に接続する。   The source region 53S is electrically connected to the upper layer wiring 95 formed on the interlayer insulating film 80 by the contact plug P5 embedded in the contact hole CH9. The drain region 53D is electrically connected to the upper layer wiring 94 formed on the interlayer insulating film 80 by the contact plug P6 embedded in the contact hole CH10. The upper layer wiring 94 electrically connects the drain region 43D and the drain region 53D.

抵抗素子60は、素子分離絶縁膜5上に形成される。抵抗素子60は下部電極12と同じ材料、例えばn型ポリシリコンによって形成され、その膜厚は下部電極12の膜厚にほぼ等しい。抵抗素子60の上面は、容量絶縁膜14と同じ材料によって形成された誘電体層65によって覆われる。誘電体層65の膜厚は、容量絶縁膜14の膜厚にほぼ等しい。   The resistance element 60 is formed on the element isolation insulating film 5. The resistance element 60 is formed of the same material as the lower electrode 12, for example, n-type polysilicon, and the film thickness thereof is substantially equal to the film thickness of the lower electrode 12. The upper surface of the resistance element 60 is covered with a dielectric layer 65 made of the same material as that of the capacitive insulating film 14. The film thickness of the dielectric layer 65 is substantially equal to the film thickness of the capacitive insulating film 14.

図2には、抵抗素子60に対応する1つのコンタクトホールCH13が示されている。このコンタクトホールCH13には、コンタクトプラグP7が埋め込まれている。抵抗素子60は、コンタクトプラグP7によって、層間絶縁膜80上に形成された上層配線96と導通する。   In FIG. 2, one contact hole CH13 corresponding to the resistance element 60 is shown. A contact plug P7 is embedded in the contact hole CH13. The resistance element 60 is electrically connected to the upper layer wiring 96 formed on the interlayer insulating film 80 by the contact plug P7.

配線70は、素子分離絶縁膜5上に形成された第1配線層72と、その上に形成された第2配線層74との2層構造を有する。第1配線層72は下部電極12と同じ材料、例えばn型ポリシリコンによって形成され、第2配線層74は第2上部電極16bと同じ材料、例えば金属または金属シリサイドによって形成される。第1配線層72の膜厚は下部電極12の膜厚にほぼ等しく、第2配線層74の膜厚は第2上部電極16bの膜厚にほぼ等しい。図1に示した配線59も、配線70と同様の積層構造を有する。   The wiring 70 has a two-layer structure of a first wiring layer 72 formed on the element isolation insulating film 5 and a second wiring layer 74 formed thereon. The first wiring layer 72 is made of the same material as the lower electrode 12, for example, n-type polysilicon, and the second wiring layer 74 is made of the same material as the second upper electrode 16b, for example, metal or metal silicide. The film thickness of the first wiring layer 72 is substantially equal to the film thickness of the lower electrode 12, and the film thickness of the second wiring layer 74 is approximately equal to the film thickness of the second upper electrode 16b. The wiring 59 illustrated in FIG. 1 also has a stacked structure similar to the wiring 70.

図2には、配線70に対応する1つのコンタクトホールCH15が示されている。このコンタクトホールCH15には、コンタクトプラグP8が埋め込まれている。配線70は、コンタクトプラグP8によって、層間絶縁膜80上に形成された上層配線97と導通する。   In FIG. 2, one contact hole CH15 corresponding to the wiring 70 is shown. A contact plug P8 is embedded in the contact hole CH15. The wiring 70 is electrically connected to the upper wiring 97 formed on the interlayer insulating film 80 by the contact plug P8.

なお、容量素子10、第1ヒューズ素子20、第2ヒューズ素子30、および抵抗素子60の下方のp型半導体基板1には、p型半導体基板1との電気的分離を確実にするために、図示のようにn型ウェル領域NW1〜NW4を形成しておくことが好ましい。   In order to ensure electrical isolation from the p-type semiconductor substrate 1 in the p-type semiconductor substrate 1 below the capacitive element 10, the first fuse element 20, the second fuse element 30, and the resistance element 60, It is preferable to form n-type well regions NW1 to NW4 as shown.

容量素子10の下部電極12とp型半導体基板1との間には、素子分離絶縁膜5を容量絶縁膜として、極く小さな容量が形成される。容量素子10の下方にn型ウェル領域NW1を形成しておくことにより、p型半導体基板1内の電荷(正孔)が下部電極12の下方の領域へ移動するのを防止することができる。   A very small capacitance is formed between the lower electrode 12 of the capacitive element 10 and the p-type semiconductor substrate 1 using the element isolation insulating film 5 as a capacitive insulating film. By forming the n-type well region NW1 below the capacitive element 10, it is possible to prevent the charges (holes) in the p-type semiconductor substrate 1 from moving to the region below the lower electrode 12.

また、第1ヒューズ素子20及び第2ヒューズ素子30の下方に、それぞれn型ウェル領域NW2及びn型ウェル領域NW3を形成しておくことにより、第1ヒューズ素子20及び第2ヒューズ素子30の切断時の発熱によって素子分離絶縁膜5にダメージが生じたとしても、不要な基板リーク電流が流れるのを防止することが可能になる。   Further, the n-type well region NW2 and the n-type well region NW3 are formed below the first fuse element 20 and the second fuse element 30, respectively, so that the first fuse element 20 and the second fuse element 30 are disconnected. Even if the element isolation insulating film 5 is damaged due to heat generation, it is possible to prevent unnecessary substrate leakage current from flowing.

LDD構造を有するpチャネルMOSFET42及びnチャネルMOSFET52を形成するにあたっては、前述のように、ゲート電極47、57の側面にサイドウォールスペーサSWが形成される。このとき、容量素子10、第1ヒューズ素子20、第2ヒューズ素子30、抵抗素子60および配線70の側面にも、サイドウォールスペーサSWが形成される。   In forming the p-channel MOSFET 42 and the n-channel MOSFET 52 having the LDD structure, the side wall spacers SW are formed on the side surfaces of the gate electrodes 47 and 57 as described above. At this time, the side wall spacer SW is also formed on the side surfaces of the capacitor element 10, the first fuse element 20, the second fuse element 30, the resistance element 60 and the wiring 70.

以上説明した構造の半導体装置100では、第1ヒューズ素子20、第2ヒューズ素子30、ゲート電極47、ゲート電極57、抵抗素子60および配線70それぞれの構成要素が、容量素子10の下部電極12、容量絶縁膜14、第1上部電極16aまたは第2上部電極16bと同じ材料によって形成される。   In the semiconductor device 100 having the structure described above, the constituent elements of the first fuse element 20, the second fuse element 30, the gate electrode 47, the gate electrode 57, the resistance element 60 and the wiring 70 are the lower electrode 12 of the capacitive element 10, The capacitor insulating film 14, the first upper electrode 16a, or the second upper electrode 16b are formed of the same material.

このため、2種類のマスクを使い分けて所定の層をパターニングするだけで、容量素子10、第1ヒューズ素子20、第2ヒューズ素子30、ゲート電極47、ゲート電極57、抵抗素子60および配線70を形成することが可能になる。目的とする半導体装置を少ない工程数で製造することが可能である。具体的な製造方法については後述する。   For this reason, the capacitance element 10, the first fuse element 20, the second fuse element 30, the gate electrode 47, the gate electrode 57, the resistance element 60, and the wiring 70 can be formed by simply patterning a predetermined layer using two types of masks. It becomes possible to form. A target semiconductor device can be manufactured with a small number of steps. A specific manufacturing method will be described later.

また、第1および第2ヒューズ素子20、30の線幅については、通常、デザインルールの最小値を用いることが求められるが、第1および第2ヒューズ素子20、30それぞれの層構成を上述の構成とすることにより、これらのヒューズ素子20、30の線幅を同じにしたとしても、互いの切断特性を容易に異ならせることができる。   The line widths of the first and second fuse elements 20 and 30 are usually required to use the minimum value of the design rule. However, the layer configurations of the first and second fuse elements 20 and 30 are described above. By adopting the configuration, even if the line widths of the fuse elements 20 and 30 are made the same, the cutting characteristics can be easily made different from each other.

例えば、第1ヒューズ素子20の第1可溶断層22の膜厚と、第2ヒューズ素子30の第1可溶断層32の膜厚とを互いに異ならせることにより、これらのヒューズ素子20、30の線幅を同じにしたとしても、その切断特性を容易に異ならせることができる。   For example, by making the film thickness of the first fusible fault 22 of the first fuse element 20 and the film thickness of the first fusible fault 32 of the second fuse element 30 different from each other, Even if the line width is the same, the cutting characteristics can be easily varied.

第1ヒューズ素子20の第1可溶断層22の厚さを150nmとし、第2ヒューズ素子30の第1可溶断層32の厚さを100nmとし、これらの可溶断層を同じ組成のポリシリコンによって形成すれば、第1ヒューズ素子20の切断に必要となる電流値は、第2ヒューズ素子30の切断に必要となる電流値よりも約10〜15%も大きくなる。ただし、第1ヒューズ素子20の線幅と第2ヒューズ素子30の線幅は同じとし、第1ヒューズ素子20の第2可溶断層24の膜厚と第2ヒューズ素子30の第2可溶断層34の膜厚とは同じであるものとする。   The thickness of the first soluble fault 22 of the first fuse element 20 is 150 nm, the thickness of the first soluble fault 32 of the second fuse element 30 is 100 nm, and these soluble faults are made of polysilicon having the same composition. If formed, the current value required for cutting the first fuse element 20 is about 10 to 15% larger than the current value required for cutting the second fuse element 30. However, the line width of the first fuse element 20 and the line width of the second fuse element 30 are the same, the film thickness of the second fusible fault 24 of the first fuse element 20 and the second fusible fault of the second fuse element 30. The film thickness 34 is the same.

小電流で切断したいヒューズ素子と大電流で切断したいヒューズ素子とを容易に作り分けることができる。   It is possible to easily make a fuse element to be cut with a small current and a fuse element to be cut with a large current.

また、n型ポリシリコンによって第1ヒューズ素子20の第1可溶断層22と第2ヒューズ素子30の第1可溶断層32とを形成し、第1ヒューズ素子20の第2可溶断層24と第2ヒューズ素子30の第2可溶断層34とを共に金属シリサイドで形成した場合には、次の方法によっても、これらのヒューズ素子20、30の切断特性を容易に異ならせることができる。すなわち、例えば相補型MOSFET40のソース、ドレイン領域43S、43Dを形成するp型不純物導入工程の際に、マスクを用いて第1及び第2ヒューズ素子20及び30の一方にのみ選択的にp型不純物が添加されるようにすることによって、これらのヒューズ素子20、30の切断特性を容易に異ならせることができる。   The first fusible fault 22 of the first fuse element 20 and the first fusible fault 32 of the second fuse element 30 are formed of n-type polysilicon, and the second fusible fault 24 of the first fuse element 20 is formed. When both the second fusible faults 34 of the second fuse element 30 are formed of metal silicide, the cutting characteristics of these fuse elements 20 and 30 can be easily made different by the following method. That is, for example, in the p-type impurity introduction process for forming the source and drain regions 43S and 43D of the complementary MOSFET 40, a p-type impurity is selectively applied only to one of the first and second fuse elements 20 and 30 using a mask. Therefore, the cutting characteristics of the fuse elements 20 and 30 can be easily changed.

第2ヒューズ素子30の下地層を、前述した第1下地層25と第2下地層26とで構成することにより、第2ヒューズ素子30を予熱しておくことが可能になる。そのためには、第1下地層25に通電する。第1下地層25に通電しても、第2下地層26が誘電体層であることから、第1下地層25と第2ヒューズ素子30とは電気的に分離される。   By configuring the base layer of the second fuse element 30 with the first base layer 25 and the second base layer 26 described above, the second fuse element 30 can be preheated. For this purpose, the first ground layer 25 is energized. Even if the first ground layer 25 is energized, the first ground layer 25 and the second fuse element 30 are electrically separated because the second ground layer 26 is a dielectric layer.

第2ヒューズ素子30を予熱しておくと、第2ヒューズ素子30を切断するのに要する電流値または電圧値を低減させることが可能になる。パルス電流によって第2ヒューズ素子30を切断する場合には、切断に要するパルス数を低減させることができる。切断に要する時間を短縮させることができる。   If the second fuse element 30 is preheated, it becomes possible to reduce the current value or voltage value required to cut the second fuse element 30. When the second fuse element 30 is cut by a pulse current, the number of pulses required for cutting can be reduced. The time required for cutting can be shortened.

第1下地層25および第2下地層26の平面視上の大きさを、第2ヒューズ素子30に対して十分大きくすることによって、第2ヒューズ素子30を切断する際に生じる熱を吸収もしくは放散させることができる。これにより、第2ヒューズ素子30の切断時に周辺の回路素子が受けるダメージを低減させることが可能になる。   By making the size of the first underlayer 25 and the second underlayer 26 in plan view sufficiently larger than that of the second fuse element 30, heat generated when the second fuse element 30 is cut is absorbed or dissipated. Can be made. Thereby, it is possible to reduce damage to peripheral circuit elements when the second fuse element 30 is cut.

第2ゲート電極47b、57bを金属シリサイドで形成した場合には、MOSFET42、52を作製する過程でn型ウェル45及びp型ウェル55に不純物を添加(イオン注入)するときに、当該不純物が第2ゲート電極47b、57bを突き抜け難くなる。所望の電気的特性を有するゲート電極47、57を得やすくなる。   In the case where the second gate electrodes 47b and 57b are formed of metal silicide, when the impurities are added (ion implantation) to the n-type well 45 and the p-type well 55 in the process of manufacturing the MOSFETs 42 and 52, the impurities are It becomes difficult to penetrate through the two gate electrodes 47b and 57b. It becomes easy to obtain gate electrodes 47 and 57 having desired electrical characteristics.

配線70の第2配線層74を金属または金属シリサイドで形成した場合には、電気抵抗の小さい配線70を得ることができる。高速動作が可能な半導体装置100を得ることができる。   When the second wiring layer 74 of the wiring 70 is formed of metal or metal silicide, the wiring 70 having a low electric resistance can be obtained. A semiconductor device 100 capable of high-speed operation can be obtained.

次に、実施例による半導体装置の製造方法について、図3〜図6を参照しつつ説明する。以下の説明は、図1および図2に示した半導体装置100を製造する場合を例にとり、図2で用いた参照符号を引用しつつ行う。   Next, a method for manufacturing a semiconductor device according to the embodiment will be described with reference to FIGS. In the following description, the case where the semiconductor device 100 shown in FIGS. 1 and 2 is manufactured is taken as an example, and the reference numerals used in FIG. 2 are cited.

図3〜図6は、半導体装置100の製造工程の主要部を示す。これらの図に示した各構成要素のうち、既に図2に示した構成要素については図2で用いた参照符号と同じ参照符号を付してその説明を省略する。   3 to 6 show the main part of the manufacturing process of the semiconductor device 100. Among the constituent elements shown in these drawings, the constituent elements already shown in FIG. 2 are assigned the same reference numerals as those used in FIG.

まず、p型半導体基板1としてp型シリコン基板を用意し、このp型シリコン基板の片面に、前述したn型ウェル領域NW1〜NW4、n型ウェル領域45、およびp型ウェル領域55を形成する。各ウェル領域は、例えば、n型不純物またはp型不純物をイオン注入し、その後に、この不純物を熱拡散させ、活性化させることによって形成される。   First, a p-type silicon substrate is prepared as the p-type semiconductor substrate 1, and the n-type well regions NW1 to NW4, the n-type well region 45, and the p-type well region 55 are formed on one surface of the p-type silicon substrate. . Each well region is formed by, for example, ion-implanting n-type impurities or p-type impurities, and then thermally diffusing and activating the impurities.

次いで、これらのウェル領域を形成した側の表面全体に、厚さ50nm程度のバッファ用シリコン酸化膜を形成する。このシリコン酸化膜は、例えば、熱酸化によって形成することができる。   Next, a buffer silicon oxide film having a thickness of about 50 nm is formed on the entire surface on the side where these well regions are formed. This silicon oxide film can be formed by thermal oxidation, for example.

必要に応じて、シリコン酸化膜を形成する前または形成した後に、pチャネルMOSFET42及びnチャネルMOSFET52のチャネル領域となる領域に、例えばイオン注入法によって、所望の不純物を添加してもよい。この不純物添加により、最終的に得られるpチャネルMOSFET42またはnチャネルMOSFET52の閾値電圧を調整することができる。この、閾値電圧調整のための不純物導入工程は、以下に説明するゲート酸化膜46、56の形成後に行ってもよい。   If necessary, a desired impurity may be added to the regions to be the channel regions of the p-channel MOSFET 42 and the n-channel MOSFET 52 before or after the silicon oxide film is formed, for example, by ion implantation. By adding this impurity, the threshold voltage of the finally obtained p-channel MOSFET 42 or n-channel MOSFET 52 can be adjusted. This impurity introduction step for adjusting the threshold voltage may be performed after formation of the gate oxide films 46 and 56 described below.

次に、図3(A)に示すように、p型半導体基板1の片面に厚さ500nm程度の素子分離絶縁膜5と、薄いゲート絶縁膜46、56とを形成する。   Next, as shown in FIG. 3A, an element isolation insulating film 5 having a thickness of about 500 nm and thin gate insulating films 46 and 56 are formed on one surface of the p-type semiconductor substrate 1.

素子分離絶縁膜5は、例えば、酸素遮蔽能を有するマスクを用いたシリコン選択酸化(LOCOS)によって形成される。例えば、バッファ用シリコン酸化膜上に厚さ150nm程度のシリコン窒化膜によって所定形状のマスクを形成し、p型半導体基板1全体を高温熱酸化処理に付す。マスクに覆われていない領域のp型半導体基板(p型シリコン基板)1が更に酸化されて、素子分離絶縁膜5が得られる。その後、マスクとして用いたシリコン窒化膜は熱リン酸等を用いて除去する。   The element isolation insulating film 5 is formed by, for example, silicon selective oxidation (LOCOS) using a mask having oxygen shielding ability. For example, a mask having a predetermined shape is formed on the buffer silicon oxide film with a silicon nitride film having a thickness of about 150 nm, and the entire p-type semiconductor substrate 1 is subjected to a high-temperature thermal oxidation process. The p-type semiconductor substrate (p-type silicon substrate) 1 in a region not covered with the mask is further oxidized to obtain an element isolation insulating film 5. Thereafter, the silicon nitride film used as the mask is removed using hot phosphoric acid or the like.

次に、バッファ用シリコン酸化膜にほぼ対応する厚さのまま残っているシリコン酸化膜を例えば希フッ酸を用いて除去し、その後、p型半導体基板1全体を再び高温熱酸化処理に付す。これにより、清浄なゲート絶縁膜46、56が得られる。   Next, the remaining silicon oxide film with a thickness substantially corresponding to the buffer silicon oxide film is removed using, for example, diluted hydrofluoric acid, and then the entire p-type semiconductor substrate 1 is again subjected to high-temperature thermal oxidation treatment. Thereby, clean gate insulating films 46 and 56 are obtained.

なお、素子分離絶縁膜5は、上述した方法以外の方法、例えば、微細化に適したSTI(shallowtrench isolation:シャロートレンチアイソレーション)法に基づいて形成す
ることもできる。
The element isolation insulating film 5 can also be formed based on a method other than the method described above, for example, an STI (shallow trench isolation) method suitable for miniaturization.

次いで、図3(B)に示すように、素子分離絶縁膜5、ゲート絶縁膜46およびゲート絶縁膜56を覆う第1導電層111を形成する。この第1導電層111は、例えばn型ポリシリコンまたはアモルファスシリコンによってコンフォーマルに形成される。   Next, as shown in FIG. 3B, a first conductive layer 111 covering the element isolation insulating film 5, the gate insulating film 46, and the gate insulating film 56 is formed. The first conductive layer 111 is formed conformally by, for example, n-type polysilicon or amorphous silicon.

n型ポリシリコンによって第1導電層111を形成する場合には、まずCVD法(化学気相成長法)等によってポリシリコン層を成膜する。次いで、このポリシリコン層全体にリン等のn型不純物を添加する。図3(B)およびこれ以降の図は、第1導電層111をn型ポリシリコンで形成した例を示す。   When the first conductive layer 111 is formed of n-type polysilicon, a polysilicon layer is first formed by a CVD method (chemical vapor deposition method) or the like. Next, an n-type impurity such as phosphorus is added to the entire polysilicon layer. FIG. 3B and the subsequent drawings show an example in which the first conductive layer 111 is formed of n-type polysilicon.

CVD法によるポリシリコン層の成膜は、例えば、モノシラン(SiH)と窒素(N)とを2:8の割合で混合した混合ガスを原料ガスとして用い、原料ガスの流量20
0sccm、成長時(成膜時)の雰囲気圧30Pa、基板温度600℃の条件下で行うことができる。基板温度を低くすると、アモルファスシリコンを成膜することができる。このアモルファスシリコンを600℃程度以上に加熱すると、ポリシリコンを得ることができる。
The polysilicon layer is formed by the CVD method, for example, using a mixed gas in which monosilane (SiH 4 ) and nitrogen (N 2 ) are mixed at a ratio of 2: 8 as a source gas, and the flow rate of the source gas is 20
This can be performed under the conditions of 0 sccm, growth (film formation) atmospheric pressure of 30 Pa, and substrate temperature of 600 ° C. When the substrate temperature is lowered, amorphous silicon can be formed. When this amorphous silicon is heated to about 600 ° C. or higher, polysilicon can be obtained.

ポリシリコン層の膜厚は任意に選択することが可能である。導電層111のシート抵抗を低くするため、膜厚は厚いほうが望ましい。一方、微細加工の観点では薄い方が望ましい。そのため、好ましくは50〜1000nmの範囲内で選択し、更に好ましくは100〜300nmの範囲内で選択する。このポリシリコン層に添加する不純物の濃度は、例えば1×1020cm−3程度である。 The thickness of the polysilicon layer can be arbitrarily selected. In order to reduce the sheet resistance of the conductive layer 111, it is desirable that the film thickness be thick. On the other hand, the thinner one is desirable from the viewpoint of microfabrication. For this reason, it is preferably selected within the range of 50 to 1000 nm, more preferably within the range of 100 to 300 nm. The concentration of the impurity added to the polysilicon layer is, for example, about 1 × 10 20 cm −3 .

次に、図3(C)に示すように、第1導電層111上に誘電体層113を形成する。この誘電体層113は、例えば、単層のシリコン酸化膜もしくはシリコン酸窒化膜、シリコン酸化膜とシリコン窒化膜もしくはシリコン酸窒化膜との積層、またはシリコン酸化膜とシリコン窒化膜とシリコン酸化膜との積層によって構成され、コンフォーマルに形成される。酸化タンタル膜とシリコン酸化膜もしくはシリコン窒化膜との積層、あるいは、酸化タンタル膜をシリコン酸化膜もしくはシリコン窒化膜でサンドイッチした構成の積層によって誘電体層113を構成することも可能である。   Next, as illustrated in FIG. 3C, the dielectric layer 113 is formed over the first conductive layer 111. The dielectric layer 113 is formed of, for example, a single-layer silicon oxide film or silicon oxynitride film, a stack of a silicon oxide film and a silicon nitride film or a silicon oxynitride film, or a silicon oxide film, a silicon nitride film, and a silicon oxide film. Are formed in a conformal manner. It is also possible to form the dielectric layer 113 by stacking a tantalum oxide film and a silicon oxide film or a silicon nitride film, or by stacking a tantalum oxide film sandwiched between a silicon oxide film and a silicon nitride film.

誘電体層113を形成するに当たっては、シリコン酸化膜に代えて、プラズマCVD法によって形成されるフォスフォシリケートガラス(PSG)膜もしくはボロフォスフォシリケートガラス(BPSG)膜を用いてもよい。酸化タンタル膜に代えて強誘電体膜を用いてもよい。シリコン窒化膜に代えてシリコン酸窒化膜を用いてもよい。   In forming the dielectric layer 113, a phosphosilicate glass (PSG) film or a borophosphosilicate glass (BPSG) film formed by a plasma CVD method may be used instead of the silicon oxide film. A ferroelectric film may be used instead of the tantalum oxide film. A silicon oxynitride film may be used instead of the silicon nitride film.

誘電体層113の層構成や、この誘電体層113を構成する各層の膜厚および材質は、当該誘電体層113を挟んで1対の電極を形成したときに所望の静電容量が得られるように、適宜選定される。誘電体層113の層構成の具体例としては、下記(1)〜(5) の構成
が挙げられる。下記(2) 〜(5) に記載の層順は、いずれも、誘電体層の上面から素子分離絶縁膜5へ向かっての層順を示す。
(1) シリコン酸化膜
(2) シリコン窒化膜/シリコン酸化膜
(3) シリコン酸化膜もしくはシリコン酸窒化膜/シリコン窒化膜/シリコン酸化膜もしくはシリコン酸窒化膜
(4) シリコン酸化膜もしくはシリコン酸窒化膜/酸化タンタル(Ta)膜/シリコン酸化膜
(5) 酸化タンタル(Ta)膜/シリコン酸化膜もしくはシリコン酸窒化膜
シリコン酸化膜は、例えば、テトラエチルオルソシリケート(以下、「TEOS」と略記する。)とオゾン(O)とを含む混合ガスを原料ガスとして用いたプラズマ励起型CVDによって、または、電子サイクロトロン共鳴(以下、「ECR」と略記する。)プラズマを用いたCVDによって形成することができる。熱酸化やスピンオングラス法によってシリコン酸化膜を形成することもできる。
The layer configuration of the dielectric layer 113 and the film thickness and material of each layer constituting the dielectric layer 113 can provide a desired capacitance when a pair of electrodes are formed with the dielectric layer 113 interposed therebetween. As such, it is appropriately selected. Specific examples of the layer structure of the dielectric layer 113 include the following structures (1) to (5). The layer order described in (2) to (5) below indicates the layer order from the upper surface of the dielectric layer toward the element isolation insulating film 5.
(1) Silicon oxide film
(2) Silicon nitride film / silicon oxide film
(3) Silicon oxide film or silicon oxynitride film / silicon nitride film / silicon oxide film or silicon oxynitride film
(4) Silicon oxide film or silicon oxynitride film / tantalum oxide (Ta 2 O 5 ) film / silicon oxide film
(5) Tantalum oxide (Ta 2 O 5 ) film / silicon oxide film or silicon oxynitride film The silicon oxide film includes, for example, tetraethyl orthosilicate (hereinafter abbreviated as “TEOS”) and ozone (O 3 ). It can be formed by plasma-excited CVD using a mixed gas as a source gas, or by CVD using electron cyclotron resonance (hereinafter abbreviated as “ECR”) plasma. A silicon oxide film can also be formed by thermal oxidation or a spin-on-glass method.

シリコン窒化膜またはシリコン酸窒化膜は、例えば、TEOSと酸素(O)もしくはオゾン(O)と窒素酸化物(NO )とを含む混合ガスを原料ガスとして用いたプラズマ励起型CVDによって、または、ECRプラズマを用いたCVDによって形成することができる。 The silicon nitride film or silicon oxynitride film is formed by, for example, plasma enhanced CVD using a mixed gas containing TEOS and oxygen (O 2 ) or ozone (O 3 ) and nitrogen oxide (NO x ) as a source gas. Alternatively, it can be formed by CVD using ECR plasma.

次いで、図4(A)に示すように、誘電体層113上に第2導電層115を形成する。この第2導電層115は、例えばn型不純物を添加したポリシリコンによってコンフォーマルに形成される。ポリシリコン層の形成方法の例については、第1導電層111の形成方法の説明の中で既に述べたので、ここでは省略する。n型不純物は、ポリシリコンの成膜時に添加するか、成膜後にイオン注入等によって添加する。   Next, as shown in FIG. 4A, a second conductive layer 115 is formed over the dielectric layer 113. The second conductive layer 115 is formed conformally by, for example, polysilicon to which an n-type impurity is added. Since an example of the method for forming the polysilicon layer has already been described in the description of the method for forming the first conductive layer 111, the description thereof is omitted here. The n-type impurity is added at the time of forming the polysilicon film, or is added by ion implantation or the like after the film formation.

n型ポリシリコンによって第2導電層115を形成する場合、その膜厚は任意に選択することが可能である。第2導電層115のシート抵抗を低くするため、膜厚は厚いほうが望ましい。一方、微細加工の観点では薄い方が望ましい。そのため、好ましくは20〜1000nmの範囲内で選択し、更に好ましくは80〜300nmの範囲内で選択する。特に、第1導電層111と第2導電層115とを同時に加工する工程が、後の工程に含まれることにより、第1導電層111と第2導電層115との膜厚が同等または数十%の範囲内で近いことが重要である。第2導電層115中に拡散させるリン等のn型不純物の濃度は、例えば1×1020cm−3程度である。第1導電層111と第2導電層115との加工性を同一とするために、このドーピング濃度も相互に近いことが好ましい。 When the second conductive layer 115 is formed of n-type polysilicon, the film thickness can be arbitrarily selected. In order to reduce the sheet resistance of the second conductive layer 115, it is desirable that the film thickness be thick. On the other hand, the thinner one is desirable from the viewpoint of microfabrication. For this reason, it is preferably selected within the range of 20 to 1000 nm, more preferably within the range of 80 to 300 nm. In particular, since the process of simultaneously processing the first conductive layer 111 and the second conductive layer 115 is included in the subsequent process, the film thicknesses of the first conductive layer 111 and the second conductive layer 115 are equal or several tens. It is important to be close within the% range. The concentration of n-type impurities such as phosphorus diffused in the second conductive layer 115 is, for example, about 1 × 10 20 cm −3 . In order to make the workability of the first conductive layer 111 and the second conductive layer 115 the same, it is preferable that the doping concentrations are close to each other.

必要に応じて、第2導電層115の形成に先立って、p型半導体基板1に熱処理を施してもよい。この熱処理によって誘電体層113を緻密化させて、その電気的、物理的性質を改善することができる。第2導電層115の形成よりも後の工程で行われる熱処理時に誘電体層113からのデガスや応力変化が生じることが抑制され、誘電体層113と第2導電層115との密着性が向上する。最終的に得られる容量素子10の信頼性を向上させることができる。また、第1導電層111中の不純物の再拡散を防止することもできる。さらに、誘電体層113の熱処理により、膜の高密度化、ピンホールの消失等の効果も期待される。   If necessary, the p-type semiconductor substrate 1 may be heat treated prior to the formation of the second conductive layer 115. By this heat treatment, the dielectric layer 113 can be densified to improve its electrical and physical properties. Generation of degas and stress from the dielectric layer 113 during heat treatment performed in a process subsequent to the formation of the second conductive layer 115 is suppressed, and adhesion between the dielectric layer 113 and the second conductive layer 115 is improved. To do. The reliability of the finally obtained capacitive element 10 can be improved. In addition, re-diffusion of impurities in the first conductive layer 111 can be prevented. Further, heat treatment of the dielectric layer 113 is expected to have effects such as higher film density and disappearance of pinholes.

以上は、半導体装置100を得るための準備工程である。第2導電層115まで形成された基板上の各層を以下に詳述する手順でパターニングすることにより、目的とする半導体装置100を少ない工程数で得ることができる。   The above is a preparation process for obtaining the semiconductor device 100. By patterning each layer on the substrate formed up to the second conductive layer 115 in the procedure described in detail below, the target semiconductor device 100 can be obtained with a small number of steps.

まず、図4(B)に示すように、所定形状のエッチングマスク120を第2導電層115上に形成して、第2導電層115とその下の誘電体層113とをエッチングによりパターニングする。   First, as shown in FIG. 4B, an etching mask 120 having a predetermined shape is formed on the second conductive layer 115, and the second conductive layer 115 and the dielectric layer 113 therebelow are patterned by etching.

このパターニングによって、容量素子10の下部電極12を形成しようとする領域上に誘電体層113Aと第2導電層115Aとを形成し、第2ヒューズ素子30の下地層を形成しようとする領域上に誘電体層113Bと第2導電層115Bとを形成する。また、抵抗素子60を形成しようとする領域上に、誘電体層113Cと第2導電層115Cとを形成する。   By this patterning, the dielectric layer 113A and the second conductive layer 115A are formed on the region where the lower electrode 12 of the capacitive element 10 is to be formed, and on the region where the base layer of the second fuse element 30 is to be formed. A dielectric layer 113B and a second conductive layer 115B are formed. Further, the dielectric layer 113C and the second conductive layer 115C are formed on the region where the resistance element 60 is to be formed.

このとき使用するエッチングマスク120は、例えば、第2導電層115上にノボラック系フォトレジスト等のフォトレジストを塗布し、このフォトレジスト層を選択的に露光した後に現像して、容量素子10の下部電極12、第2ヒューズ素子30の下地層、および抵抗素子60を形成しようとする領域上にフォトレジスト層を残すことによって得られる。   For the etching mask 120 used at this time, for example, a photoresist such as a novolak-type photoresist is applied on the second conductive layer 115, the photoresist layer is selectively exposed and developed, and the lower part of the capacitive element 10 is developed. It is obtained by leaving a photoresist layer on the electrode 12, the base layer of the second fuse element 30, and the region where the resistance element 60 is to be formed.

第2導電層115のパターニングと誘電体層113のパターニングとは、例えば、別々に行われる。まず、第2導電層115をエッチングによってパターニングする。   The patterning of the second conductive layer 115 and the patterning of the dielectric layer 113 are performed separately, for example. First, the second conductive layer 115 is patterned by etching.

エッチングによる第2導電層115のパターニングは、例えば、塩素(Cl)と酸素(O)との混合ガス、テトラフルオロメタン(CF )、または六フッ化硫黄(SF)をエッチングガスとして用い、雰囲気圧を数mTorr(数デシPa)としたマイクロ波プラズマエッチング(マイクロ波の周波数は例えば2.45GHz)またはECRプラズマエッチングによって行うことができる。エッチングマスク120に覆われずに露出している第2導電層115がエッチングされ、除去される。 The patterning of the second conductive layer 115 by etching is performed using, for example, a mixed gas of chlorine (Cl 2 ) and oxygen (O 2 ), tetrafluoromethane (CF 4 ), or sulfur hexafluoride (SF 6 ) as an etching gas. It can be performed by microwave plasma etching (at a microwave frequency of, for example, 2.45 GHz) or ECR plasma etching with an atmospheric pressure of several mTorr (several decipa). The second conductive layer 115 exposed without being covered with the etching mask 120 is etched and removed.

この後、エッチングによって誘電体層113をパターニングする。誘電体層113のエッチングに伴って、後にゲート電極47、57の一部となる第1導電層111の表面処理が行われる。このため、誘電体層113をエッチングによってパターニングするにあたっては、第1導電層111の表面を清浄に保つことができ、かつ、第1導電層111に対する誘電体層113のエッチング選択比が高くなるエッチング方法を選択することが好ましい。   Thereafter, the dielectric layer 113 is patterned by etching. Along with the etching of the dielectric layer 113, the surface treatment of the first conductive layer 111, which will later become part of the gate electrodes 47, 57, is performed. Therefore, in patterning the dielectric layer 113 by etching, the surface of the first conductive layer 111 can be kept clean, and the etching selectivity of the dielectric layer 113 with respect to the first conductive layer 111 is increased. It is preferred to select a method.

例えば、誘電体層113が下層にシリコン酸化膜を有する積層膜である場合には、この誘電体層113を構成する上層はドライエッチングによって除去し、下層のシリコン酸化膜はウェットエッチングによって除去することが好ましい。   For example, when the dielectric layer 113 is a laminated film having a silicon oxide film in the lower layer, the upper layer constituting the dielectric layer 113 is removed by dry etching, and the lower silicon oxide film is removed by wet etching. Is preferred.

誘電体層113のドライエッチングは、シリコン酸化膜またはシリコン窒化膜である場合には、例えば、テトラフルオロメタン(CF)とトリフルオロメタン(CHF
との混合ガスをエッチングガスとして用い、雰囲気圧を160mTorr(約16×1.333Pa)、RFパワーを約700W、RF信号の周波数を13.56MHzとしたRFプラズマエッチングによって行うことができる。
When dry etching of the dielectric layer 113 is a silicon oxide film or a silicon nitride film, for example, tetrafluoromethane (CF 4 ) and trifluoromethane (CHF 3 )
Can be performed by RF plasma etching with an atmospheric pressure of 160 mTorr (about 16 × 1.333 Pa), an RF power of about 700 W, and an RF signal frequency of 13.56 MHz.

誘電体層113までエッチングした後、所定の剥離液を用いてエッチングマスク120を剥離させる。     After etching to the dielectric layer 113, the etching mask 120 is peeled off using a predetermined stripping solution.

誘電体層113のエッチング後、シリコン酸化膜の残渣物やパーティクが残存する場合、ドライエッチングに起因して第1導電層上にダメージ層が形成される場合、または自然酸化膜が形成される場合、これらを除去することを目的として、例えばバッファドフッ酸(フッ酸(HF)とフッ化アンモニウム(NHF)と水(HO)との混合物)等をエッチャントとして用いてライトエッチングを行うことが好ましい。これにより、次に形成する第3導電層の剥離を防止でき、導電性の低下も防止することができる。 After the dielectric layer 113 is etched, silicon oxide residues or particles remain, a damaged layer is formed on the first conductive layer due to dry etching, or a natural oxide film is formed. In this case, for the purpose of removing these, light etching is performed using, for example, buffered hydrofluoric acid (a mixture of hydrofluoric acid (HF), ammonium fluoride (NH 4 F), and water (H 2 O)) as an etchant. It is preferable. Thereby, peeling of the 3rd conductive layer formed next can be prevented, and a conductive fall can also be prevented.

次に、図4(C)に示すように、第2導電層115A〜115C、誘電体層113A〜113C、および第1導電層111を覆うようにして、第3導電層125を金属もしくは金属シリサイドによって形成する。   Next, as shown in FIG. 4C, the third conductive layer 125 is made of metal or metal silicide so as to cover the second conductive layers 115A to 115C, the dielectric layers 113A to 113C, and the first conductive layer 111. Formed by.

第3導電層125を金属によって形成する場合、この金属の具体例としては、タングステン(W)、モリブデン(Mo)、チタン(Ti)、タンタル(Ta)等の高融点金属や、コバルト(Co)、クロム(Cr)、ハフニウム(Hf)、イリジウム(Ir)、ニオブ(Nb)、白金(Pt)、ジルコニウム(Zr)、ニッケル(Ni)等の遷移金属、あるいは、これらの金属から任意に選択した金属同士の合金が挙げられる。   When the third conductive layer 125 is formed of a metal, specific examples of the metal include refractory metals such as tungsten (W), molybdenum (Mo), titanium (Ti), and tantalum (Ta), and cobalt (Co). , Transition metals such as chromium (Cr), hafnium (Hf), iridium (Ir), niobium (Nb), platinum (Pt), zirconium (Zr), nickel (Ni), or any of these metals An alloy between metals is mentioned.

第3導電層125を金属シリサイドによって形成する場合、この金属シリサイドはコバルトシリサイド、クロムシリサイド、ニッケルシリサイド等であってもよい。特に、ニッケル及びコバルトは、比較的低温でシリサイド反応を生じさせることができ、シリサイド膜の抵抗を低くできる。さらに、ニッケルシリサイド及びコバルトシリサイドは融点が低いため、ヒューズ素子の切断が容易であるという効果も有する。また、シリサイド膜は、高融点金属シリサイド膜であってもよい。高融点金属シリサイドの具体例としては、タングステンシリサイド(WSi)、モリブデンシリサイド(MoSi)、チタンシリサイド(TiSi )、タンタルシリサイド(TaSi)、高融点金属合金のシリサイド等が挙げられる。また、第3導電層125は、シリサイド以外に、第2導電層123よりも抵抗率の低い材料で形成してもよい。 When the third conductive layer 125 is formed of metal silicide, the metal silicide may be cobalt silicide, chromium silicide, nickel silicide, or the like. In particular, nickel and cobalt can cause a silicide reaction at a relatively low temperature, and can reduce the resistance of the silicide film. Furthermore, since nickel silicide and cobalt silicide have a low melting point, the fuse element can be easily cut. The silicide film may be a refractory metal silicide film. Specific examples of the refractory metal silicide include tungsten silicide (WSi x ), molybdenum silicide (MoSi x ), titanium silicide (TiSi x ), tantalum silicide (TaSi x ), refractory metal alloy silicide, and the like. The third conductive layer 125 may be formed of a material having a resistivity lower than that of the second conductive layer 123 other than silicide.

第3導電層125の膜厚は、任意に選択可能である。ただし、第3導電層125の膜厚は、25〜500nmの範囲内であることが好ましく、80〜200nmの範囲内であることが更に好ましい。この範囲より薄いと、ゲート電極40や配線70の抵抗が増加し、この範囲より厚いと、フォトリソグラフィ工程やドライエッチング工程での加工性が低下する。   The thickness of the third conductive layer 125 can be arbitrarily selected. However, the thickness of the third conductive layer 125 is preferably in the range of 25 to 500 nm, and more preferably in the range of 80 to 200 nm. If the thickness is smaller than this range, the resistance of the gate electrode 40 and the wiring 70 increases. If the thickness is larger than this range, the workability in the photolithography process and the dry etching process decreases.

金属および金属シリサイドのいずれによって第3導電層125を形成する場合でも、この第3導電層125は、例えばスパッタリングまたはCVDによって形成することができる。   Even when the third conductive layer 125 is formed of either metal or metal silicide, the third conductive layer 125 can be formed by sputtering or CVD, for example.

DCマグネトロンスパッタリング装置によってタングステンシリサイド(WSi)からなる第3導電層125を形成する場合には、例えば、タングステンシリサイドからなるターゲットを用い、雰囲気圧8mTorr(約8×1.333×10−1Pa)、アルゴン(Ar)ガス流量30sccm、基板温度180℃、投入電力2000Wの条件下にアルゴン(Ar)ガスをスパッタリングガスとして用いて成膜する。 When the third conductive layer 125 made of tungsten silicide (WSi x ) is formed by a DC magnetron sputtering apparatus, for example, a target made of tungsten silicide is used and the atmospheric pressure is 8 mTorr (about 8 × 1.333 × 10 −1 Pa). ), An argon (Ar) gas flow rate of 30 sccm, a substrate temperature of 180 ° C., and an input power of 2000 W are formed using an argon (Ar) gas as a sputtering gas.

他の組成の金属シリサイドによって第3導電層125を形成する場合でも、使用するターゲットの組成を目的とする第3導電層125の組成と同じか、または近似する組成とする以外は、上記と同様の条件を選択することができる。   Even when the third conductive layer 125 is formed by using a metal silicide having another composition, the composition of the target to be used is the same as or similar to the composition of the target third conductive layer 125. The conditions can be selected.

CVDによってタングステンシリサイド(WSi )からなる第3導電層125を形
成する場合には、例えば、原料ガスとして六フッ化タングステン(WF)ガスとモノシラン(SiH)ガスとを用いて、下記の式(I)で表される反応を利用してWSi を堆積させる。
In the case where the third conductive layer 125 made of tungsten silicide (WSi 2 ) is formed by CVD, for example, tungsten hexafluoride (WF 6 ) gas and monosilane (SiH 4 ) gas are used as source gases, and the following WSi 2 is deposited using the reaction represented by formula (I).

(化1)
WF+2SiH→ WSi +6HF+H…(I)
第1導電層111および/または第2導電層115がポリシリコンまたはアモルファスシリコンで形成されている場合には、まず所望の金属によって第3導電層125を形成し、その後に熱処理を行って当該第3導電層125とその下地の第1導電層111または第2導電層115とを反応させることによっても、金属シリサイドからなる第3導電層125を形成することができる。
(Chemical formula 1)
WF 6 + 2SiH 4 → WSi 2 + 6HF + H 2 (I)
In the case where the first conductive layer 111 and / or the second conductive layer 115 is formed of polysilicon or amorphous silicon, the third conductive layer 125 is first formed of a desired metal, and then heat treatment is performed. The third conductive layer 125 made of metal silicide can also be formed by reacting the three conductive layers 125 with the first conductive layer 111 or the second conductive layer 115 underlying the three conductive layers 125.

金属シリサイドによって第3導電層125を形成した場合には、その後、当該第3導電層125の組成に応じて、概ね600〜1100℃で5〜30秒の熱処理を、例えば短時間アニール装置(RTA装置)を用いて行うことが好ましい。例えば、タングステンシリサイド(WSi)によって第3導電層125を形成した場合には、1000℃程度で熱処理を行うことが好ましい。 When the third conductive layer 125 is formed of metal silicide, a heat treatment is performed at about 600 to 1100 ° C. for about 5 to 30 seconds depending on the composition of the third conductive layer 125, for example, a short time annealing apparatus (RTA). Device). For example, when the third conductive layer 125 is formed of tungsten silicide (WSi x ), it is preferable to perform heat treatment at about 1000 ° C.

この熱処理により、最終的に得られる容量素子10の上部電極16やゲート電極47、57の電気抵抗を低減させることができる。また、第1導電層111および/または第2導電層115をポリシリコンで形成した場合には、上記の熱処理を行うことにより、層間絶縁膜80の成膜後に当該層間絶縁膜80の焼き締めのために行われる熱処理時等において、第3導電層125とその下地のポリシリコン層との間で剥離が生じるのを防止することができる。熱処理は、層間絶縁膜80を形成するまでの間の所望の時期に行うことができる。   By this heat treatment, the electrical resistance of the upper electrode 16 and the gate electrodes 47 and 57 of the capacitive element 10 finally obtained can be reduced. In addition, when the first conductive layer 111 and / or the second conductive layer 115 is formed of polysilicon, the heat treatment is performed so that the interlayer insulating film 80 is baked after the interlayer insulating film 80 is formed. Therefore, it is possible to prevent peeling between the third conductive layer 125 and the underlying polysilicon layer during the heat treatment performed for the purpose. The heat treatment can be performed at a desired time until the interlayer insulating film 80 is formed.

次いで、図5(A)に示すように、所定形状のエッチングマスク130を第3導電層125上に形成して、第3導電層125、第2導電層115A、115B、および第1導電層111をエッチングによりパターニングする。   Next, as illustrated in FIG. 5A, an etching mask 130 having a predetermined shape is formed over the third conductive layer 125, and the third conductive layer 125, the second conductive layers 115A and 115B, and the first conductive layer 111 are formed. Is patterned by etching.

このパターニングによって、図1または図2に示した容量素子10、第1ヒューズ素子20、第2ヒューズ素子30およびその下地層、ゲート電極47、ゲート電極57、配線59、抵抗素子60、および配線70が得られる。上層配線は未作製である。   By this patterning, the capacitive element 10, the first fuse element 20, the second fuse element 30 and the underlying layer, the gate electrode 47, the gate electrode 57, the wiring 59, the resistance element 60, and the wiring 70 shown in FIG. 1 or FIG. Is obtained. The upper layer wiring is not fabricated.

このとき使用するエッチングマスク130は、第3導電層125上に例えばフォトレジストを塗布し、このフォトレジスト層を選択的に露光した後に現像して、容量素子10の上部電極16、第1ヒューズ素子20、第2ヒューズ素子30、ゲート電極47、ゲート電極57、配線59、および配線70を形成しようとする領域上にフォトレジスト層を残すことによって得られる。   For the etching mask 130 used at this time, for example, a photoresist is applied on the third conductive layer 125, and after the photoresist layer is selectively exposed, the upper electrode 16 and the first fuse element of the capacitor element 10 are developed. 20, the second fuse element 30, the gate electrode 47, the gate electrode 57, the wiring 59, and the wiring 70 are obtained by leaving a photoresist layer on the region where the wiring 70 is to be formed.

エッチングは、例えばECRプラズマエッチング装置を用いて行うことができる。使用するエッチングガスは塩素(Cl)と酸素(O)との混合ガスであり、それぞれの
流量は、例えば25sccm、11sccmである。エッチング条件は、例えば、雰囲気圧約2mTorr(約2×1.333×10−1Pa)、RF電力40W、RF周波数13.56MHz、マイクロ波パワー1400W、マイクロ波周波数2.45GHz、電極温度15〜20℃とすることができる。
Etching can be performed using, for example, an ECR plasma etching apparatus. The etching gas used is a mixed gas of chlorine (Cl 2 ) and oxygen (O 2 ), and the flow rates thereof are, for example, 25 sccm and 11 sccm. Etching conditions are, for example, an atmospheric pressure of about 2 mTorr (about 2 × 1.333 × 10 −1 Pa), an RF power of 40 W, an RF frequency of 13.56 MHz, a microwave power of 1400 W, a microwave frequency of 2.45 GHz, and an electrode temperature of 15 to 20 It can be set to ° C.

このとき、図4(C)に示した誘電体層113A〜113Cは、エッチングマスク130に覆われていない領域がエッチングされて僅かに薄肉化はするものの、その平面視上の形状は殆ど変化しない。これらの誘電体層113A〜113Cは、エッチング停止層として機能する。これらの誘電体層113A〜113Cの下の第1導電層111が、誘電体層113A〜113Cに自己整合的にパターニングされる。   At this time, the dielectric layers 113 </ b> A to 113 </ b> C shown in FIG. 4C are slightly thinned by etching the regions not covered with the etching mask 130, but the shape in plan view hardly changes. . These dielectric layers 113A to 113C function as an etching stop layer. The first conductive layer 111 under these dielectric layers 113A to 113C is patterned in a self-aligned manner on the dielectric layers 113A to 113C.

エッチング終了後、エッチングマスク130を所定の剥離液を用いて剥離させる。   After the etching is finished, the etching mask 130 is peeled off using a predetermined peeling liquid.

この後、pチャネルMOSFET42およびnチャネルMOSFET52を得るために、所定の不純物をn型ウェル領域45およびp型ウェル領域55に添加し、活性化させる。   Thereafter, in order to obtain the p-channel MOSFET 42 and the n-channel MOSFET 52, a predetermined impurity is added to the n-type well region 45 and the p-type well region 55 and activated.

まず、図5(B)に示すように、pチャネルMOSFET42領域の上方に開口部OP1を有するマスク133を形成する。このマスク133は、素子分離絶縁膜5の大部分と、容量素子10、第1ヒューズ素子20、第2ヒューズ素子30、ゲート絶縁膜56、ゲート電極57、配線59、抵抗素子60、および配線70とを平面視上覆う。   First, as shown in FIG. 5B, a mask 133 having an opening OP1 is formed above the p-channel MOSFET 42 region. The mask 133 includes most of the element isolation insulating film 5, the capacitor element 10, the first fuse element 20, the second fuse element 30, the gate insulating film 56, the gate electrode 57, the wiring 59, the resistance element 60, and the wiring 70. Are covered in plan view.

次いで、開口部OP1を介して、n型ウェル領域45にp型不純物(ホウ素イオン等のp型イオン)を注入し、p型低濃度不純物添加領域144a、144bを形成する。マスク133を除去し、熱処理を施して、p型低濃度不純物添加領域144a、144
b内のp型不純物を活性化する。
Next, p-type impurities (p-type ions such as boron ions) are implanted into the n-type well region 45 through the opening OP1, thereby forming p -type low-concentration impurity-added regions 144a and 144b. The mask 133 is removed and heat treatment is performed, so that the p type low concentration impurity doped regions 144a and 144 are formed.
The p-type impurity in b is activated.

次に、図5(C)に示すように、nチャネルMOSFET52領域の上方に開口部OP3を有し、第1ヒューズ素子20、第2ヒューズ素子30、及び配線70の上方に開口部OP4を有するマスク135を形成する。このマスク135は、素子分離絶縁膜5の大部分と、容量素子10、ゲート絶縁膜46、ゲート電極47、および抵抗素子60とを平面視上覆う。   Next, as shown in FIG. 5C, an opening OP3 is provided above the n-channel MOSFET 52 region, and an opening OP4 is provided above the first fuse element 20, the second fuse element 30, and the wiring 70. A mask 135 is formed. The mask 135 covers most of the element isolation insulating film 5 and the capacitor element 10, the gate insulating film 46, the gate electrode 47, and the resistance element 60 in plan view.

次いで、開口部OP3、OP4を介してn型不純物(リンイオン等のn型イオン)を注入する。p型ウェル領域55にn型低濃度不純物添加領域154a、154bが形成されると共に、第1ヒューズ素子20、第2ヒューズ素子30、及び配線70にn型不純物が注入される。マスク135を除去し、熱処理を施して、n型低濃度不純物添加領域154a、154b内、第1ヒューズ素子20、第2ヒューズ素子30内。及び配線70内のn型不純物を活性化する。 Next, n-type impurities (n-type ions such as phosphorus ions) are implanted through the openings OP3 and OP4. N type lightly doped regions 154 a and 154 b are formed in the p type well region 55, and n type impurities are implanted into the first fuse element 20, the second fuse element 30, and the wiring 70. The mask 135 is removed and heat treatment is performed, and the n type low concentration impurity added regions 154 a and 154 b, the first fuse element 20 and the second fuse element 30. In addition, the n-type impurity in the wiring 70 is activated.

図5(C)に示した工程で、マスク135に、容量素子10を露出させる開口を形成してもよい。この開口を形成すると、図5(C)のイオン注入工程で、容量素子10の上部電極を構成している第2導電層115にも不純物が注入される。図4(A)の工程で第2導電膜115にドープされる不純物と、図5(C)の工程で注入される不純物との合計の濃度が1×1020cm−3になるようにすればよい。この場合には、図4(A)のイオン注入工程で注入する不純物の濃度を、1×1020cm−3よりも低く設定しておく。 In the step illustrated in FIG. 5C, an opening for exposing the capacitor 10 may be formed in the mask 135. When this opening is formed, impurities are also implanted into the second conductive layer 115 constituting the upper electrode of the capacitor 10 in the ion implantation step of FIG. The total concentration of the impurity doped into the second conductive film 115 in the step of FIG. 4A and the impurity implanted in the step of FIG. 5C is 1 × 10 20 cm −3. That's fine. In this case, the concentration of the impurity implanted in the ion implantation step in FIG. 4A is set lower than 1 × 10 20 cm −3 .

次に、図6(A)に示すように、サイドウォールスペーサSWを、ゲート電極47の側面およびゲート電極57の側面に形成する。   Next, as shown in FIG. 6A, sidewall spacers SW are formed on the side surfaces of the gate electrode 47 and the side surfaces of the gate electrode 57.

サイドウォールスペーサSWは、例えば、酸化シリコン等の絶縁膜を全面に形成し、この絶縁膜をリアクティブイオンエッチング等の異方性エッチングでエッチバックすることによって形成される。平坦面上の絶縁膜を除去した段階で、側面上にのみ、サイドウォールスペーサSWとしての絶縁膜が残る。   The sidewall spacer SW is formed, for example, by forming an insulating film such as silicon oxide on the entire surface and etching back the insulating film by anisotropic etching such as reactive ion etching. At the stage where the insulating film on the flat surface is removed, the insulating film as the sidewall spacer SW remains only on the side surface.

容量素子10、第1ヒューズ素子20、第2ヒューズ素子30、抵抗素子60、および配線70の側面にも、それぞれ、サイドウォールスペーサSWが形成される。   Side wall spacers SW are also formed on the side surfaces of the capacitor element 10, the first fuse element 20, the second fuse element 30, the resistance element 60, and the wiring 70.

なお、サイドウォールスペーサSWを形成する際に、抵抗素子60上の誘電体層65もエッチバックされることがあるが、抵抗素子60(第1導電層111)はポリシリコンで形成されているのでエッチングされない。さらには、この誘電体層65の膜厚や材質、ひいては誘電体層113(図3(C)参照)の膜厚や材質を適宜選択することにより、当該誘電体層65を抵抗素子60用のエッチング保護膜として機能させることができる。また、誘電体層65がシリコン窒化膜の場合には、シリコン酸化膜エッチング時のマスクともなる。   Note that when the sidewall spacer SW is formed, the dielectric layer 65 on the resistance element 60 may also be etched back, but the resistance element 60 (first conductive layer 111) is formed of polysilicon. Not etched. Furthermore, by appropriately selecting the film thickness and material of the dielectric layer 65, and hence the film thickness and material of the dielectric layer 113 (see FIG. 3C), the dielectric layer 65 is used for the resistance element 60. It can function as an etching protective film. Further, when the dielectric layer 65 is a silicon nitride film, it also serves as a mask for etching the silicon oxide film.

また、サイドウォールスペーサSWを形成する際のエッチバックによって、ソース領域43S、53S上のゲート酸化膜46、56、およびドレイン領域43D、53D上のゲート酸化膜46、56が一般に除去される。ただし、その後に自然酸化膜が成長する。   In addition, the gate oxide films 46 and 56 on the source regions 43S and 53S and the gate oxide films 46 and 56 on the drain regions 43D and 53D are generally removed by etch back when forming the sidewall spacer SW. However, a natural oxide film grows thereafter.

本明細書においては、便宜上、サイドウォールスペーサSWの形成時にゲート酸化膜46、56が局所的に除去された領域にその後に成長した自然酸化膜と、このとき除去されずに残ったゲート酸化膜46、56とを併せて、サイドウォールスペーサSWの形成後においても「ゲート酸化膜46、56」という。   In this specification, for the sake of convenience, a natural oxide film that has subsequently grown in a region where the gate oxide films 46 and 56 are locally removed when the sidewall spacer SW is formed, and a gate oxide film that remains without being removed at this time. 46 and 56 are also referred to as “gate oxide films 46 and 56” even after the formation of the sidewall spacer SW.

次に、図6(B)に示すように、pチャネルMOSFET42領域の上方に開口部OP5を有するマスク137を形成する。マスク137には、必要に応じて、第1ヒューズ素子20または第2ヒューズ素子30の上方にも開口部を設けることができる。図示の例では、第2ヒューズ素子30の上方に開口部OP6が設けられている。   Next, as shown in FIG. 6B, a mask 137 having an opening OP5 is formed above the p-channel MOSFET 42 region. The mask 137 may have an opening above the first fuse element 20 or the second fuse element 30 as necessary. In the illustrated example, an opening OP6 is provided above the second fuse element 30.

図示のマスク137は、素子分離絶縁膜5の大部分と、容量素子10、第1ヒューズ素子20、ゲート絶縁膜56、ゲート電極57、配線59、抵抗素子60、および配線70とを平面視上覆う。   The illustrated mask 137 includes most of the element isolation insulating film 5 and the capacitor element 10, the first fuse element 20, the gate insulating film 56, the gate electrode 57, the wiring 59, the resistance element 60, and the wiring 70 in plan view. cover.

次いで、開口部OP5を介して、n型ウェル領域45にp型不純物(ボロン等)を注入し、ドレイン領域43Dおよびソース領域43Sを形成する。これに伴い、p型低濃度不純物添加領域144a、144bは狭くなり、ゲート電極47の側面に形成されているサイドウォールスペーサSWの下方にのみ残る。低濃度ドレイン領域44aおよび低濃度ソース領域44bが得られる。 Next, a p-type impurity (boron or the like) is implanted into the n-type well region 45 through the opening OP5 to form the drain region 43D and the source region 43S. Along with this, the p type low concentration impurity doped regions 144 a and 144 b become narrow and remain only under the sidewall spacer SW formed on the side surface of the gate electrode 47. A lightly doped drain region 44a and a lightly doped source region 44b are obtained.

このとき、開口部OP6を介して、第2ヒューズ素子30にもp型不純物(ボロン等)が注入される。第2ヒューズ素子30の電気抵抗が高くなり、その切断特性が変化する。この場合、第2ヒューズ素子30は切断され難くなる。   At this time, p-type impurities (such as boron) are also implanted into the second fuse element 30 through the opening OP6. The electrical resistance of the second fuse element 30 increases, and the cutting characteristics thereof change. In this case, the second fuse element 30 is difficult to be cut.

この後、マスク137を除去し、熱処理を施して、ドレイン領域43D、ソース領域43S内のp型不純物および第2ヒューズ素子30内のp型不純物を活性化する。   Thereafter, the mask 137 is removed and heat treatment is performed to activate the p-type impurities in the drain region 43D and the source region 43S and the p-type impurities in the second fuse element 30.

なお、第2ヒューズ素子30にp型不純物を添加することは、図2に示した半導体装置100を得るうえでの必須の要件ではない。第2ヒューズ素子30に代えて、第1ヒューズ素子20にp型不純物を添加してもよい。また、第1および第2ヒューズ素子20、30のいずれにも不純物を添加しないようにしてもよい。第1および第2ヒューズ素子20、30のいずれにも不純物を添加しない場合には、マスク137に1つの開口部OP5のみを形成する。   Note that adding a p-type impurity to the second fuse element 30 is not an essential requirement for obtaining the semiconductor device 100 shown in FIG. Instead of the second fuse element 30, a p-type impurity may be added to the first fuse element 20. Further, no impurity may be added to any of the first and second fuse elements 20 and 30. When no impurity is added to any of the first and second fuse elements 20 and 30, only one opening OP5 is formed in the mask 137.

次に、図6(C)に示すように、nチャネルMOSFET52領域の上方に開口部OP7を有し、第1ヒューズ素子20および配線70の上方に開口部OP8を有するマスク139を形成する。このマスク139は、素子分離絶縁膜5の大部分と、容量素子10、第2ヒューズ素子30、ゲート絶縁膜46、ゲート電極47、および抵抗素子60とを平面視上覆う。   Next, as shown in FIG. 6C, a mask 139 having an opening OP7 above the n-channel MOSFET 52 region and an opening OP8 above the first fuse element 20 and the wiring 70 is formed. The mask 139 covers most of the element isolation insulating film 5 and the capacitor element 10, the second fuse element 30, the gate insulating film 46, the gate electrode 47, and the resistance element 60 in plan view.

次いで、開口部OP7、OP8を介して、p型ウェル領域55、第1ヒューズ素子20、配線70にn型不純物(リン等)を注入する。p型ウェル領域55にドレイン領域53Dおよびソース領域53Sが形成され、n型低濃度不純物添加領域154a、154bは、ゲート電極57の側面に形成されているサイドウォールスペーサSWの下方にのみ残る。低濃度ドレイン領域54aおよび低濃度ソース領域54bが得られる。 Next, an n-type impurity (phosphorus or the like) is implanted into the p-type well region 55, the first fuse element 20, and the wiring 70 through the openings OP7 and OP8. A drain region 53D and a source region 53S are formed in the p-type well region 55, and the n -type low-concentration impurity-added regions 154a and 154b remain only below the sidewall spacer SW formed on the side surface of the gate electrode 57. A lightly doped drain region 54a and a lightly doped source region 54b are obtained.

この後、マスク139を除去し、熱処理を施して、ドレイン領域53D、ソース領域53S内のn型不純物を活性化する。   Thereafter, the mask 139 is removed and heat treatment is performed to activate the n-type impurities in the drain region 53D and the source region 53S.

上述のようにしてpチャネルMOSFET42を構成する不純物添加領域、およびnチャネルMOSFET52を構成する不純物添加領域を形成した後、層間絶縁膜80(図2参照)を形成する。   After forming the impurity doped region constituting the p-channel MOSFET 42 and the impurity doped region constituting the n-channel MOSFET 52 as described above, the interlayer insulating film 80 (see FIG. 2) is formed.

層間絶縁膜80は、比較的厚膜の電気的絶縁膜、例えば膜厚が300〜1500nm程度、好ましくは500〜1000nm程度のシリコン酸化物膜、PSG膜やBPSG膜等のドープドシリコン酸化膜、あるいはこれらの積層膜をCVD等によって堆積させることで形成される。   The interlayer insulating film 80 is a relatively thick electrical insulating film, for example, a silicon oxide film having a thickness of about 300 to 1500 nm, preferably about 500 to 1000 nm, a doped silicon oxide film such as a PSG film or a BPSG film, Alternatively, it is formed by depositing these laminated films by CVD or the like.

次いで、この層間絶縁膜80の所定箇所にコンタクトホール、例えば図1または図2に示したコンタクトホールCH1〜CH15を形成する。コンタクトホールCH1〜CH15は、例えば、所定形状のエッチングマスクを層間絶縁膜80上に形成した後に、このエッチングマスクから露出している領域をエッチングによって除去することで形成される。   Next, contact holes, for example, contact holes CH1 to CH15 shown in FIG. 1 or FIG. The contact holes CH1 to CH15 are formed, for example, by forming an etching mask having a predetermined shape on the interlayer insulating film 80 and then removing the region exposed from the etching mask by etching.

各コンタクトホール内に、ライナーメタル、例えばTi/TiN(TiON)等の積層膜を形成した後、タングステン(W)やアルミニウム(またはアルミニウム合金)、または銅(または銅合金)等の導電性材料を埋め込んでコンタクトプラグを形成した後、上層配線の元となる金属膜を層間絶縁膜80上に形成し、さらに、その上に所定形状のエッチングマスクを形成する。   After forming a liner metal, for example, a laminated film such as Ti / TiN (TiON) in each contact hole, a conductive material such as tungsten (W), aluminum (or aluminum alloy), or copper (or copper alloy) is formed. After forming the contact plug by embedding, a metal film serving as an upper layer wiring is formed on the interlayer insulating film 80, and an etching mask having a predetermined shape is further formed thereon.

この後、金属膜のうち、エッチングマスクから露出している領域をエッチングによって除去して、所望形状の上層配線を得る。図1または図2に示した半導体装置100が得られる。コンタクトホール内のプラグの形成、またはプラグと配線の形成を、ダマシンプロセス、またはデュアルダマシンプロセスを用いて行ってもよい。   Thereafter, the region of the metal film exposed from the etching mask is removed by etching to obtain an upper layer wiring having a desired shape. The semiconductor device 100 shown in FIG. 1 or FIG. 2 is obtained. The formation of the plug in the contact hole or the formation of the plug and the wiring may be performed using a damascene process or a dual damascene process.

以上説明した製造方法に従えば、2種類のマスク(エッチングマスク120、130)を使い分けて所定の層をパターニングするだけで、容量素子10、第1ヒューズ素子20、第2ヒューズ素子30、ゲート電極47、ゲート電極57、抵抗素子60および配線70をp型半導体基板1上に形成することが可能である。前述した種々の利点を有する半導体装置100を少ない工程数で製造することが可能である。   According to the manufacturing method described above, the capacitor element 10, the first fuse element 20, the second fuse element 30, and the gate electrode can be obtained simply by patterning a predetermined layer using two types of masks (etching masks 120 and 130). 47, the gate electrode 57, the resistance element 60, and the wiring 70 can be formed on the p-type semiconductor substrate 1. The semiconductor device 100 having the various advantages described above can be manufactured with a small number of steps.

次に、上述した第1の実施例による半導体装置100の変形例について、図7〜図11を参照しつつ説明する。   Next, a modification of the semiconductor device 100 according to the first embodiment described above will be described with reference to FIGS.

図7(A)は、第1の変形例による半導体装置200の構成要素である第1ヒューズ素子220と配線270との平面配置を概略的に示す。   FIG. 7A schematically shows a planar arrangement of the first fuse element 220 and the wiring 270 that are components of the semiconductor device 200 according to the first modification.

図7(B)は、図7(A)に示したVII−VII線に沿った半導体装置200の断面の一部を概略的に示す。   FIG. 7B schematically shows a part of a cross section of the semiconductor device 200 taken along the line VII-VII shown in FIG.

図7(A)に示すように、半導体装置200においては、図1に示した第1ヒューズ素子20と配線70とに代えて、第1ヒューズ素子220と配線270とが半導体基板1の片面に形成される。第1ヒューズ素子220と配線270とは直列に配置され、3つのコンタクトホールCH20、CH21、CH22が、第1ヒューズ素子220と配線270とに対応する。他の回路構成は、前述した半導体装置100と同様である。   As shown in FIG. 7A, in the semiconductor device 200, a first fuse element 220 and a wiring 270 are provided on one side of the semiconductor substrate 1 instead of the first fuse element 20 and the wiring 70 shown in FIG. It is formed. The first fuse element 220 and the wiring 270 are arranged in series, and the three contact holes CH20, CH21, and CH22 correspond to the first fuse element 220 and the wiring 270. Other circuit configurations are the same as those of the semiconductor device 100 described above.

図7(B)に示すように、第1ヒューズ素子220の第1可溶断層と、配線270の第1配線層とは、素子分離絶縁膜205上に形成された1つの導電層210によって構成される。第1ヒューズ素子220の第2可溶断層と配線270の第2配線層とは、第1導電層210上に形成された他の1つの導電層215によって構成される。   As shown in FIG. 7B, the first fusible fault of the first fuse element 220 and the first wiring layer of the wiring 270 are configured by one conductive layer 210 formed on the element isolation insulating film 205. Is done. The second fusible fault of the first fuse element 220 and the second wiring layer of the wiring 270 are constituted by another conductive layer 215 formed on the first conductive layer 210.

なお、第1ヒューズ素子220の下方には、半導体装置100と同様に、図示を省略したn型ウェル領域が形成されている。第1ヒューズ素子220および配線270それぞれの側面に、サイドウォールスペーサSWが形成されている。   Note that an n-type well region (not shown) is formed below the first fuse element 220, as in the semiconductor device 100. Sidewall spacers SW are formed on the side surfaces of the first fuse element 220 and the wiring 270.

このような構成を有する半導体装置200は、図4(C)に示した第1導電層111によって導電層210を形成し、同図に示した第3導電層125によって導電層215を形成することにより、前述した半導体装置100と同様に、少ない工程数で製造することができる。   In the semiconductor device 200 having such a structure, the conductive layer 210 is formed using the first conductive layer 111 illustrated in FIG. 4C, and the conductive layer 215 is formed using the third conductive layer 125 illustrated in FIG. Thus, like the semiconductor device 100 described above, it can be manufactured with a small number of steps.

3つのコンタクトホールCH20〜CH22を層間絶縁膜80(図7(B)参照)に形成し、これらのコンタクトホールCH20〜CH22内に、それぞれコンタクトプラグP20、P21及びP22を埋め込むことにより、第1ヒューズ素子220と上層配線290、291とを導通させることができると共に、配線270と上層配線291、292とを導通させることができる。   Three contact holes CH20 to CH22 are formed in the interlayer insulating film 80 (see FIG. 7B), and contact plugs P20, P21, and P22 are embedded in these contact holes CH20 to CH22, respectively, thereby forming the first fuse. The element 220 and the upper layer wirings 290 and 291 can be made conductive, and the wiring 270 and the upper layer wirings 291 and 292 can be made conductive.

所望の機能を有する回路の専有面積を低減させることができ、その分、半導体装置200の小型化を図ることができる。   The area occupied by a circuit having a desired function can be reduced, and the semiconductor device 200 can be downsized accordingly.

図7(C)に示すように、第1ヒューズ素子220の一方の縁から内側に向かう切り欠き220aを形成してもよい。切り欠き220aを形成すると、電流の集中が発生し、ヒューズ素子220が切断されやすくなる。切り欠きの形状は、例えばくさび状とすることができる。   As shown in FIG. 7C, a notch 220a that extends inward from one edge of the first fuse element 220 may be formed. When the notch 220a is formed, current concentration occurs and the fuse element 220 is easily cut. The shape of the notch can be, for example, a wedge shape.

次に、第2の変形例による半導体装置について、図8(A)および図8(B)を参照しつつ説明する。   Next, a semiconductor device according to a second modification will be described with reference to FIGS. 8A and 8B.

図8(A)は、第2の変形例による半導体装置300の構成要素である第2ヒューズ素子330と配線370との平面配置を概略的に示す。   FIG. 8A schematically shows a planar arrangement of the second fuse element 330 and the wiring 370 which are components of the semiconductor device 300 according to the second modification.

図8(B)は、図8(A)に示したVIII−VIII線に沿った半導体装置300の断面の一部を概略的に示す。   FIG. 8B schematically shows a part of a cross section of the semiconductor device 300 taken along line VIII-VIII shown in FIG.

図8(A)に示すように、半導体装置300においては、図1に示した第2ヒューズ素子30と配線70とに代えて、第2ヒューズ素子330と配線370とが半導体基板1の片面に形成される。第2ヒューズ素子330と配線370とは直列に配置され、3つのコンタクトホールCH30、CH31、CH32が、第2ヒューズ素子330と配線370とに対応する。他の回路構成は、前述した半導体装置100と同様である。   As shown in FIG. 8A, in the semiconductor device 300, a second fuse element 330 and a wiring 370 are provided on one surface of the semiconductor substrate 1 instead of the second fuse element 30 and the wiring 70 shown in FIG. It is formed. The second fuse element 330 and the wiring 370 are arranged in series, and the three contact holes CH30, CH31, and CH32 correspond to the second fuse element 330 and the wiring 370. Other circuit configurations are the same as those of the semiconductor device 100 described above.

図8(B)に示すように、第2ヒューズ素子330は、下地層320上の絶縁膜315上に形成される。下地層320を構成している第1下地層と配線370の第1配線層とは、素子分離絶縁膜305上に形成された1つの導電層310によって構成される。   As shown in FIG. 8B, the second fuse element 330 is formed on the insulating film 315 on the base layer 320. The first base layer constituting the base layer 320 and the first wiring layer of the wiring 370 are configured by one conductive layer 310 formed on the element isolation insulating film 305.

第1下地層(導電層310)上に第2下地層315が形成され、その上に第2ヒューズ素子330の第1可溶断層332と第2可溶断層とがこの順番で積層配置される。第2可溶断層と配線370の第2配線層とは、第1可溶断層332の上面から導電膜310の上面にかけて形成された1つの導電層334によって構成される。   A second foundation layer 315 is formed on the first foundation layer (conductive layer 310), and a first soluble fault 332 and a second soluble fault of the second fuse element 330 are stacked in this order on the second foundation layer 315. . The second soluble fault and the second wiring layer of the wiring 370 are configured by one conductive layer 334 formed from the upper surface of the first soluble fault 332 to the upper surface of the conductive film 310.

なお、第2ヒューズ素子330の下方には、半導体装置100と同様に、図示を省略したn型ウェル領域が形成されている。第2ヒューズ素子330および配線370それぞれの側面にサイドウォールスペーサSWが形成されている。   Note that an n-type well region (not shown) is formed below the second fuse element 330, as in the semiconductor device 100. Sidewall spacers SW are formed on the side surfaces of the second fuse element 330 and the wiring 370, respectively.

このような構成を有する半導体装置300では、図4(A)に示した第1導電層111によって導電層310を形成し、同図に示した誘電体層113によって第2下地層315を形成することができる。また、図4(A)に示した第2導電層115によって第1可溶断層332を形成し、図4(C)に示した第3導電層125によって導電層334を形成することができる。   In the semiconductor device 300 having such a structure, the conductive layer 310 is formed using the first conductive layer 111 shown in FIG. 4A, and the second base layer 315 is formed using the dielectric layer 113 shown in FIG. be able to. Further, the first soluble fault 332 can be formed by the second conductive layer 115 shown in FIG. 4A, and the conductive layer 334 can be formed by the third conductive layer 125 shown in FIG. 4C.

この半導体装置300は、前述した半導体装置100と同様に、少ない工程数で製造することができる。   Similar to the semiconductor device 100 described above, the semiconductor device 300 can be manufactured with a small number of steps.

3つのコンタクトホールCH30〜CH32を層間絶縁膜80(図8(B)参照)に形成し、これらのコンタクトホールCH30〜CH32内にコンタクトプラグP30、P31またはP32を埋め込むことにより、第2ヒューズ素子330と上層配線390〜391とを導通させることができると共に、配線370と上層配線391〜392とを導通させることができる。   Three contact holes CH30 to CH32 are formed in the interlayer insulating film 80 (see FIG. 8B), and contact plugs P30, P31, or P32 are embedded in these contact holes CH30 to CH32, whereby the second fuse element 330 is formed. And the upper layer wirings 390 to 391 can be conducted, and the wiring 370 and the upper layer wirings 391 to 392 can be conducted.

所望の機能を有する回路の専有面積を低減させることができ、その分、半導体装置300の小型化を図ることができる。   The area occupied by a circuit having a desired function can be reduced, and the semiconductor device 300 can be downsized accordingly.

第2ヒューズ素子330に、図7(C)に示した切り欠き220aと同様の切り欠きを形成してもよい。   A cutout similar to the cutout 220a shown in FIG. 7C may be formed in the second fuse element 330.

次に、第3の変形例による半導体装置について、図9(A)および図9(B)を参照しつつ説明する。   Next, a semiconductor device according to a third modification will be described with reference to FIGS. 9A and 9B.

図9(A)は、第3の変形例による半導体装置400の構成要素である第1ヒューズ素子420と抵抗素子460との平面配置を概略的に示す。   FIG. 9A schematically shows a planar arrangement of a first fuse element 420 and a resistance element 460 that are components of a semiconductor device 400 according to the third modification.

図9(B)は、図9(A)に示したIX−IX線に沿った半導体装置400の断面の一部を概略的に示す。   FIG. 9B schematically shows part of a cross section of the semiconductor device 400 taken along line IX-IX shown in FIG.

図9(A)に示すように、半導体装置400においては、図1に示した第1ヒューズ素子20と抵抗素子60とに代えて、第1ヒューズ素子420と抵抗素子460とが半導体基板1の片面に形成される。第1ヒューズ素子420と抵抗素子460とは直列に配置され、3つのコンタクトホールCH40、CH41、CH42が、第1ヒューズ素子420と抵抗素子460とに対応する。他の回路構成は、前述した半導体装置100と同様である。   As shown in FIG. 9A, in the semiconductor device 400, a first fuse element 420 and a resistance element 460 are formed on the semiconductor substrate 1 in place of the first fuse element 20 and the resistance element 60 shown in FIG. It is formed on one side. The first fuse element 420 and the resistance element 460 are arranged in series, and the three contact holes CH40, CH41, and CH42 correspond to the first fuse element 420 and the resistance element 460. Other circuit configurations are the same as those of the semiconductor device 100 described above.

図9(B)に示すように、第1ヒューズ素子420の第1可溶断層と抵抗素子460とは、素子分離絶縁膜405上に形成された1つの導電層410によって構成される。   As shown in FIG. 9B, the first fusible fault of the first fuse element 420 and the resistance element 460 are constituted by one conductive layer 410 formed on the element isolation insulating film 405.

第1ヒューズ素子420は、導電層(第1可溶断層)410上に形成された第2可溶断層424を有し、抵抗素子460上には誘電体層465が配置される。   The first fuse element 420 has a second soluble fault 424 formed on the conductive layer (first soluble fault) 410, and a dielectric layer 465 is disposed on the resistance element 460.

コンタクトホールCH41の下方には、誘電体層465の一端が位置し、その上に第2導電層415の一端が位置し、その上に第2可溶断層424の一端が位置する。   One end of the dielectric layer 465 is positioned below the contact hole CH41, one end of the second conductive layer 415 is positioned thereon, and one end of the second soluble fault 424 is positioned thereon.

第1ヒューズ素子420の下方および抵抗素子460の下方には、半導体装置100と同様に、図示を省略したn型ウェル領域が形成されている。第1ヒューズ素子420および抵抗素子460それぞれの側面にサイドウォールスペーサSWが形成されている。   Similar to the semiconductor device 100, an n-type well region (not shown) is formed below the first fuse element 420 and below the resistance element 460. Sidewall spacers SW are formed on the side surfaces of the first fuse element 420 and the resistance element 460, respectively.

このような構成を有する半導体装置400は、図4(C)に示した第1導電層111によって導電層410を形成し、同図に示した第3導電層125によって第2可溶断層424を形成することにより、前述した半導体装置100と同様に、少ない工程数で製造することができる。   In the semiconductor device 400 having such a structure, the conductive layer 410 is formed using the first conductive layer 111 illustrated in FIG. 4C, and the second soluble fault 424 is formed using the third conductive layer 125 illustrated in FIG. By forming the semiconductor device 100, it can be manufactured with a small number of steps as in the semiconductor device 100 described above.

3つのコンタクトホールCH40〜CH42を層間絶縁膜80(図9(B)参照)に形成し、これらのコンタクトホールCH40〜CH42内に、それぞれコンタクトプラグP40、P41及びP42を埋め込むことにより、第1ヒューズ素子420と上層配線490、491とを導通させることができると共に、抵抗素子460と上層配線491、492とを導通させることができる。   Three contact holes CH40 to CH42 are formed in the interlayer insulating film 80 (see FIG. 9B), and contact plugs P40, P41, and P42 are embedded in these contact holes CH40 to CH42, respectively, thereby forming the first fuse. The element 420 and the upper layer wirings 490 and 491 can be conducted, and the resistance element 460 and the upper layer wiring 491 and 492 can be conducted.

所望の機能を有する回路の専有面積を低減させることができ、その分、半導体装置400の小型化を図ることができる。   The area occupied by a circuit having a desired function can be reduced, and the semiconductor device 400 can be downsized accordingly.

第1ヒューズ素子420に、図7(C)に示した切り欠き220aと同様の切り欠きを形成してもよい。   A cutout similar to the cutout 220 a shown in FIG. 7C may be formed in the first fuse element 420.

次に、第4の変形例による半導体装置について、図10(A)および図10(B)を参照しつつ説明する。   Next, a semiconductor device according to a fourth modification will be described with reference to FIGS. 10 (A) and 10 (B).

図10(A)は、第4の変形例による半導体装置500の構成要素である第2ヒューズ素子530と抵抗素子560との平面配置を概略的に示す。   FIG. 10A schematically shows a planar arrangement of a second fuse element 530 and a resistance element 560 that are components of a semiconductor device 500 according to the fourth modification.

図10(B)は、図10(A)に示したX−X線に沿った半導体装置500の断面の一部を概略的に示す。   FIG. 10B schematically shows part of a cross section of the semiconductor device 500 taken along line XX shown in FIG.

図10(A)に示すように、半導体装置500においては、図1に示した第2ヒューズ素子30と抵抗素子60とに代えて、第2ヒューズ素子530と抵抗素子560とが半導体基板1の片面に形成される。第2ヒューズ素子530と抵抗素子560とは直列に配置され、3つのコンタクトホールCH50、CH51、CH52が、第2ヒューズ素子530と抵抗素子560とに対応する。他の回路構成は、前述した半導体装置100と同様である。   As shown in FIG. 10A, in the semiconductor device 500, instead of the second fuse element 30 and the resistor element 60 shown in FIG. It is formed on one side. The second fuse element 530 and the resistance element 560 are arranged in series, and the three contact holes CH50, CH51, and CH52 correspond to the second fuse element 530 and the resistance element 560. Other circuit configurations are the same as those of the semiconductor device 100 described above.

図10(B)に示すように、第2ヒューズ素子530は、下地層520上に形成される。下地層520を構成している第1下地層と抵抗素子560とは、素子分離絶縁膜505上に形成された1つの導電層510によって構成される。   As shown in FIG. 10B, the second fuse element 530 is formed on the base layer 520. The first base layer constituting the base layer 520 and the resistance element 560 are configured by one conductive layer 510 formed on the element isolation insulating film 505.

第1下地層(導電層510)上に第2下地層515が形成され、その上に第2ヒューズ素子530の第1可溶断層532と第2可溶断層534とがこの順番で積層配置される。抵抗素子560上には誘電体層565が配置される。この誘電体層565の材料と第2下地層515の材料とは同じであり、1つの誘電体層をパターニングすることよって形成される。   A second underlayer 515 is formed on the first underlayer (conductive layer 510), and a first soluble fault 532 and a second soluble fault 534 of the second fuse element 530 are stacked in this order on the second underlayer 515. The A dielectric layer 565 is disposed on the resistance element 560. The material of the dielectric layer 565 and the material of the second underlayer 515 are the same, and are formed by patterning one dielectric layer.

コンタクトホールCH51の下方においては、素子分離絶縁膜505上に第1導電層510、第1可溶断層532および第2可溶断層534が順次積層された層構成となっている。   Below the contact hole CH51, the first conductive layer 510, the first soluble fault 532, and the second soluble fault 534 are sequentially stacked on the element isolation insulating film 505.

なお、第2ヒューズ素子530の下方および抵抗素子560の下方には、半導体装置100と同様に、図示を省略したn型ウェル領域が形成されている。第2ヒューズ素子530および抵抗素子560それぞれの側面にサイドウォールスペーサSWが形成されている。   Note that an n-type well region (not shown) is formed below the second fuse element 530 and below the resistance element 560, as in the semiconductor device 100. Sidewall spacers SW are formed on the side surfaces of the second fuse element 530 and the resistance element 560, respectively.

このような構成を有する半導体装置500では、図4(A)に示した第1導電層111によって導電層510を形成し、同図に示した誘電体層113によって第2下地層515を形成することができる。また、図4(A)に第2導電層115によって第1可溶断層532を形成し、図4(C)に示した第3導電層125によって第2可溶断層534を形成することができる。   In the semiconductor device 500 having such a structure, the conductive layer 510 is formed using the first conductive layer 111 shown in FIG. 4A, and the second base layer 515 is formed using the dielectric layer 113 shown in FIG. be able to. 4A, the first soluble fault 532 can be formed by the second conductive layer 115, and the second soluble fault 534 can be formed by the third conductive layer 125 shown in FIG. 4C. .

この半導体装置500は、前述した半導体装置100と同様に、少ない工程数で製造することができる。   Similar to the semiconductor device 100 described above, the semiconductor device 500 can be manufactured with a small number of steps.

3つのコンタクトホールCH50〜CH52を層間絶縁膜80(図10(B)参照)に形成し、これらのコンタクトホールCH50〜CH52内に、それぞれコンタクトプラグP50、P51及びP52を埋め込むことにより、第2ヒューズ素子530と上層配線590〜591とを導通させることができると共に、抵抗素子560と上層配線591〜592とを導通させることができる。   Three contact holes CH50 to CH52 are formed in the interlayer insulating film 80 (see FIG. 10B), and contact plugs P50, P51, and P52 are buried in these contact holes CH50 to CH52, respectively, thereby forming the second fuse. The element 530 and the upper layer wirings 590 to 591 can be conducted, and the resistance element 560 and the upper layer wirings 591 to 592 can be conducted.

所望の機能を有する回路の専有面積を低減させることができ、その分、半導体装置500の小型化を図ることができる。   The area occupied by a circuit having a desired function can be reduced, and the semiconductor device 500 can be downsized accordingly.

第2ヒューズ素子530に、図7(C)に示した切り欠き220aと同様の切り欠きを形成してもよい。   A cutout similar to the cutout 220a shown in FIG. 7C may be formed in the second fuse element 530.

次に、第5の変形例による半導体装置について、図11(A)および図11(B)を参照しつつ説明する。   Next, a semiconductor device according to a fifth modification will be described with reference to FIGS. 11 (A) and 11 (B).

図11(A)は、第5の変形例による半導体装置600の構成要素である容量素子610と第2ヒューズ素子630との平面配置を概略的に示す。   FIG. 11A schematically shows a planar arrangement of a capacitor element 610 and a second fuse element 630 that are components of a semiconductor device 600 according to the fifth modification.

図11(B)は、図11(A)に示したXI−XI線に沿った半導体装置600の断面の一部を概略的に示す。   FIG. 11B schematically shows part of a cross section of the semiconductor device 600 taken along the line XI-XI shown in FIG.

図11(A)に示すように、半導体装置600においては、図1に示した容量素子10と第2ヒューズ素子30とに代えて、容量素子610と第2ヒューズ素子630とが半導体基板1の片面に形成される。容量素子610と第2ヒューズ素子630とは直列に配置される。他の回路構成は、前述した半導体装置100と同様である。   As shown in FIG. 11A, in the semiconductor device 600, the capacitor element 610 and the second fuse element 630 are replaced with the capacitor element 610 and the second fuse element 30 shown in FIG. It is formed on one side. The capacitive element 610 and the second fuse element 630 are arranged in series. Other circuit configurations are the same as those of the semiconductor device 100 described above.

3つのコンタクトホールCH60、CH61、CH62が、容量素子610と第2ヒューズ素子630とに対応する。コンタクトホールCH60は、第2ヒューズ素子630の一端に対応し、コンタクトホールCH61は、第2ヒューズ素子630の他端と容量素子610の上部電極616に対応する。コンタクトホールCH62は、容量素子610の下部電極612に対応する。   Three contact holes CH60, CH61, and CH62 correspond to the capacitor element 610 and the second fuse element 630. The contact hole CH60 corresponds to one end of the second fuse element 630, and the contact hole CH61 corresponds to the other end of the second fuse element 630 and the upper electrode 616 of the capacitor element 610. The contact hole CH62 corresponds to the lower electrode 612 of the capacitor 610.

図11(B)に示すように、容量素子610は、素子分離絶縁膜605上に形成された下部電極612と、その上に形成された容量絶縁膜614と、その上に形成された上部電極616とを有する。上部電極616は、容量絶縁膜614上に形成された第1上部電極616aと、その上に形成された第2上部電極616bとの2層構造を有する。   As shown in FIG. 11B, the capacitor 610 includes a lower electrode 612 formed over the element isolation insulating film 605, a capacitor insulating film 614 formed thereon, and an upper electrode formed thereon. 616. The upper electrode 616 has a two-layer structure of a first upper electrode 616a formed on the capacitor insulating film 614 and a second upper electrode 616b formed thereon.

第2ヒューズ素子630は、下地層620上に形成された第1可溶断層632と、その上に形成された第2可溶断層634とを有する。第1可溶断層632と容量素子610の第1上部電極616aとは、1つの導電膜をパターニングすることによって形成され、互いに連続する。第2可溶断層634は容量素子610の第2上部電極616bと互いに連続し、これらは、1つの導電膜をパターニングすることによって形成される。   The second fuse element 630 includes a first soluble fault 632 formed on the base layer 620 and a second soluble fault 634 formed thereon. The first soluble fault 632 and the first upper electrode 616a of the capacitive element 610 are formed by patterning one conductive film and are continuous with each other. The second soluble fault 634 is continuous with the second upper electrode 616b of the capacitive element 610, and these are formed by patterning one conductive film.

下地層620は、素子分離絶縁膜605上に形成された第1下地層622と、その上に形成された第2下地層624とを有する。第1下地層622と容量素子610の下部電極612とは、1つの導電膜をパターニングすることによって形成され、互いに連続する。第2下地層624は容量素子610の容量絶縁膜614と互いに連続し、これらは、1つの誘電体層をパターニングすることによって形成される。   The foundation layer 620 includes a first foundation layer 622 formed on the element isolation insulating film 605 and a second foundation layer 624 formed thereon. The first base layer 622 and the lower electrode 612 of the capacitor 610 are formed by patterning one conductive film and are continuous with each other. The second base layer 624 is continuous with the capacitor insulating film 614 of the capacitor element 610, and these are formed by patterning one dielectric layer.

なお、容量素子610の下方および第2ヒューズ素子630の下方には、半導体装置100と同様に、図示を省略したn型ウェル領域が形成されている。容量素子610および第2ヒューズ素子630それぞれの側面にサイドウォールスペーサSWが形成されている。   Note that an n-type well region (not shown) is formed below the capacitor element 610 and below the second fuse element 630, as in the semiconductor device 100. Sidewall spacers SW are formed on the side surfaces of the capacitor element 610 and the second fuse element 630, respectively.

このような構成を有する半導体装置600では、図4(A)に示した第1導電層111によって下部電極612および第1下地層622を形成し、同図に示した誘電体層113によって容量絶縁膜614と第2下地層624とを形成することができる。また、図4(A)に示した第2導電膜115によって第1上部電極616aおよび第1可溶断層632を形成し、図4(C)に示した第3導電層125によって第2上部電極616bおよび第2可溶断層634を形成することができる。   In the semiconductor device 600 having such a structure, the lower electrode 612 and the first base layer 622 are formed by the first conductive layer 111 shown in FIG. 4A, and the capacitor is insulated by the dielectric layer 113 shown in FIG. A film 614 and a second underlayer 624 can be formed. Further, the first upper electrode 616a and the first soluble fault 632 are formed by the second conductive film 115 shown in FIG. 4A, and the second upper electrode is formed by the third conductive layer 125 shown in FIG. 4C. 616b and a second soluble fault 634 can be formed.

この半導体装置600は、前述した半導体装置100と同様に、少ない工程数で製造することができる。   Similar to the semiconductor device 100 described above, the semiconductor device 600 can be manufactured with a small number of steps.

3つのコンタクトホールCH60〜CH62を層間絶縁膜80(図11(B)参照)に形成し、これらのコンタクトホールCH60〜CH62内に、それぞれコンタクトプラグP60、P61及びP62を埋め込むことにより、第2ヒューズ素子630と上層配線690、691とを導通させることができると共に、容量素子610と上層配線691、692とを導通させることができる。   Three contact holes CH60 to CH62 are formed in the interlayer insulating film 80 (see FIG. 11B), and contact plugs P60, P61, and P62 are buried in these contact holes CH60 to CH62, respectively, thereby forming the second fuse. The element 630 and the upper layer wirings 690 and 691 can be electrically connected, and the capacitor element 610 and the upper layer wirings 691 and 692 can be electrically connected.

所望の機能を有する回路の専有面積を低減させることができ、その分、半導体装置600の小型化を図ることができる。   The area occupied by a circuit having a desired function can be reduced, and the semiconductor device 600 can be downsized accordingly.

第2ヒューズ素子630に、図7(C)に示した切り欠き220aと同様の切り欠きを形成してもよい。   A cutout similar to the cutout 220a shown in FIG. 7C may be formed in the second fuse element 630.

次に、第2の実施例による半導体装置について説明する。   Next, a semiconductor device according to a second embodiment will be described.

図12(A)は、第2の実施例による半導体装置700における回路素子の平面配置を概略的に示し、図12(B)は、図12(A)に示したXII−XII線に沿った断面を概略的に示す。   FIG. 12A schematically shows a planar arrangement of circuit elements in the semiconductor device 700 according to the second embodiment, and FIG. 12B is along the line XII-XII shown in FIG. A cross section is shown schematically.

これらの図に示した半導体装置700は、4個のヒューズ素子と1個のnチャネルMOSFET52とを有する。個々の回路素子の構成自体は、図2に示した第1ヒューズ素子20、第2ヒューズ素子30、またはnチャネルMOSFET52と同様である。   The semiconductor device 700 shown in these drawings has four fuse elements and one n-channel MOSFET 52. The configuration of each circuit element is the same as that of the first fuse element 20, the second fuse element 30, or the n-channel MOSFET 52 shown in FIG.

図12に示した構成部材のうち、図2に示した構成部材と共通する部材については図2で用いた参照符号と同じ参照符号を付して、その説明を省略する。   Of the constituent members shown in FIG. 12, members that are the same as those shown in FIG. 2 are given the same reference numerals as those used in FIG. 2, and descriptions thereof are omitted.

ただし、4個のヒューズ素子のうちの3個は、それぞれ図2に示した第2ヒューズ素子30と同様の構成を有しているので、これら3個のヒューズ素子には、互いを区別するために、新たな参照符号30a、30b、及び30cを付してある。また、これら3個のヒューズ素子30a〜30cの下には、図2に示した第2ヒューズ素子30と同様に、第1下地層と第2下地層とによって構成される特定の下地層が配置されている。これらの下地層についても、互いを区別するために、第1下地層については新たな参照符号25a、25b、及び25cを、第2下地層については新たな参照符号26a、26b、及び26cを付してある。   However, since three of the four fuse elements have the same configuration as the second fuse element 30 shown in FIG. 2, these three fuse elements are distinguished from each other. Are given new reference numbers 30a, 30b and 30c. Further, a specific underlayer composed of a first underlayer and a second underlayer is disposed under the three fuse elements 30a to 30c, similarly to the second fuse element 30 shown in FIG. Has been. In order to distinguish these base layers from each other, new reference numerals 25a, 25b, and 25c are added to the first base layer, and new reference numerals 26a, 26b, and 26c are added to the second base layer. It is.

ヒューズ素子20が素子分離絶縁膜5上に配置される。また、ヒューズ素子30aが、第1下地層25aと第2下地層26aとを介して素子分離絶縁膜5上に配置される。   The fuse element 20 is disposed on the element isolation insulating film 5. Further, the fuse element 30a is disposed on the element isolation insulating film 5 via the first base layer 25a and the second base layer 26a.

nチャネルMOSFET52のチャネル領域を構成するp型ウェル領域55が、ソース領域53Sの平面視上の外側にまで広く延在し、その上に、ゲート絶縁膜56、第1下地層25b、および第2下地層26bを介して、ヒューズ素子30bが配置される。     A p-type well region 55 constituting a channel region of the n-channel MOSFET 52 extends widely to the outside in a plan view of the source region 53S, and further, a gate insulating film 56, a first underlayer 25b, and a second The fuse element 30b is disposed through the base layer 26b.

p型ウェル領域55の他に、n型ウェル領域NW10が半導体基板1に形成され、その上に、電気的絶縁膜105、第1下地層25c、および第2下地層26cを介して、ヒューズ素子30cが配置される。電気的絶縁膜105は、ゲート絶縁膜46と同様にして形成される。     In addition to the p-type well region 55, an n-type well region NW10 is formed in the semiconductor substrate 1, and a fuse element is formed thereon via the electrical insulating film 105, the first base layer 25c, and the second base layer 26c. 30c is arranged. The electrical insulating film 105 is formed in the same manner as the gate insulating film 46.

層間絶縁膜80が各回路素子を覆い、その上に所定数の上層配線が配置される。層間絶縁膜80には、所定の上層配線とその下の回路素子との導通を図るために、1個の回路素子に複数個ずつコンタクトホールが形成される。各コンタクトホールCHには、コンタクトプラグが埋め込まれる。   An interlayer insulating film 80 covers each circuit element, and a predetermined number of upper layer wirings are disposed thereon. In the interlayer insulating film 80, a plurality of contact holes are formed in each circuit element in order to establish electrical connection between a predetermined upper layer wiring and a circuit element therebelow. A contact plug is embedded in each contact hole CH.

図12(A)には、20個のコンタクトホールを例示してある。これらのコンタクトホールには、便宜上、2つのコンタクトホールCH18、CH19を除いて、同一の参照符号CHを付してある。   FIG. 12A illustrates 20 contact holes. For the sake of convenience, these contact holes are denoted by the same reference symbol CH except for the two contact holes CH18 and CH19.

図12(B)においては、便宜上、上層配線の各々を同一の参照符号WLで示しているが、これらの上層配線WLは互いに電気的に分離されている。図12(B)に示したコンタクトプラグPについても同様である。   In FIG. 12B, for convenience, each of the upper layer wirings is indicated by the same reference symbol WL, but these upper layer wirings WL are electrically separated from each other. The same applies to the contact plug P shown in FIG.

図示の半導体装置700においては、第1下地層25b、25cの電位は任意に設定可能であるが、第1下地層25bの電位は接地電位にするかソース領域53Sの電位と同電位にすることが好ましく、第1下地層25cの電位は接地電位にするかn型ウェル領域NW10の電位と同電位にすることが好ましい。   In the illustrated semiconductor device 700, the potentials of the first ground layers 25b and 25c can be arbitrarily set, but the potential of the first ground layer 25b is set to the ground potential or the same potential as the potential of the source region 53S. Preferably, the potential of the first base layer 25c is set to the ground potential or the same potential as the potential of the n-type well region NW10.

例えば、図12(A)に示したコンタクトホールCH18内に埋め込まれたコンタクトプラグと、同図に示したコンタクトホールCH19内に埋め込まれたコンタクトプラグと、これらのコンタクトプラグを接続する所定の上層配線とによってヒューズ素子30cとn型ウェル領域NW10とを電気的に接続する。第1下地層25cの電位をn型ウェル領域NW10の電位と同電位にすることができる。   For example, the contact plug embedded in the contact hole CH18 shown in FIG. 12A, the contact plug embedded in the contact hole CH19 shown in FIG. 12A, and a predetermined upper layer wiring for connecting these contact plugs Thus, fuse element 30c and n-type well region NW10 are electrically connected. The potential of the first base layer 25c can be set to the same potential as that of the n-type well region NW10.

上述した構成を有する半導体装置700も、第1の実施例による半導体装置100と同様の理由から、半導体装置100と同様の技術的効果を奏する。   The semiconductor device 700 having the above-described configuration also has the same technical effect as the semiconductor device 100 for the same reason as the semiconductor device 100 according to the first embodiment.

次に、第2の実施例による半導体装置700の変形例について説明する。   Next, a modification of the semiconductor device 700 according to the second embodiment will be described.

図13(A)は、本変形例による半導体装置710を構成するnチャネルMOSFET52とヒューズ素子30bとの平面配置を概略的に示し、図13(B)は、図13(A)に示したXIII−XIII線に沿った断面を概略的に示す。   FIG. 13A schematically shows a planar arrangement of the n-channel MOSFET 52 and the fuse element 30b constituting the semiconductor device 710 according to this modification, and FIG. 13B shows the XIII shown in FIG. Fig. 4 schematically shows a cross section along line -XIII.

これらの図に示した半導体装置710では、nチャネルMOSFET52のドレイン領域53Dが、ゲート電極57の左側に配置される。平面視において、ドレイン領域53Dの外側にヒューズ素子30bが配置されている。   In the semiconductor device 710 shown in these drawings, the drain region 53D of the n-channel MOSFET 52 is arranged on the left side of the gate electrode 57. In plan view, fuse element 30b is arranged outside drain region 53D.

他の構成は、第2の実施例による半導体装置700と同様であるので、ここではその説明および図示を省略する。図13に示した構成部材のうち、図12に示した構成部材と共通する部材については図12で用いた参照符号と同じ参照符号を付してある。   Since other configurations are the same as those of the semiconductor device 700 according to the second embodiment, the description and illustration thereof are omitted here. Of the constituent members shown in FIG. 13, members that are the same as those shown in FIG. 12 are given the same reference numerals as those used in FIG.

図示の半導体装置710では、ヒューズ素子30b下の第1下地層25bが、ヒューズ素子30bの全長に亘ってその下方に配置される。第2下地層26bは、ヒューズ素子30bの一端の下方を除いて、すなわち、コンタクトホールCH5bに対応する端部の下方を除いて、第1下地層25b上に配置される。   In the illustrated semiconductor device 710, the first base layer 25b under the fuse element 30b is disposed below the entire length of the fuse element 30b. The second base layer 26b is disposed on the first base layer 25b except under one end of the fuse element 30b, that is, under the end corresponding to the contact hole CH5b.

ヒューズ素子30bを構成する第1可溶断層32bは、第2下地層26b上のみに形成される。コンタクトホールCH5bに対応する端部には、第1導電層32がない。ヒューズ素子30bを構成する第2可溶断層34bは、ヒューズ素子30bの全長に亘って形成される。コンタクトホールCH5bに対応する端部においては、第1下地層25bと第2可溶断層34bとが接する。   The first fusible fault 32b constituting the fuse element 30b is formed only on the second underlayer 26b. There is no first conductive layer 32 at the end corresponding to the contact hole CH5b. The second fusible fault 34b constituting the fuse element 30b is formed over the entire length of the fuse element 30b. At the end corresponding to the contact hole CH5b, the first foundation layer 25b and the second soluble fault 34b are in contact.

第1下地層25bとドレイン領域53Dとは、第2可溶断層34b、コンタクトホールCH5b内のコンタクトプラグP15、上層配線WL1、およびコンタクトホールCH8a内のコンタクトプラグP16を介して互いに電気的に接続される。第1下地層25bの電位は、ドレイン領域53Dの電位と同電位になる。   The first base layer 25b and the drain region 53D are electrically connected to each other via the second soluble fault 34b, the contact plug P15 in the contact hole CH5b, the upper layer wiring WL1, and the contact plug P16 in the contact hole CH8a. The The potential of the first base layer 25b is the same as the potential of the drain region 53D.

なお、図13(A)には、9個のコンタクトホールが示されている。これらのコンタクトホールには、便宜上、2つのコンタクトホールCH5b、CH8aを除いて、同一の参照符号CHを付してある。   In FIG. 13A, nine contact holes are shown. For the sake of convenience, these contact holes are denoted by the same reference symbol CH except for the two contact holes CH5b and CH8a.

また、図13(B)には3つの上層配線と4つのコンタクトプラグとが示されているが、便宜上、1つの上層配線WL1を除いた各上層配線には同一の参照符号WLを付し、2つのコンタクトプラグP15、16を除いた各コンタクトプラグには同一の参照符号Pを付してある。   In FIG. 13B, three upper layer wirings and four contact plugs are shown, but for convenience, each upper layer wiring except for one upper layer wiring WL1 is given the same reference symbol WL, Each contact plug except for the two contact plugs P15 and P16 is given the same reference symbol P.

上述した構成を有する半導体装置710も、第1の実施例による半導体装置100と同様の理由から、半導体装置100と同様の技術的効果を奏する。   The semiconductor device 710 having the above-described configuration also has the same technical effect as that of the semiconductor device 100 for the same reason as that of the semiconductor device 100 according to the first embodiment.

また、第1下地層25bの電位とドレイン領域53Dの電位とを同電位にした場合でも、ヒューズ素子30bの下地層25bによるシャドウイング効果により、電位が半導体基板1に直接掛かるのを防止することができる。また、ヒューズ切断時の発熱が下地層25bによって直接基板側に伝わることを防止できる。   Further, even when the potential of the first base layer 25b and the potential of the drain region 53D are set to the same potential, it is possible to prevent the potential from being directly applied to the semiconductor substrate 1 due to the shadowing effect by the base layer 25b of the fuse element 30b. Can do. Further, it is possible to prevent heat generated at the time of cutting the fuse from being directly transmitted to the substrate side by the base layer 25b.

第1下地層25bとヒューズ素子30bの第2可溶断層34bとを直結しているので、第1下地層25bの電位をドレイン領域53Dの電位と同電位にする場合でも、第1下地層25bの大きさを図12(B)に示した第1下地層25cに比べて小さくすることができる。ヒューズ素子30bとその下の下地層とを併せた素子面積を小さくすることができる。   Since the first ground layer 25b and the second fusible fault 34b of the fuse element 30b are directly connected, even when the potential of the first ground layer 25b is the same as the potential of the drain region 53D, the first ground layer 25b. Can be made smaller than that of the first base layer 25c shown in FIG. The element area combining the fuse element 30b and the underlying layer can be reduced.

以上、実施例による半導体装置およびその製造方法ならびに変形例による半導体装置について説明したが、本発明はこれらの実施例および変形例に限定されるものではない。   The semiconductor device according to the embodiment, the manufacturing method thereof, and the semiconductor device according to the modification have been described above, but the present invention is not limited to the embodiment and the modification.

例えば、半導体装置を構成する半導体基板はp型のものに限定されるものではない。n型半導体基板を用いることもできる。   For example, the semiconductor substrate constituting the semiconductor device is not limited to a p-type substrate. An n-type semiconductor substrate can also be used.

また、半導体基板はシリコン基板に限定されるものではい。シリコン基板以外にも、単層構造または積層構造を有する種々の半導体基板を用いることが可能である。   The semiconductor substrate is not limited to a silicon substrate. In addition to the silicon substrate, various semiconductor substrates having a single-layer structure or a stacked structure can be used.

半導体装置は、少なくとも容量素子とMOSFETとヒューズ素子とを備えていればよい。半導体装置が備えるヒューズ素子は、例えば図2に示した第1ヒューズ素子20と同じタイプのヒューズ素子のみであってもよし、例えば図2に示した第2ヒューズ素子30と同じタイプのヒューズ素子のみであってもよい。   The semiconductor device only needs to include at least a capacitor element, a MOSFET, and a fuse element. The fuse element included in the semiconductor device may be, for example, only the same type of fuse element as the first fuse element 20 shown in FIG. 2, or only the same type of fuse element as the second fuse element 30 shown in FIG. It may be.

半導体基板上に形成する回路の構成は、この回路を構成する個々の回路素子の配置も含めて、目的とする半導体装置の用途等に応じて適宜選定可能である。メモリ回路、トリミング回路、欠陥救済回路等、種々の回路を構成することが可能である。   The configuration of the circuit formed on the semiconductor substrate can be selected as appropriate according to the intended use of the semiconductor device, including the arrangement of individual circuit elements constituting the circuit. Various circuits such as a memory circuit, a trimming circuit, and a defect relief circuit can be formed.

半導体装置の製造方法の中で説明した第1導電層、第2導電層、および第3導電層は、第1〜第5の変形例で示した以外にも、ゲート電極も含めた種々の回路素子同士の間で共有される導電層にパターニングすることが可能である。   The first conductive layer, the second conductive layer, and the third conductive layer described in the method for manufacturing a semiconductor device are not limited to those shown in the first to fifth modifications, but various circuits including a gate electrode. It is possible to pattern the conductive layer shared between the elements.

半導体装置を製造するために半導体基板上に設ける各種の層の成膜条件や、これらの層をパターニングするためのエッチング条件も、実施例による製造方法についての説明の中で例示した条件に限定されるものではない。   The conditions for forming various layers provided on a semiconductor substrate for manufacturing a semiconductor device and the etching conditions for patterning these layers are also limited to the conditions exemplified in the description of the manufacturing method according to the embodiment. It is not something.

例えば、図2に示した容量素子10の下部電極12、および第1ヒューズ素子20の第1可溶断層22をn型ポリシリコンで形成する場合に、pチャネルMOSFET42の第1ゲート電極47a、およびnチャネルMOSFET52の第1ゲート電極57aを必要に応じてp型ポリシリコンで形成することもできる。そのためには、例えば、図3(B)に示した第1導電層111を形成するにあたって、まず不純物を添加していないポリシリコン層を形成した後に、所定の領域にはリン等のn型不純物をイオン注入し、他の所定の領域にはホウ素等のp型不純物をイオン注入して第1導電層111を得る。   For example, when the lower electrode 12 of the capacitive element 10 shown in FIG. 2 and the first fusible fault 22 of the first fuse element 20 are formed of n-type polysilicon, the first gate electrode 47a of the p-channel MOSFET 42, and The first gate electrode 57a of the n-channel MOSFET 52 can be formed of p-type polysilicon as necessary. For that purpose, for example, in forming the first conductive layer 111 shown in FIG. 3B, after forming a polysilicon layer to which no impurity is added, an n-type impurity such as phosphorus is formed in a predetermined region. And p-type impurities such as boron are ion-implanted into other predetermined regions to obtain the first conductive layer 111.

MOSFETにおけるソース領域およびドレイン領域それぞれの上方には、必要に応じて、前述したゲート絶縁膜に代えて金属シリサイド膜を形成することができる。   A metal silicide film can be formed above the source region and the drain region of the MOSFET, if necessary, instead of the gate insulating film described above.

図14(A)および図14(B)は、ソース領域およびドレイン領域それぞれの上方に金属シリサイド膜を形成する際の工程を示す。   FIG. 14A and FIG. 14B show the steps for forming a metal silicide film above the source region and the drain region, respectively.

図14(A)に示す工程を行う前に、まず、図6(C)に示した工程まで行い、その後に、図6(C)に示したマスク139を除去する。また、ソース領域43S、53Sそれぞれの上およびドレイン領域43D、53Dそれぞれの上に形成されているゲート酸化膜(自然酸化膜)46、56を、例えば希フッ酸(例えば500:1HF)を用いて除去する。   Before performing the process shown in FIG. 14A, first, the process shown in FIG. 6C is performed, and then the mask 139 shown in FIG. 6C is removed. Further, the gate oxide films (natural oxide films) 46 and 56 formed on the source regions 43S and 53S and on the drain regions 43D and 53D, respectively, are formed using, for example, diluted hydrofluoric acid (for example, 500: 1 HF). Remove.

次いで、図14(A)に示すように、半導体基板1の全面にスパッタリング、CVD等によってチタン(Ti)、ニッケル(Ni)、コバルト(Co)、タングステン(W)等の金属、またはこれらの金属の合金を堆積させて、金属薄膜140を形成する。   Next, as shown in FIG. 14A, a metal such as titanium (Ti), nickel (Ni), cobalt (Co), tungsten (W), or these metals is formed on the entire surface of the semiconductor substrate 1 by sputtering, CVD, or the like. The metal thin film 140 is formed by depositing the above alloy.

図14(A)に示した構成要素は、金属薄膜140を除いて全て図6(C)に示されているので、これらの構成要素については図6(C)で用いた参照符号と同じ参照符号を付してその説明を省略する。   Since all the components shown in FIG. 14A are shown in FIG. 6C except for the metal thin film 140, the same reference numerals as those used in FIG. 6C are used for these components. Reference numerals are assigned and explanations thereof are omitted.

上記の金属薄膜140に短時間アニール装置(RTA)等を用いて熱処理を施して、当該金属薄膜140をシリサイド化させる。シリサイド化のための熱処理は、窒素ガスやアルゴンガス等の不活性ガス雰囲気中で行い、その条件は、例えば650℃、10秒にすることができる。   The metal thin film 140 is heat-treated using a short-time annealing apparatus (RTA) or the like, so that the metal thin film 140 is silicided. The heat treatment for silicidation is performed in an inert gas atmosphere such as nitrogen gas or argon gas, and the conditions can be, for example, 650 ° C. and 10 seconds.

シリコンと金属薄膜140とが直接接している領域においてのみ、金属薄膜140のシリサイド化が起こる。すなわち、ソース領域43S、53S上およびドレイン領域43D、53D上において金属薄膜140のシリサイド化が起こり、他の領域においては金属薄膜140のシリサイド化が起こらない。つまり、抵抗素子(配線)60は、その上部を誘電体層113からなる絶縁膜65によって覆われているため、その表面にはシリサイドは形成されず、セルフアラインで高抵抗膜がそのまま残っていることになる。これで、高抵抗素子が必要な場合は、より簡便な方法で高抵抗素子を得ることができる。   The silicidation of the metal thin film 140 occurs only in the region where the silicon and the metal thin film 140 are in direct contact. That is, silicidation of the metal thin film 140 occurs on the source regions 43S and 53S and the drain regions 43D and 53D, and silicidation of the metal thin film 140 does not occur in other regions. That is, since the upper portion of the resistance element (wiring) 60 is covered with the insulating film 65 made of the dielectric layer 113, no silicide is formed on the surface, and the high resistance film remains as it is by self-alignment. It will be. Thus, when a high resistance element is required, the high resistance element can be obtained by a simpler method.

この後、シリサイド化が起こらなかった領域上の金属薄膜140をウォッシュアウトする。   Thereafter, the metal thin film 140 on the region where silicidation has not occurred is washed out.

図14(B)に示すように、ソース領域43S、53S上およびドレイン領域43D、53D上に金属シリサイド膜142が残る。ソース領域43S、53S上およびドレイン領域43D、53D上にのみ、自己整合的に金属シリサイド膜142が形成される。   As shown in FIG. 14B, the metal silicide film 142 remains on the source regions 43S and 53S and the drain regions 43D and 53D. The metal silicide film 142 is formed in a self-aligned manner only on the source regions 43S and 53S and the drain regions 43D and 53D.

この後、必要に応じて、金属シリサイド膜142に短時間アニール装置等を用いて熱処理を施す。この熱処理は、窒素ガスやアルゴンガス等の不活性ガス雰囲気中で行い、その条件は、例えば850℃、10秒にすることができる。この熱処理によって、例えばMSi(Mは金属薄膜140を構成する金属元素を表す。)がMSiとなり、金属シリサイド膜142の導電性が向上する。 Thereafter, if necessary, the metal silicide film 142 is heat-treated using a short-time annealing device or the like. This heat treatment is performed in an inert gas atmosphere such as nitrogen gas or argon gas, and the conditions can be set at, for example, 850 ° C. and 10 seconds. This heat treatment, for example, MSi (M is. Representing a metal element forming the metal thin film 140) is MSi 2, and the improved conductivity of the metal silicide film 142.

このようにして金属シリサイド膜142を設けることにより、その膜厚を任意に選定できて厚膜化が容易であることから、MOSFETの電気抵抗を容易に低減させることが可能になる。   By providing the metal silicide film 142 in this manner, the film thickness can be arbitrarily selected and the film thickness can be easily increased, so that the electrical resistance of the MOSFET can be easily reduced.

上述した金属シリサイド膜142の形成の熱処理と同時に、容量素子10の第2上部電極16b、第1ヒューズ素子20の第2可溶断層24、第2ヒューズ素子30の第2可溶断層34、ゲート電極47の第2ゲート電極47b、ゲート電極57の第2ゲート電極57b、第2導電層74の熱処理を完了することもできる。   Simultaneously with the heat treatment for forming the metal silicide film 142 described above, the second upper electrode 16b of the capacitive element 10, the second soluble fault 24 of the first fuse element 20, the second soluble fault 34 of the second fuse element 30, and the gate The heat treatment of the second gate electrode 47b of the electrode 47, the second gate electrode 57b of the gate electrode 57, and the second conductive layer 74 can also be completed.

図15(A)および図15(B)は、図14(B)に示した金属シリサイド膜142の形成と同時に他の電極もしくは層を形成する際の工程を示す。   FIG. 15A and FIG. 15B show steps for forming another electrode or layer simultaneously with the formation of the metal silicide film 142 shown in FIG. 14B.

図15(A)に示す工程を行う前に、まず、図4(C)に示した第3導電層125を形成する工程を行わず、図5(A)〜図5(C)、図6(A)〜図6(C)までの工程を順次行って、その後に、図6(C)に示したマスク139を除去する。また、ソース領域43S、53S上およびドレイン領域43D、53D上に形成されているゲート酸化膜(自然酸化膜)46、56を前述のように除去する。   Before performing the step shown in FIG. 15A, first, the step of forming the third conductive layer 125 shown in FIG. 4C is not performed, and FIGS. 5A to 5C and FIG. The steps from (A) to FIG. 6 (C) are sequentially performed, and then the mask 139 shown in FIG. 6 (C) is removed. Further, the gate oxide films (natural oxide films) 46 and 56 formed on the source regions 43S and 53S and the drain regions 43D and 53D are removed as described above.

次いで、図15(A)に示すように、半導体基板1の全面にスパッタリング、CVD等によってチタン(Ti)、ニッケル(Ni)、コバルト(Co)、タングステン(W)等の金属、またはこれら金属の合金を堆積させて、金属薄膜140を形成する。   Next, as shown in FIG. 15A, a metal such as titanium (Ti), nickel (Ni), cobalt (Co), tungsten (W), or the like of these metals or the like by sputtering, CVD or the like on the entire surface of the semiconductor substrate 1. An alloy is deposited to form a metal thin film 140.

図15(A)に示した構成要素のうち、金属薄膜140を除いた各構成要素は全て図5(A)または図6(C)に示しされているので、これらの構成要素については図5(A)または図6(C)で用いた参照符号と同じ参照符号を付してその説明を省略する。   Of the components shown in FIG. 15A, all the components except for the metal thin film 140 are shown in FIG. 5A or FIG. 6C. The same reference numerals as those used in FIG. 6A or FIG.

上記の金属薄膜140に前述のように熱処理を施し、当該金属薄膜140をシリサイド化させる。このシリサイド化によって、第1上部電極16a上、第1可溶断層22、32上、ソース領域43S、53S上、ドレイン領域43D、53D上、第1ゲート電極47a、57a上、及び第1導電層72上に金属シリサイド膜が形成される。これらの領域以外の領域においては、シリコン膜が露出していないので、金属薄膜140のシリサイド化が起こらない。   The metal thin film 140 is heat-treated as described above to silicidize the metal thin film 140. By this silicidation, the first upper electrode 16a, the first soluble faults 22, 32, the source regions 43S, 53S, the drain regions 43D, 53D, the first gate electrodes 47a, 57a, and the first conductive layer A metal silicide film is formed on 72. In regions other than these regions, since the silicon film is not exposed, silicidation of the metal thin film 140 does not occur.

この後、シリサイド化が起こらなかった領域上の金属薄膜140をウォッシュアウトする。   Thereafter, the metal thin film 140 on the region where silicidation has not occurred is washed out.

図15(B)に示すように、第1上部電極16a上、第1可溶断層22、32上、第1ゲート電極47a、57a上、および第1導電層72上に自己整合的に金属シリサイド膜が残る。第2上部電極16b、第2可溶断層24、34、第2ゲート電極47b、57b、および第2導電層74が形成される。   As shown in FIG. 15B, metal silicide is formed on the first upper electrode 16a, the first soluble faults 22 and 32, the first gate electrodes 47a and 57a, and the first conductive layer 72 in a self-aligning manner. The film remains. The second upper electrode 16b, the second soluble faults 24, 34, the second gate electrodes 47b, 57b, and the second conductive layer 74 are formed.

また、ソース領域43S、53S上、およびドレイン領域43D、53D上にも、自己整合的に金属シリサイド膜142が残る。   Further, the metal silicide film 142 remains in a self-aligning manner on the source regions 43S and 53S and the drain regions 43D and 53D.

この後、必要に応じて、短時間アニール装置等を用いて前述した熱処理を各金属シリサイド膜に施す。各金属シリサイド膜の導電性が向上する。   Thereafter, if necessary, the heat treatment described above is performed on each metal silicide film using a short time annealing apparatus or the like. The conductivity of each metal silicide film is improved.

この変形例応用例としては、容量下部電極のコンタクトホールCH1周りの絶縁膜14及び高抵抗素子のコンタクトホールCH12、CH13回りの絶縁膜65を除去し、容量下部電極及び高抵抗素子へのコンタクト部に自己整合的にシリサイドを形成して、コンタクト抵抗を下げることもできる。   As an application example of this modification, the insulating film 14 around the contact hole CH1 of the capacitor lower electrode and the insulating film 65 around the contact holes CH12 and CH13 of the high resistance element are removed, and the contact portion to the capacitor lower electrode and the high resistance element The contact resistance can be lowered by forming silicide in a self-aligned manner.

上述した以外にも、種々の変更、改良、組み合わせ等が可能なことは当業者に自明であろう。   It will be apparent to those skilled in the art that various modifications, improvements, combinations, and the like other than those described above are possible.

図16を参照して、上述の実施例によるヒューズ素子を用いた抵抗トリミング回路について説明する。   A resistance trimming circuit using the fuse element according to the above-described embodiment will be described with reference to FIG.

図16(A)に、抵抗トリミング回路の一構成例を示す。抵抗素子Rとヒューズ素子Fとが並列に接続された第1の回路Pと、抵抗素子Rとヒューズ素子Fとが並列に接続された第2の回路Pとが、相互に並列に接続されている。第1の回路P及び第2の回路Pの各々は、例えば図7または図8に示された実施例による半導体装置と同様の構造を有する。第1の回路Pと第2の回路Pとの並列回路に、抵抗素子Rが直列に接続されている。 FIG. 16A illustrates a configuration example of a resistance trimming circuit. First the circuit P 1 in which the resistance element R 1 and the fuse element F 1 are connected in parallel, the second circuit P 2 and is of a resistance element R 2 and the fuse element F 2 are connected in parallel, mutually Connected in parallel. Each of the first circuit P 1 and the second circuit P 2 has the same structure as the semiconductor device according to the embodiment shown in FIG. 7 or FIG. 8, for example. The parallel circuit of the first circuit P 1 and the second circuit P 2, the resistance element R C are connected in series.

この回路の合成抵抗は、R+1/((1/R)+(1/F)+(1/R)+(1/F))となる。ヒューズ素子Fを切断したときの合成抵抗は、R+1/((1/R)+(1/R)+(1/F))となる。2本のヒューズ素子F及びFを切断したときの合成抵抗は、R+1/((1/R)+(1/R))となる。 The combined resistance of this circuit is R C +1 / ((1 / R 1 ) + (1 / F 1 ) + (1 / R 2 ) + (1 / F 2 )). Combined resistance obtained by cutting the fuse element F 1 becomes R C +1 / ((1 / R 1) + (1 / R 2) + (1 / F 2)). The combined resistance when the two fuse elements F 1 and F 2 are cut is R C +1 / ((1 / R 1 ) + (1 / R 2 )).

一方のヒューズ素子Fは、第1の電流電圧条件で切断されるが第2の電流電圧条件では切断されず、他方のヒューズ素子Fは、第2の電流電圧条件でも切断されるとする。ヒューズ素子F及びFに同時に第2の電流電圧条件の電気信号を印加すると、ヒューズ素子Fのみを切断することができる。ヒューズ素子F及びFに同時に第1の電流電圧条件の電気信号を印加すると、両方のヒューズ素子F及びFを切断することができる。このように、2本のヒューズ素子の一方に選択的に切断信号を印加するためのヒューズ選択回路を設けることなく、印加する電流電圧条件を適当に選択することにより、一方のヒューズ素子Fのみを切断することもできるし、両方のヒューズ素子F及びFを切断することもできる。このように、ヒューズ素子の切断状態により、3種類の合成抵抗を実現することができる。 One of the fuse elements F 2 is being cut by the first current-voltage condition not cleaved in the second current-voltage condition, the other of the fuse element F 1 is a also cut by the second current-voltage condition . When an electric signal having the second current-voltage condition is simultaneously applied to the fuse elements F 1 and F 2 , only the fuse element F 1 can be cut. When applied simultaneously electric signals of the first current-voltage condition to the fuse element F 1 and F 2, it is possible to cut both the fuse element F 1 and F 2. In this manner, only one fuse element F 1 is selected by appropriately selecting the current voltage condition to be applied without providing a fuse selection circuit for selectively applying a cutting signal to one of the two fuse elements. Can be cut, or both fuse elements F 1 and F 2 can be cut. As described above, three types of combined resistors can be realized depending on the cut state of the fuse element.

図16(B)に、他の抵抗トリミング回路を示す。抵抗素子Rとヒューズ素子Fとが直列に接続された第1の回路S、抵抗素子Rとヒューズ素子Fとが直列に接続された第2の回路S、及び抵抗素子RC2が、並列に接続されている。この並列回路に抵抗素子RC1が直列に接続されている。 FIG. 16B shows another resistance trimming circuit. The first circuit S 1 in which the resistor element R 1 and the fuse element F 1 are connected in series, the second circuit S 2 in which the resistor element R 2 and the fuse element F 2 are connected in series, and the resistor element R C2 is connected in parallel. A resistance element RC1 is connected in series to this parallel circuit.

図16(C)に、さらに他のトリミング回路を示す。抵抗素子Rとヒューズ素子Fとが並列に接続された第1の回路P、抵抗素子Rとヒューズ素子Fとが並列に接続された第2の回路P、及びもう一つの抵抗素子Rが、直列に接続されている。 FIG. 16C shows still another trimming circuit. First circuit P 1 and the resistance element R 1 and the fuse element F 1 are connected in parallel, the resistance element R 2 and the fuse element F 2 second to and are connected in parallel in the circuit P 2, and another A resistance element RC is connected in series.

図16(B)及び図16(C)に示した抵抗トリミング回路においても、図16(A)に示した回路と同様に、ヒューズ素子に印加する切断信号の電圧電流条件を適当に選択することにより、3種類の合成抵抗を実現することができる。   In the resistance trimming circuit shown in FIGS. 16B and 16C, as well as the circuit shown in FIG. 16A, the voltage / current condition of the cutting signal applied to the fuse element is appropriately selected. Thus, three types of combined resistance can be realized.

図17を参照して、上述の実施例によるヒューズ素子を用いた容量トリミング回路について説明する。   With reference to FIG. 17, a capacitor trimming circuit using the fuse element according to the above-described embodiment will be described.

図17(A)に、容量トリミング回路の一構成例を示す。キャパシタCとヒューズ素子Fとが並列に接続された第1の回路P、キャパシタCとヒューズ素子Fとが並列に接続された第2の回路P、及びもう一つのキャパシタCが直列に接続されている。第1の回路P1及び第2の回路P2の各々は、例えば図11に示した実施例による半導体装置と同様の構造を有する。 FIG. 17A illustrates a configuration example of the capacitor trimming circuit. First circuit P 1, the capacitor C 2 and the second circuit P 2 in which the fuse element F 2 are connected in parallel, and another capacitor C and the capacitor C 1 and the fuse element F 1 are connected in parallel C is connected in series. Each of the first circuit P1 and the second circuit P2 has the same structure as that of the semiconductor device according to the embodiment shown in FIG. 11, for example.

ヒューズ素子F及びFが切断されていない状態では、合成容量はCになる。ヒューズ素子Fを切断すると、合成容量は、1/((1/C)+(1/C))になる。ヒューズ素子F及びFの両方を切断すると、合成容量は、1/((1/C)+(1/C)+(1/C))になる。このように、3種類の合成容量を実現することができる。 When the fuse elements F 1 and F 2 are not cut, the combined capacitance is C C. When the fuse element F 1, combined capacitance will 1 / ((1 / C C ) + (1 / C 1)). When both the fuse elements F 1 and F 2 are cut, the combined capacitance becomes 1 / ((1 / C C ) + (1 / C 1 ) + (1 / C 2 )). In this way, three types of combined capacity can be realized.

図17(B)に、容量トリミング回路の他の構成例を示す。キャパシタCとヒューズ素子Fとが直列に接続された回路と、キャパシタCC1とが並列に接続されて第1の回路Pを構成する。キャパシタCとヒューズ素子Fとが直列に接続された回路と、キャパシタCC2とが並列に接続されて第2の回路Pを構成する。第1の回路Pと第2の回路Pとが直列に接続されている。キャパシタCとヒューズ素子Fとの直列回路、及びキャパシタCとヒューズ素子Fとの直列回路の各々は、例えば、図8に示した半導体装置と同様の構造を有する。この構成例においても、3種類の合成容量を実現することができる。 FIG. 17B illustrates another configuration example of the capacitor trimming circuit. A circuit in which the capacitor C 1 and the fuse element F 1 are connected in series and the capacitor C C1 are connected in parallel to form a first circuit P 1 . A capacitor C 2 and the fuse element F 2 constitutes a circuit connected in series, the second circuit P 2 and the capacitor C C2 is connected in parallel. First circuit P 1 and the second circuit P 2 are connected in series. Each of the series circuit of the capacitor C 1 and the fuse element F 1 and the series circuit of the capacitor C 2 and the fuse element F 2 have the same structure as the semiconductor device shown in FIG. 8, for example. Also in this configuration example, three types of combined capacitors can be realized.

図17(C)に、容量トリミング回路のさらに他の構成例を示す。キャパシタCとヒューズ素子Fとが並列に接続されて第1の回路Pを構成する。キャパシタCとヒューズ素子Fとが直列に接続された回路と、もう一つのキャパシタCとが並列に接続された第2の回路Pを構成する。第1の回路Pと第2の回路Pとが直列に接続されている。キャパシタCとヒューズ素子Fとからなる並列回路、及びキャパシタCとヒューズ素子Fとからなる直列回路の各々は、例えば図11に示した半導体装置と同様の構造を有する。ヒューズ素子Fを切断すると合成容量は小さくなり、さらにヒューズ素子Fを切断すると、合成容量はより小さくなる。この構成例においても、3種類の合成容量を実現することができる。 FIG. 17C illustrates still another configuration example of the capacitor trimming circuit. A capacitor C 1 and the fuse element F 1 constitutes a first circuit P 1 are connected in parallel. A capacitor C 2 and the fuse element F 2 constitutes a circuit connected in series, the second of the another capacitor C C is connected in parallel circuit P 2. First circuit P 1 and the second circuit P 2 are connected in series. Each of the parallel circuit composed of the capacitor C 1 and the fuse element F 1 and the series circuit composed of the capacitor C 2 and the fuse element F 2 have the same structure as the semiconductor device shown in FIG. 11, for example. Synthesis capacity and the fuse element F 2 is reduced, further cutting the fuse element F 1, combined capacitance becomes smaller. Also in this configuration example, three types of combined capacitors can be realized.

図18(A)及び図18(B)に、それぞれ図16(C)の抵抗トリミング回路と図17(A)の容量トリミング回路とを並列に接続したトリミング回路及び直列に接続したトリミング回路を示す。このように、抵抗トリミング回路と容量トリミング回路とを種々に組み合わせることも可能である。   18A and 18B show a trimming circuit in which the resistor trimming circuit in FIG. 16C and the capacitor trimming circuit in FIG. 17A are connected in parallel and a trimming circuit in series. . As described above, it is possible to variously combine the resistance trimming circuit and the capacitor trimming circuit.

ヒューズ選択回路を集積回路上に形成すれば、切断条件の相違によってヒューズ素子を選択的に切断する方法とヒューズ選択回路とを併用することにより、さらに多段の抵抗とヒューズ素子とを用いた複雑なトリミング回路を形成することも可能になる。   If the fuse selection circuit is formed on the integrated circuit, the fuse selection circuit is used in combination with the method of selectively cutting the fuse element according to the difference in the cutting conditions, and a complicated structure using a multi-stage resistor and fuse element is used. A trimming circuit can also be formed.

実施例による半導体装置の容量素子、第1ヒューズ素子、第2ヒューズ素子、相補型MOSFET、抵抗素子、および配線の平面配置を示す概略図である。It is the schematic which shows the plane arrangement | positioning of the capacitive element of the semiconductor device by an Example, a 1st fuse element, a 2nd fuse element, a complementary MOSFET, a resistive element, and wiring. 図1に示した半導体装置を同図に示したII−II線に沿って切ったときの断面の概略図である。It is the schematic of a cross section when the semiconductor device shown in FIG. 1 is cut along the II-II line shown in the figure. 図1および図2に示した半導体装置の製造工程の一部を概略的に示す断面図である。FIG. 3 is a cross sectional view schematically showing a part of the manufacturing process for the semiconductor device shown in FIGS. 1 and 2. 図1および図2に示した半導体装置の製造工程の他の一部を概略的に示す断面図である。FIG. 5 is a cross sectional view schematically showing another portion of the manufacturing process of the semiconductor device shown in FIGS. 1 and 2. 図1および図2に示した半導体装置の製造工程の更に他の一部を概略的に示す断面図である。FIG. 5 is a cross sectional view schematically showing still another portion of the manufacturing process of the semiconductor device shown in FIGS. 1 and 2. 図1および図2に示した半導体装置の製造工程の更に他の一部を概略的に示す断面図である。FIG. 5 is a cross sectional view schematically showing still another portion of the manufacturing process of the semiconductor device shown in FIGS. 1 and 2. 図7(A)は、第1の実施例の第1の変形例による半導体装置の第1ヒューズ素子と配線との平面配置を示す概略図であり、図7(B)は、図7(A)に示した半導体装置を同図に示したVII−VII線に沿って切ったときの断面の一部を示す概略図であり、図7(C)は、第1ヒューズ素子の平面形状を異ならせた半導体装置の断面の一部を示す概略図である。FIG. 7A is a schematic diagram showing a planar arrangement of the first fuse element and the wiring of the semiconductor device according to the first modification of the first embodiment, and FIG. FIG. 7C is a schematic view showing a part of a cross section of the semiconductor device shown in FIG. 7 taken along the line VII-VII shown in FIG. It is the schematic which shows a part of cross section of the made semiconductor device. 図8(A)は、第1の実施例の第2の変形例による半導体装置の第2ヒューズ素子と配線との平面配置を示す概略図であり、図8(B)は、図8(A)に示した半導体装置を同図に示したVIII−VIII線に沿って切ったときの断面の一部を示す概略図である。FIG. 8A is a schematic diagram showing a planar arrangement of the second fuse element and the wiring of the semiconductor device according to the second modification of the first embodiment, and FIG. 1 is a schematic view showing a part of a cross section when the semiconductor device shown in FIG. 2 is cut along the line VIII-VIII shown in FIG. 図9(A)は、第1の実施例の第3の変形例による半導体装置の第1ヒューズ素子と抵抗素子との平面配置を示す概略図であり、図9(B)は、図9(A)に示した半導体装置を同図に示すIX−IX線に沿って切ったときの断面の一部を示す概略図である。FIG. 9A is a schematic diagram showing a planar arrangement of the first fuse element and the resistance element of the semiconductor device according to the third modification of the first embodiment, and FIG. It is the schematic which shows a part of cross section when the semiconductor device shown to A) is cut along the IX-IX line shown to the same figure. 図10(A)は、第1の実施例の第4の変形例による半導体装置の第2ヒューズ素子と抵抗素子との平面配置を示す概略図であり、図10(B)は、図10(A)に示した半導体装置を同図に示したX−X線に沿って切ったときの断面の一部を示す概略図である。FIG. 10A is a schematic diagram showing a planar arrangement of the second fuse element and the resistance element of the semiconductor device according to the fourth modification of the first embodiment, and FIG. It is the schematic which shows a part of cross section when the semiconductor device shown to A) is cut along the XX line shown to the same figure. 図11(A)は、第1の実施例の第5の変形例による半導体装置の容量素子と第2ヒューズ素子との平面配置を示す概略図であり、図11(B)は、図11(A)に示した半導体装置を同図に示したXI−XI線に沿って切ったときの断面の一部を示す概略図である。FIG. 11A is a schematic diagram showing a planar arrangement of a capacitor element and a second fuse element of a semiconductor device according to a fifth modification of the first embodiment, and FIG. It is the schematic which shows a part of cross section when the semiconductor device shown to A) is cut along the XI-XI line shown to the same figure. 図12(A)は、第2の実施例による半導体装置における回路素子の平面配置を示す概略図であり、図12(B)は、図12(A)に示したXII−XII線に沿った断面の概略図である。FIG. 12A is a schematic diagram showing a planar arrangement of circuit elements in the semiconductor device according to the second embodiment, and FIG. 12B is along the line XII-XII shown in FIG. It is the schematic of a cross section. 図13(A)は、第2の実施例による半導体装置の変形例におけるpチャネルMOSFETとヒューズ素子との平面配置を示す概略図であり、図13(B)は、図13(A)に示したXIII−XIII線に沿った断面の概略図である。FIG. 13A is a schematic diagram showing a planar arrangement of a p-channel MOSFET and a fuse element in a modification of the semiconductor device according to the second embodiment, and FIG. 13B is shown in FIG. It is the schematic of the cross section along line XIII-XIII. 図14(A)は、MOSFETのソース領域上およびドレイン領域上に金属シリサイド膜を形成する際の工程の一部を概略的に示す断面図であり、図14(B)は、MOSFETのソース領域上およびドレイン領域上に金属シリサイド膜を形成する際の工程の他の一部を概略的に示す断面図である。FIG. 14A is a cross-sectional view schematically showing a part of a process for forming a metal silicide film on a source region and a drain region of a MOSFET, and FIG. 14B is a source region of the MOSFET. It is sectional drawing which shows schematically another part of process at the time of forming a metal silicide film | membrane on an upper region and a drain region. 図15(A)は、MOSFETのソース領域上およびドレイン領域上に金属シリサイド膜を形成する際に他の電極もしくは層も一緒に形成する際の工程の一部を概略的に示す断面図であり、図15(B)は、MOSFETのソース領域上およびドレイン領域上に金属シリサイド膜を形成する際に他の電極もしくは層も一緒に形成する際の工程の他の一部を概略的に示す断面図である。FIG. 15A is a cross-sectional view schematically showing a part of a process for forming other electrodes or layers together when forming a metal silicide film on the source region and the drain region of the MOSFET. FIG. 15B is a cross-sectional view schematically showing another part of the step of forming other electrodes or layers together when forming the metal silicide film on the source region and the drain region of the MOSFET. FIG. 上記実施例による半導体装置を用いた抵抗トリミング回路の一構成例を示す等価回路図である。FIG. 6 is an equivalent circuit diagram showing a configuration example of a resistance trimming circuit using the semiconductor device according to the embodiment. 上記実施例による半導体装置を用いた抵抗トリミング回路の他の構成例を示す等価回路図である。It is an equivalent circuit diagram which shows the other structural example of the resistance trimming circuit using the semiconductor device by the said Example. 上記実施例による半導体装置を用いた抵抗トリミング回路の他の構成例を示す等価回路図である。It is an equivalent circuit diagram which shows the other structural example of the resistance trimming circuit using the semiconductor device by the said Example. 上記実施例による半導体装置を用いた容量トリミング回路の一構成例を示す等価回路図である。FIG. 6 is an equivalent circuit diagram showing a configuration example of a capacitor trimming circuit using the semiconductor device according to the embodiment. 上記実施例による半導体装置を用いた容量トリミング回路の他の構成例を示す等価回路図である。FIG. 6 is an equivalent circuit diagram showing another configuration example of the capacitor trimming circuit using the semiconductor device according to the embodiment. 上記実施例による半導体装置を用いた容量トリミング回路の他の構成例を示す等価回路図である。FIG. 6 is an equivalent circuit diagram showing another configuration example of the capacitor trimming circuit using the semiconductor device according to the embodiment. 抵抗及び容量トリミング回路の一構成例を示す等価回路図である。FIG. 6 is an equivalent circuit diagram illustrating a configuration example of a resistor and capacitor trimming circuit. 抵抗及び容量トリミング回路の他の構成例を示す等価回路図である。FIG. 6 is an equivalent circuit diagram illustrating another configuration example of a resistor and capacitor trimming circuit.

符号の説明Explanation of symbols

1…半導体基板、 5…素子分離絶縁膜、 10…容量素子、 12…容量素子の下部電極、 14…容量絶縁膜、16…上部電極、16a…第1上部電極、16b…第2上部電極、20…第1ヒューズ素子、 22…第1ヒューズ素子の第1可溶断層、 24…第1ヒューズ素子の第2可溶断層、 25…第1下地層、 26…第2下地層、30…第2ヒューズ素子、 32…第2ヒューズ素子の第1可溶断層、 34…第2ヒューズ素子の第2可溶断層、 40…相補型MOSFET、 42…pチャネルMOSFET、46、56…ゲート絶縁膜、47、57…ゲート電極、47a、57a…第1
ゲート電極、 47b、57b…第2ゲート電極、 52…nチャネルMOSFET、 60…抵抗素子70…配線、100、700…半導体装置、111…第1導電膜、
113…誘電体層、 115…第2導電膜、 120、130…エッチングマスク、 125…第3導電膜。
DESCRIPTION OF SYMBOLS 1 ... Semiconductor substrate, 5 ... Element isolation insulating film, 10 ... Capacitor element, 12 ... Lower electrode of capacitive element, 14 ... Capacitor insulating film, 16 ... Upper electrode, 16a ... 1st upper electrode, 16b ... 2nd upper electrode, DESCRIPTION OF SYMBOLS 20 ... 1st fuse element, 22 ... 1st soluble fault of 1st fuse element, 24 ... 2nd soluble fault of 1st fuse element, 25 ... 1st ground layer, 26 ... 2nd ground layer, 30 ... 1st 2 fuse elements, 32 ... first fusible fault of the second fuse element, 34 ... second fusible fault of the second fuse element, 40 ... complementary MOSFET, 42 ... p-channel MOSFET, 46, 56 ... gate insulating film, 47, 57 ... gate electrodes, 47a, 57a ... first
Gate electrode, 47b, 57b ... second gate electrode, 52 ... n-channel MOSFET, 60 ... resistance element 70 ... wiring, 100, 700 ... semiconductor device, 111 ... first conductive film,
113 ... Dielectric layer, 115 ... Second conductive film, 120, 130 ... Etching mask, 125 ... Third conductive film.

Claims (8)

素子分離絶縁膜およびMOS型電界効果トランジスタ用のゲート絶縁膜が一表面に形成された半導体基板と、
前記素子分離絶縁膜上に形成された容量素子であって、下部電極、容量絶縁膜、および上部電極が前記素子分離絶縁膜上にこの順番で積層された層構成を有し、前記上部電極が、前記下部電極と同じ材料によって前記容量絶縁膜上に形成された第1上部電極と、前記第1上部電極とは異なる材料によって該第1上部電極上に配置された第2上部電極とによって構成される容量素子と、
前記ゲート絶縁膜上に形成されたゲート電極を有するMOS型電界効果トランジスタであって、前記ゲート電極が、前記下部電極と同じ材料によって形成された第1ゲート電極と、該第1ゲート電極上に前記第2上部電極と同じ材料によって形成された第2ゲート電極とを有し、前記第1ゲート電極の膜厚が前記下部電極の膜厚に等しく、前記第2ゲート電極の膜厚が前記第2上部電極の膜厚に等しいMOS型電界効果トランジスタと、
前記半導体基板の一表面上に絶縁膜を介して配置された下地層であって、前記下部電極と同じ材料によって形成された第1下地層と、該第1下地層上に前記容量絶縁膜と同じ材料によって形成された第2下地層とを有し、前記第1下地層の膜厚が前記下部電極の膜厚に等しく、前記第2下地層の膜厚が前記容量絶縁膜の膜厚に等しい下地層と、
前記下地層上に形成された第1のヒューズ素子であって、前記第1上部電極と同じ材料によって形成された第1可溶断層と、該第1可溶断層上に前記第2上部電極と同じ材料によって形成された第2可溶断層とを有し、前記第1可溶断層の膜厚が前記第1上部電極の膜厚に等しく、前記第2可溶断層の膜厚が前記第2上部電極の膜厚に等しい第1のヒューズ素子と
を備えた半導体装置。
A semiconductor substrate having an element isolation insulating film and a gate insulating film for a MOS field effect transistor formed on one surface;
The capacitive element formed on the element isolation insulating film has a layer configuration in which a lower electrode, a capacitive insulating film, and an upper electrode are stacked in this order on the element isolation insulating film, and the upper electrode A first upper electrode formed on the capacitive insulating film by the same material as the lower electrode, and a second upper electrode disposed on the first upper electrode by a material different from the first upper electrode. A capacitive element,
A MOS field effect transistor having a gate electrode formed on the gate insulating film, wherein the gate electrode is formed of the same material as the lower electrode, and the first gate electrode is formed on the first gate electrode. and a second gate electrode formed of the same material as the second upper electrode, etc. properly in the thickness of each of said first gate electrode is the lower electrode, the film thickness of the second gate electrode and the a MOS field effect transistor equal correct the thickness of the second upper electrode,
A base layer disposed on one surface of the semiconductor substrate via an insulating film, the first base layer formed of the same material as the lower electrode; and the capacitive insulating film on the first base layer; and a second base layer formed from the same material, the thickness of the film thickness of the first underlayer is equal properly the film thickness of the lower electrode, the film thickness of the second base layer is the capacitor insulating film and etc. correct the underlying layer,
A first fuse element formed on the underlayer, the first fusible fault formed of the same material as the first upper electrode, and the second upper electrode on the first soluble fault. and a second friendly fusing layer formed by the same material, the thickness of the first accepted fusing layer is equal properly the film thickness of the first upper electrode, the film thickness of the second-friendly blowing layer wherein the semiconductor device provided with an equal correct first fuse element to the thickness of the second upper electrode.
さらに、前記素子分離絶縁膜上に形成された第2のヒューズ素子であって、前記下部電極と同じ材料によって形成された第3可溶断層と、該第3可溶断層上に前記第2上部電極と同じ材料によって形成された第4可溶断層とを有し、前記第3可溶断層の膜厚が前記下部電極の膜厚に等しく、前記第4可溶断層の膜厚が前記第2上部電極の膜厚に等しい第2のヒューズ素子を備えた請求項に記載の半導体装置。 Further, a second fuse element formed on the element isolation insulating film, the third fusible fault formed of the same material as the lower electrode, and the second upper part on the third soluble fault and a fourth friendly fusing layer formed by the same material as the electrode, the third friendly film thickness of the fusing layer is equal properly the film thickness of the lower electrode, the film thickness of the fourth-friendly blowing layer wherein the the semiconductor device according to claim 1 having an equal correct the second fuse elements in the film thickness of the second upper electrode. 前記下部電極および前記第1上部電極がポリシリコンによって形成され、前記第2上部電極が金属もしくは金属シリサイドによって形成された請求項1または請求項2に記載の半導体装置。 3. The semiconductor device according to claim 1, wherein the lower electrode and the first upper electrode are made of polysilicon, and the second upper electrode is made of metal or metal silicide. 半導体基板の一表面に、少なくとも容量素子、ヒューズ素子、およびMOS型電界効果トランジスタが形成された半導体装置の製造方法であって、
一表面上に素子分離絶縁膜および前記MOS型電界効果トランジスタ用のゲート絶縁膜が形成され、前記素子分離絶縁膜および前記ゲート絶縁膜を覆う第1導電層、誘電体層、および前記第1導電層と同じ材質の第2導電層がこの順番で積層された半導体基板を用意する準備工程と、
前記誘電体層と前記第2導電層とを1つのエッチングマスクを用いて所定形状にエッチングする第1パターニング工程であって、前記容量素子を形成しようとする領域中の前記誘電体層を該容量素子の容量絶縁膜として残すと共に、前記容量絶縁膜上の前記第2導電層も残し、第1のヒューズ素子を形成しようとする領域中の前記誘電体層は除去する第1パターニング工程と、
前記第1導電層、前記誘電体層、および前記第2導電層を覆い、前記第1導電層とは材質が異なる第3導電層を金属もしくは金属シリサイドによって形成する導電層形成工程と、
前記誘電体層および前記素子分離絶縁膜をエッチング停止層として利用し、1つのエッチングマスクを用いて前記素子分離絶縁膜上の各層を所定形状にエッチングする第2パターニング工程であって、前記容量絶縁膜上の前記第2導電層を前記容量素子用の第1上部電極に成形すると共に、該第1上部電極上の前記第3導電層を前記容量素子用の第2上部電極に成形し、前記容量絶縁膜下の前記第1導電層を前記容量素子用の下部電極として残し、前記第1のヒューズ素子を形成しようとする領域中の前記第1導電層を該第1のヒューズ素子用の第1可溶断層として残すと共に、該第1可溶断層上の前記第3導電層を前記第1のヒューズ素子用の第2可溶断層として残す第2パターニング工程と
を含み、
前記第1パターニング工程で、さらに、第2のヒューズ素子を形成しようとする領域中の前記誘電体層を残すと共に、該第2のヒューズ素子を形成しようとする領域中の前記第2導電層も残し、
前記第2パターニング工程で、さらに、前記誘電体層をエッチング停止層として利用して、前記第2のヒューズ素子を形成しようとする領域中に残した前記第2導電層を前記第2のヒューズ素子用の第1可溶断層に成形すると共に、該第1可溶断層上の前記第3導電層を前記第2のヒューズ素子用の第2可溶断層に成形する半導体装置の製造方法。
A method of manufacturing a semiconductor device in which at least a capacitor element, a fuse element, and a MOS field effect transistor are formed on one surface of a semiconductor substrate,
An element isolation insulating film and a gate insulating film for the MOS field effect transistor are formed on one surface, and a first conductive layer, a dielectric layer, and the first conductive layer covering the element isolation insulating film and the gate insulating film are formed. A preparation step of preparing a semiconductor substrate in which second conductive layers made of the same material as the layers are stacked in this order;
A first patterning step of etching the dielectric layer and the second conductive layer into a predetermined shape using one etching mask, wherein the dielectric layer in a region where the capacitive element is to be formed is A first patterning step of leaving the dielectric layer in the region where the first fuse element is to be formed, leaving the second insulating layer on the capacitive insulating film as well as leaving the capacitor insulating film of the element;
A conductive layer forming step of covering the first conductive layer, the dielectric layer, and the second conductive layer, and forming a third conductive layer made of a metal or metal silicide that is made of a different material from the first conductive layer;
A second patterning step of using the dielectric layer and the element isolation insulating film as an etching stop layer and etching each layer on the element isolation insulating film into a predetermined shape using one etching mask, Forming the second conductive layer on the film as a first upper electrode for the capacitive element, and forming the third conductive layer on the first upper electrode as a second upper electrode for the capacitive element; The first conductive layer under the capacitor insulating film is left as a lower electrode for the capacitor element, and the first conductive layer in the region where the first fuse element is to be formed is used for the first fuse element. with left as 1 Allowed blown layer, viewed contains a second patterning step of leaving said third conductive layer on the first friendly blowing layer as the second-friendly fusing layer for said first fuse element,
In the first patterning step, the dielectric layer is left in a region where the second fuse element is to be formed, and the second conductive layer is also formed in the region where the second fuse element is to be formed. Leave,
In the second patterning step, the second conductive layer is left in the region where the second fuse element is to be formed by using the dielectric layer as an etching stop layer. And forming the third conductive layer on the first soluble fault into a second soluble fault for the second fuse element .
前記第1導電層および前記第2導電層をポリシリコンで形成する請求項に記載の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 4 , wherein the first conductive layer and the second conductive layer are formed of polysilicon. 半導体基板の一表面に、少なくとも容量素子、ヒューズ素子、およびMOS型電界効果トランジスタが形成された半導体装置の製造方法であって、
一表面上に素子分離絶縁膜および前記MOS型電界効果トランジスタ用のゲート絶縁膜が形成され、前記素子分離絶縁膜および前記ゲート絶縁膜を覆う第1導電層、誘電体層、および前記第1導電層と同じ材質の第2導電層がこの順番で積層された半導体基板を用意する準備工程と、
前記誘電体層と前記第2導電層とを1つのエッチングマスクを用いて所定形状にエッチングする第1パターニング工程であって、前記容量素子を形成しようとする領域中の前記誘電体層を該容量素子の容量絶縁膜として残すと共に、前記容量絶縁膜上の前記第2導電層も残し、第2のヒューズ素子を形成しようとする領域中の前記誘電体層を残すと共に、該第2のヒューズ素子を形成しようとする領域中の前記第2導電層も残す第1パターニング工程と、
前記第1導電層、前記誘電体層、および前記第2導電層を覆い、前記第1導電層とは材質が異なる第3導電層を金属もしくは金属シリサイドによって形成する導電層形成工程と、
前記誘電体層および前記素子分離絶縁膜をエッチング停止層として利用し、1つのエッチングマスクを用いて前記素子分離絶縁膜上の各層を所定形状にエッチングする第2パターニング工程であって、前記容量絶縁膜上の前記第2導電層を前記容量素子用の第1上部電極に成形すると共に、該第1上部電極上の前記第3導電層を前記容量素子用の第2上部電極に成形し、前記容量絶縁膜下の前記第1導電層を前記容量素子用の下部電極として残し、前記第2のヒューズ素子を形成しようとする領域中の前記第2導電層を該第2のヒューズ素子用の第1可溶断層に成形すると共に、該第1可溶断層上の前記第3導電層を前記第2のヒューズ素子用の第2可溶断層として残す第2パターニング工程と
を含む半導体装置の製造方法。
A method of manufacturing a semiconductor device in which at least a capacitor element, a fuse element, and a MOS field effect transistor are formed on one surface of a semiconductor substrate,
An element isolation insulating film and a gate insulating film for the MOS field effect transistor are formed on one surface, and a first conductive layer, a dielectric layer, and the first conductive layer covering the element isolation insulating film and the gate insulating film are formed. A preparation step of preparing a semiconductor substrate in which second conductive layers made of the same material as the layers are stacked in this order;
A first patterning step of etching the dielectric layer and the second conductive layer into a predetermined shape using one etching mask, wherein the dielectric layer in a region where the capacitive element is to be formed is In addition to leaving the capacitor insulating film of the element, the second conductive layer on the capacitor insulating film is also left, leaving the dielectric layer in the region where the second fuse element is to be formed, and the second fuse element A first patterning step that also leaves the second conductive layer in the region to be formed;
A conductive layer forming step of covering the first conductive layer, the dielectric layer, and the second conductive layer, and forming a third conductive layer made of a metal or metal silicide that is made of a different material from the first conductive layer;
A second patterning step of using the dielectric layer and the element isolation insulating film as an etching stop layer and etching each layer on the element isolation insulating film into a predetermined shape using one etching mask, Forming the second conductive layer on the film as a first upper electrode for the capacitive element, and forming the third conductive layer on the first upper electrode as a second upper electrode for the capacitive element; The first conductive layer under the capacitor insulating film is left as a lower electrode for the capacitor element, and the second conductive layer in a region where the second fuse element is to be formed is used as the second fuse element second electrode. A second patterning step of forming a first soluble fault and leaving the third conductive layer on the first soluble fault as a second soluble fault for the second fuse element. .
前記第1パターニング工程で、さらに、第1のヒューズ素子を形成しようとする領域中の前記第2導電層および前記誘電体層を除去し、
前記第2パターニング工程で、さらに、前記第1のヒューズ素子を形成しようとする領域中の前記第1導電層を該第1のヒューズ素子用の第1可溶断層として残すと共に、該第1可溶断層上の前記第3導電層を該第1のヒューズ素子用の第2可溶断層として残す請求項に記載の半導体装置の製造方法。
In the first patterning step, the second conductive layer and the dielectric layer in a region where the first fuse element is to be formed are removed,
In the second patterning step, the first conductive layer in a region where the first fuse element is to be formed is left as a first soluble fault for the first fuse element, and the first possible fault is The method of manufacturing a semiconductor device according to claim 6 , wherein the third conductive layer on the molten fault is left as a second soluble fault for the first fuse element.
前記第1導電層および前記第2導電層をポリシリコンで形成する請求項6または請求項7に記載の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 6, wherein the first conductive layer and the second conductive layer are formed of polysilicon.
JP2004309154A 2003-10-24 2004-10-25 Semiconductor device and manufacturing method thereof Expired - Fee Related JP4457846B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004309154A JP4457846B2 (en) 2003-10-24 2004-10-25 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003364829 2003-10-24
JP2004309154A JP4457846B2 (en) 2003-10-24 2004-10-25 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2005150712A JP2005150712A (en) 2005-06-09
JP4457846B2 true JP4457846B2 (en) 2010-04-28

Family

ID=34703207

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004309154A Expired - Fee Related JP4457846B2 (en) 2003-10-24 2004-10-25 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4457846B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200037096A (en) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device including a capacitor

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4604686B2 (en) * 2004-11-29 2011-01-05 ヤマハ株式会社 Semiconductor device and manufacturing method thereof
JP2007142347A (en) * 2005-10-19 2007-06-07 Matsushita Electric Ind Co Ltd Semiconductor device and manufacturing method therefor
JP5010939B2 (en) * 2007-02-19 2012-08-29 株式会社東芝 Manufacturing method of semiconductor device
JP5201872B2 (en) * 2007-05-01 2013-06-05 キヤノン株式会社 Ink jet recording head and method for storing information in ink jet recording head
JP5549049B2 (en) * 2007-08-28 2014-07-16 株式会社リコー Thin film resistor, semiconductor device, and method of manufacturing thin film resistor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200037096A (en) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device including a capacitor
KR102366980B1 (en) 2018-09-28 2022-02-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device including a capacitor
US11728374B2 (en) 2018-09-28 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including a capacitor

Also Published As

Publication number Publication date
JP2005150712A (en) 2005-06-09

Similar Documents

Publication Publication Date Title
US8164120B2 (en) Semiconductor device with capacitor and fuse and its manufacture
JP3431010B2 (en) Semiconductor device including capacitor and manufacturing method thereof
US8835251B2 (en) Formation of high sheet resistance resistors and high capacitance capacitors by a single polysilicon process
KR100278687B1 (en) Semiconductor device and manufacturing method
JP4994585B2 (en) Manufacturing method of semiconductor device having silicided electrode and semiconductor device
US6784054B2 (en) Method of manufacturing semiconductor device
US20070096212A1 (en) Semiconductor device and method for fabricating the same
US20080227247A1 (en) Barrier dielectric stack for seam protection
KR100481870B1 (en) Semiconductor Device Having One-Time Programmable ROM And Method Of Fabricating The Same
JPH10261767A (en) Manufacture of semiconductor device
US6242311B1 (en) Method of fabricating a semiconductor device with silicided gates and peripheral region
JP4457846B2 (en) Semiconductor device and manufacturing method thereof
JP4600652B2 (en) Semiconductor device and manufacturing method thereof
JPH10303309A (en) Semiconductor device and manufacture thereof
JP4296769B2 (en) Semiconductor device and manufacturing method thereof
JP4604686B2 (en) Semiconductor device and manufacturing method thereof
JP3037100B2 (en) Method for manufacturing semiconductor device
KR100480577B1 (en) Semiconductor device having butted contact and manufacturing method therefor
KR100465940B1 (en) Method For Forming Metal Lines Of Semiconductor Devices
JPH11204738A (en) Manufacture of semiconductor device
JP2001217417A (en) Mos transistor and its manufacturing method
JPH1154700A (en) Semiconductor device and its manufacture
JPH0388366A (en) Manufacture of semiconductor device
JP2000208625A (en) Production of semiconductor device
KR20100079293A (en) Capacitor and method of manufacturing the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091006

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100119

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100201

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130219

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140219

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees