JP4067470B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP4067470B2
JP4067470B2 JP2003275033A JP2003275033A JP4067470B2 JP 4067470 B2 JP4067470 B2 JP 4067470B2 JP 2003275033 A JP2003275033 A JP 2003275033A JP 2003275033 A JP2003275033 A JP 2003275033A JP 4067470 B2 JP4067470 B2 JP 4067470B2
Authority
JP
Japan
Prior art keywords
film
oxide film
insulating film
interlayer insulating
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003275033A
Other languages
Japanese (ja)
Other versions
JP2005039077A (en
Inventor
覚 後藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2003275033A priority Critical patent/JP4067470B2/en
Publication of JP2005039077A publication Critical patent/JP2005039077A/en
Application granted granted Critical
Publication of JP4067470B2 publication Critical patent/JP4067470B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

本発明は半導体装置の製造方法に関し、特に強誘電体膜あるいは高誘電体膜を用いた容量絶縁膜を有する半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device having a capacitive insulating film using a ferroelectric film or a high dielectric film.

近年、強誘電体あるいは高誘電体を容量絶縁膜とするキャパシタを用いた、例えば強誘電体不揮発性メモリに代表される半導体装置が多用されてきている。しかしながら、これらの容量絶縁膜は水素や水分の存在で容易に還元され、その特性が劣化してしまう。   In recent years, for example, a semiconductor device represented by a ferroelectric nonvolatile memory using a capacitor having a ferroelectric or high dielectric as a capacitive insulating film has been widely used. However, these capacitive insulating films are easily reduced in the presence of hydrogen and moisture, and their characteristics deteriorate.

従来、この水素や水分が強誘電体あるいは高誘電体からなる容量絶縁膜へ及ぼす影響(容量絶縁膜の特性劣化)を防止するために、キャパシタ上部に酸化膜を水素フリーの状態で形成することが行われている(例えば、特許文献1)。   Conventionally, in order to prevent the influence of hydrogen and moisture on a capacitive insulating film made of a ferroelectric or high dielectric material (degradation of characteristics of the capacitive insulating film), an oxide film is formed on the capacitor in a hydrogen-free state. (For example, Patent Document 1).

この特許文献1に記載された従来例は、保護膜を2層膜として、下層にスパッタ成膜またはSOGを成膜した後、上層に基板温度350〜500℃でオゾン酸化のTEOS膜を成膜したものである。以下、図面を用いて詳細に説明する。   In the conventional example described in Patent Document 1, a protective film is formed as a two-layer film, a sputtering film or SOG film is formed as a lower layer, and then an ozone-oxidized TEOS film is formed as an upper layer at a substrate temperature of 350 to 500 ° C. It is a thing. Hereinafter, it explains in detail using a drawing.

図3は特許文献1に記載された製造方法を説明するものであるが、ここで、1はp型Si基板、2はLOCOS分離絶縁膜、3a、3bはn+ソース・ドレイン拡散層、4はゲート酸化Si、5はゲート多結晶Si電極、6は層間絶縁膜、7は容量下部電極(Pt/Ti)、8は強誘電体(SrBiTa)、9は容量上部電極(Pt)、10は容量保護膜(下層:スパッタ酸化SiまたはSOG)、11は容量保護膜(上層:オゾン酸化TEOS膜)、12a、12bはトランジスタソース・ドレイン拡散層3a、3bへのコンタクト孔、13a、13bは容量上下電極9、7へのコンタクト孔、14a、14bはトランジスタソース・ドレイン拡散層3a、3bへの金属配線、15a、15bは容量上下電極9、7への金属配線である。 FIG. 3 illustrates the manufacturing method described in Patent Document 1, wherein 1 is a p-type Si substrate, 2 is a LOCOS isolation insulating film, 3a and 3b are n + source / drain diffusion layers, and 4 is Gate oxide Si, 5 is a gate polycrystalline Si electrode, 6 is an interlayer insulating film, 7 is a capacitor lower electrode (Pt / Ti), 8 is a ferroelectric (SrBi 2 Ta 2 O 9 ), 9 is a capacitor upper electrode (Pt ) 10 is a capacity protection film (lower layer: sputtered oxidation Si or SOG), 11 is a capacity protection film (upper layer: ozone oxidation TEOS film), 12a and 12b are contact holes to the transistor source / drain diffusion layers 3a and 3b, 13a 13b are contact holes for the capacitor upper and lower electrodes 9 and 7, 14a and 14b are metal wirings to the transistor source / drain diffusion layers 3a and 3b, and 15a and 15b are metal wirings to the capacitor upper and lower electrodes 9 and 7. It is.

図3に示す特許文献1に記載の従来のものでは、図示のように強誘電体8に接してそれを覆う保護膜10、11を2層構造とし、その下層膜10をCVD法以外のスパッタ法またはSOG(Spin On Glass)成膜により形成し、その上に上層膜11としてTEOSを原料とする酸化Si膜を350〜500℃の基板温度で形成し、その後、その保護膜を高温で熱処理する。   In the prior art described in Patent Document 1 shown in FIG. 3, the protective films 10 and 11 which are in contact with and cover the ferroelectric 8 as shown in the figure have a two-layer structure, and the lower layer film 10 is formed by sputtering other than the CVD method. Or an SOG (Spin On Glass) film formation, and an Si oxide film using TEOS as a raw material is formed thereon as an upper layer film 11 at a substrate temperature of 350 to 500 ° C., and then the protective film is heat-treated at a high temperature. To do.

このような2層構造とすることにより、CVD中に反応生成物が強誘電体に直接影響を与えることなく、金属配線層の短絡不良を防止することができ、成膜後の膜中水分を減少させかつ成膜レ−トを保持することができ、さらには分極特性の劣化を防ぐことができ、成膜後のさらなる熱処理により漏洩電流特性の改善が図られる。   By adopting such a two-layer structure, it is possible to prevent a short circuit failure of the metal wiring layer without causing the reaction product to directly affect the ferroelectric during CVD, and to reduce moisture in the film after film formation. The film formation rate can be reduced and the polarization characteristic can be prevented from being deteriorated. Further, the leakage current characteristic can be improved by further heat treatment after the film formation.

しかしながら、上記特許文献1に記載の従来のものでは、強誘電体8を結晶化する熱処理時間が長いため、また、強誘電体8の種類によっては熱処理温度が高いため、強誘電体8に水素や水分が侵入し、水分および水素の存在により強誘電体8の容量特性、特に分極特性が劣化する可能性がある。さらに、容量素子の電極に酸化物導電性物が用いられている場合には、高温熱処理を行うことにより、酸化物導電性物が還元される。このため、酸素バリア性がなくなり、下部電極7の剥がれが生じる。
特開平9−307074号公報(図1、明細書の段落0014〜0021)
However, since the heat treatment time for crystallizing the ferroelectric 8 is long in the conventional device described in Patent Document 1 above, and depending on the type of the ferroelectric 8, the heat treatment temperature is high. There is a possibility that the moisture characteristics and the polarization characteristics of the ferroelectric 8 may deteriorate due to the presence of moisture and hydrogen. Furthermore, when an oxide conductive material is used for the electrode of the capacitor, the oxide conductive material is reduced by performing high-temperature heat treatment. For this reason, the oxygen barrier property is lost and the lower electrode 7 is peeled off.
JP-A-9-307074 (FIG. 1, paragraphs 0014 to 0021 of the specification)

本発明は上記従来技術における問題点を解決するものであって、酸化膜中の水分を取り除くとともに、結晶化した後の強誘電体に大気中の水分が直接付着することを完全に防止し、かつ、下部電極として用いられている導電性酸化物の還元を完全に防止した半導体装置の製造方法を提供することを課題とする。   The present invention solves the above problems in the prior art, removes moisture in the oxide film and completely prevents moisture in the atmosphere from directly attaching to the ferroelectric after crystallization, It is another object of the present invention to provide a method for manufacturing a semiconductor device in which reduction of a conductive oxide used as a lower electrode is completely prevented.

上記課題を解決するため本発明は、半導体基板上に、下部電極、強誘電体または高誘電体からなる容量絶縁膜、上部電極を順次形成してキャパシタとする工程と、前記キャパシタを被覆するように層間絶縁膜となる酸化膜を形成する工程と、前記層間絶縁膜となる酸化膜を形成後、酸素雰囲気中で急速熱処理を行い、前記強誘電体または高誘電体からなる容量絶縁膜を結晶化する工程とを備え、前記層間絶縁膜となる酸化膜は、TEOS、O を用いた熱CVD法、またはO とAl(CH を用いたALCVD法で形成されるものである。 In order to solve the above-described problems, the present invention provides a process of forming a capacitor by sequentially forming a lower electrode, a ferroelectric or high-dielectric capacitor insulating film, and an upper electrode on a semiconductor substrate, and covering the capacitor. Forming an oxide film serving as an interlayer insulating film on the substrate, and forming an oxide film serving as the interlayer insulating film, followed by rapid thermal processing in an oxygen atmosphere to crystallize the capacitive insulating film made of the ferroelectric or high dielectric. and a step of reduction, the oxide film serving as the interlayer insulating film is to be formed TEOS, thermal CVD method using O 3, or O 3 and Al (CH 3) ALCVD method using 3 .

本発明によれば、上記において、下部電極を、導電性酸化物を含む電極とすることが好適である。   According to the present invention, in the above, the lower electrode is preferably an electrode containing a conductive oxide.

また本発明によれば、上記において、前記層間絶縁膜となる酸化膜を形成した後に前記層間絶縁膜となる酸化膜上に上層酸化膜を形成する工程を有し、前記急速熱処理を、前記層間絶縁膜となる酸化膜の形成後かつ前記上層酸化膜の形成前に行うことが好適である。
また本発明によれば、上記において、前記層間絶縁膜となる酸化膜の膜厚を200nm以下とすることが好適である。
According to the invention, in the above, the method further includes the step of forming an upper oxide film on the oxide film to be the interlayer insulating film after forming the oxide film to be the interlayer insulating film, It is preferable to carry out after forming the oxide film to be an insulating film and before forming the upper oxide film .
According to the invention, in the above, it is preferable that the thickness of the oxide film serving as the interlayer insulating film is 200 nm or less.

また本発明によれば、上記において、層間絶縁膜となる酸化膜を形成した後、前記急速熱処理を行う前に、前記層間絶縁膜となる酸化膜に対して平坦化処理を行うことが好適である。 According to the present invention, in the above, after forming an oxide film as an interlayer insulating film, before performing the rapid heat treatment it is preferable to perform the planarization process to the oxide film serving as the interlayer insulating film is there.

このように本発明によれば、強誘電体によって強誘電性を発現させるための熱処理を、キャパシタを被覆する酸化膜を形成した後に行うことで、強誘電性を発現させた後に、強誘電体が直接大気中の水分と接触することを防止することができ、このため、酸化膜中の水分を取り除くとともに、結晶化した後の強誘電体に大気中の水分が直接付着することを完全に防止することができる。   As described above, according to the present invention, after the oxide film covering the capacitor is formed, the heat treatment for expressing the ferroelectricity by the ferroelectric is performed, and then the ferroelectric is developed. Therefore, it is possible to prevent moisture in the oxide film from being directly contacted with moisture in the atmosphere and to completely prevent moisture in the atmosphere from directly adhering to the ferroelectric after crystallization. Can be prevented.

また本発明によれば、酸化膜を、下部電極に含まれる導電性酸化物を還元しない絶縁膜とし、また熱処理時の酸化膜厚を制御することで、電極の剥離という不良を防止することができる。   In addition, according to the present invention, the oxide film is an insulating film that does not reduce the conductive oxide contained in the lower electrode, and the oxide film thickness during the heat treatment can be controlled to prevent a defect such as electrode peeling. it can.

以下、本発明の実施例について説明する。   Examples of the present invention will be described below.

本発明の実施例について、以下図面を参照しながら説明する。
図1−Aおよび図1−Bを用いて、本発明にもとづく強誘電体および高誘電体を用いた半導体記憶装置の製造方法の実施例を説明する。
Embodiments of the present invention will be described below with reference to the drawings.
1A and 1B, an embodiment of a method of manufacturing a semiconductor memory device using a ferroelectric and a high dielectric according to the present invention will be described.

図1−A(a)に示すように、半導体基板100の上にドライエッチング法を用いて深さ約300nmの溝を形成し、次にCVD法によりシリコン酸化膜を堆積した後、CMP法を用いて平坦化し、素子分離領域101を形成する。次に膜厚約10nmのゲート絶縁膜を形成後、膜厚約200nmのポリシリコン膜を堆積し、ドライエッチング法を用いてゲート電極102を形成する。次に、半導体基板100におけるゲート電極102に隣接した領域およびその周辺の領域に、イオン注入により拡散層103を形成してMOSトランジスタを形成する。   As shown in FIG. 1- (a), a trench having a depth of about 300 nm is formed on a semiconductor substrate 100 using a dry etching method, and then a silicon oxide film is deposited by a CVD method. Then, the device isolation region 101 is formed by planarization. Next, after forming a gate insulating film having a thickness of about 10 nm, a polysilicon film having a thickness of about 200 nm is deposited, and the gate electrode 102 is formed by using a dry etching method. Next, a diffusion layer 103 is formed by ion implantation in a region adjacent to the gate electrode 102 in the semiconductor substrate 100 and a peripheral region thereof to form a MOS transistor.

次に、図1−A(b)に記載するように、上記のように形成されたMOSトランジスタおよびその周辺領域上に第1のシリコン酸化膜104を形成し、ゲート電極102上で膜厚が約200nmになるように平坦化する。次に、第1のシリコン酸化膜104中に、拡散層103に到達するコンタクトホール120を形成した後、チタン約10nm、窒化チタン約20nm、タングステン300nmをCVD法で順次堆積してコンタクトホール120を埋め込み、CMP法で表面を平坦化処理して、タングステンプラグ105を形成する。次に、膜厚約10nmのチタン、膜厚約20nmの窒化チタン、膜厚約100nmのタングステンをスパッタ法により順次堆積し、第1のシリコン104上におけるタングステンプラグ105上を含む所定領域に、ドライエッチング法によりビット線106を形成する。   Next, as shown in FIG. 1-A (b), a first silicon oxide film 104 is formed on the MOS transistor formed as described above and its peripheral region, and the film thickness is increased on the gate electrode 102. It planarizes so that it may become about 200 nm. Next, after forming a contact hole 120 reaching the diffusion layer 103 in the first silicon oxide film 104, about 10 nm of titanium, about 20 nm of titanium nitride, and 300 nm of tungsten are sequentially deposited by the CVD method to form the contact hole 120. A tungsten plug 105 is formed by embedding and planarizing the surface by CMP. Next, titanium having a film thickness of about 10 nm, titanium nitride having a film thickness of about 20 nm, and tungsten having a film thickness of about 100 nm are sequentially deposited by a sputtering method, and dried on a predetermined region including the top of the tungsten plug 105 on the first silicon 104. Bit line 106 is formed by an etching method.

次に、図1−A(c)に示すように、第1のシリコン酸化膜104上に、ビット線106上を覆うようにCVD方を用いて第2のシリコン酸化膜107を堆積した後、ビット線106上の厚みが100nmになるようにCMP法により平坦化する。次に、第2のシリコン酸化膜107の上に、膜厚約100nmのシリコン窒化膜108からなる絶縁性下部水素バリアを、CVD法で形成する。次に、シリコン窒化膜108、第2のシリコン酸化膜107、第1のシリコン酸化膜104を貫通して、拡散層103に到達するコンタクトホール121をドライエッチング法により形成する。次にチタン約10nm、窒化チタン約20nm、タングステン300nmをCVD法で順次堆積してコンタクトホール121を埋め込んだ後、CMP法で表面を平坦化処理して、タングステンプラグ109を形成する。   Next, after depositing a second silicon oxide film 107 on the first silicon oxide film 104 using the CVD method so as to cover the bit line 106, as shown in FIG. Planarization is performed by CMP so that the thickness on the bit line 106 becomes 100 nm. Next, an insulating lower hydrogen barrier made of the silicon nitride film 108 having a thickness of about 100 nm is formed on the second silicon oxide film 107 by the CVD method. Next, a contact hole 121 that reaches the diffusion layer 103 through the silicon nitride film 108, the second silicon oxide film 107, and the first silicon oxide film 104 is formed by dry etching. Next, about 10 nm of titanium, about 20 nm of titanium nitride, and 300 nm of tungsten are sequentially deposited by the CVD method to fill the contact hole 121, and then the surface is planarized by the CMP method to form the tungsten plug 109.

次に、図1−A(d)に示すように、シリコン窒化膜108上およびタングステンプラグ109上に、膜厚約50nmの窒化チタンアルミニウム(TiAlN)、膜厚約50nmのイリジウム(Ir)、導電性酸化膜としての膜厚約50nmの酸化イリジウム(IrOx)、膜厚約100nmの白金(Pt)をスパッタ法により順次堆積し、ドライエッチング法によりタングステンプラグ109の表面を覆うようにパターニングして、導電性酸化物からなる下部電極110を構成する。   Next, as shown in FIG. 1A (d), on the silicon nitride film 108 and the tungsten plug 109, titanium aluminum nitride (TiAlN) with a film thickness of about 50 nm, iridium (Ir) with a film thickness of about 50 nm, conductive An iridium oxide (IrOx) film having a film thickness of about 50 nm and platinum (Pt) film having a film thickness of about 100 nm are sequentially deposited by sputtering, and patterned to cover the surface of the tungsten plug 109 by dry etching, A lower electrode 110 made of a conductive oxide is formed.

次に、図1−B(e)に示すように、下部電極110を覆うようにシリコン窒化膜108上にCVD法を用いて第3のシリコン酸化膜111を堆積し、下部電極110の表面が露出するようにCMP法で平坦化を行う。次に、膜厚約100nmで、ストロンチウム、ビスマス、タンタル、ニオブを成分とするビスマス層状ペロブスカイト型酸化物からなる強誘電体を塗布法を用いて堆積する。その後、650℃の酸素雰囲気中で仮焼結を行う。次に、スパッタ法を用いて、膜厚約100nmのPtを堆積する。次にドライエッチングを用いて下部電極110を含む領域で強誘電体およびPtをパターニングし、強誘電体にて形成された容量絶縁膜112とPtにて形成された上部電極113とを形成して、キャパシタ構造とする。   Next, as shown in FIG. 1-B (e), a third silicon oxide film 111 is deposited on the silicon nitride film 108 using the CVD method so as to cover the lower electrode 110, and the surface of the lower electrode 110 is formed. Planarization is performed by CMP so as to be exposed. Next, a ferroelectric substance having a film thickness of about 100 nm and made of a bismuth layered perovskite oxide containing strontium, bismuth, tantalum, and niobium as a component is deposited using a coating method. Thereafter, preliminary sintering is performed in an oxygen atmosphere at 650 ° C. Next, Pt with a film thickness of about 100 nm is deposited by sputtering. Next, the ferroelectric and Pt are patterned in a region including the lower electrode 110 using dry etching, thereby forming a capacitive insulating film 112 made of ferroelectric and an upper electrode 113 made of Pt. The capacitor structure is used.

次に、図1−B(f)に示すように、上部電極113上に、例えば、TEOS、Oを原料ガスの主成分とした熱CVD法を用いて、膜厚約150nmの第1の酸化膜114を堆積する。この方法では、公知のプラズマCVD法と異なり、酸化物を還元する水素の発生を抑制することや膜中に含まれる水素濃度を低減することができる。次に、酸素雰囲気中、800℃で、1分間という急速条件により急速熱処理を加え、容量絶縁膜112の強誘電体の結晶化を行う。その後、第1の酸化膜114と同じ手法を用いて第2の酸化膜115を堆積し、平坦化処理を行う。また、第1、第2の酸化膜114、115としてOとAl(CHを主成分として用いたALCVD(Atomic Layer CVD)法を用いても良い。この手法もプロセスで発生する水素や膜中の水素含有量を低減できる。 Next, as shown in FIG. 1-B (f), the first electrode having a film thickness of about 150 nm is formed on the upper electrode 113 by using, for example, a thermal CVD method in which TEOS and O 3 are main components of the source gas. An oxide film 114 is deposited. In this method, unlike the known plasma CVD method, generation of hydrogen for reducing oxides can be suppressed and the concentration of hydrogen contained in the film can be reduced. Next, a rapid heat treatment is performed under an rapid condition of 1 minute at 800 ° C. in an oxygen atmosphere to crystallize the ferroelectric of the capacitor insulating film 112. Thereafter, a second oxide film 115 is deposited by using the same method as that for the first oxide film 114, and planarization is performed. Alternatively, an ALCVD (Atomic Layer CVD) method using O 3 and Al (CH 3 ) 3 as main components may be used as the first and second oxide films 114 and 115. This method can also reduce the hydrogen generated in the process and the hydrogen content in the film.

このように、第1の酸化膜114を堆積し、急速熱処理により容量絶縁膜112の強誘電体の結晶化を行ったうえで、さらに第2の酸化膜115を堆積し、平坦化処理を行うことで、容量絶縁膜を劣化させることなく、後工程の配線形成で問題となる段差緩和を実現することができる。   As described above, the first oxide film 114 is deposited, the ferroelectric of the capacitor insulating film 112 is crystallized by rapid thermal processing, and then the second oxide film 115 is further deposited and planarized. As a result, it is possible to realize step relief that is a problem in the formation of wiring in a subsequent process without deteriorating the capacitor insulating film.

なお、図1−B(g)に示すように、第1の酸化膜114を厚めに堆積し、平坦化処理を行ってから、強誘電体を結晶化する急速熱処理工程を行ってもよい。   Note that, as shown in FIG. 1B (g), the first oxide film 114 may be deposited thickly and planarized, and then a rapid thermal process for crystallizing the ferroelectric may be performed.

このようにすることにより、第1の酸化膜114中の水分を取り除くとともに、結晶化した後の強誘電体112に大気中の水分が直接付着することを完全に防止することができる。   By doing so, it is possible to remove moisture in the first oxide film 114 and completely prevent moisture in the atmosphere from directly attaching to the ferroelectric 112 after crystallization.

次に、第1の酸化膜114の膜厚について述べる。Ptにて形成された上部電極113上に第1の酸化膜114を膜厚50nm〜450nmで堆積した後に、RTO処理を施したときの、試料の膜厚と分極量との関係を図2に示す。この図2からわかるように、膜厚が300nm以上では、分極量がほとんど観測されなかった。また、この試料の断面観察を行ったところ、下部電極110のTiAlNとIrとの界面で剥離が観察され、またIrOxの膜厚が薄くなっていた。この結果より、RTO処理時にある程度酸素がIrOxの表面に到達しないと、IrOxの還元反応が起こり、その酸素がTiAlN表面と反応して酸化物層ができ、剥離が起こるものと考えられる。したがって、第1の酸化膜114の膜厚を200nm以下とすることで、下部電極110の剥がれといった不良を生じさせず、かつ大気中の水分の付着等による強誘電体容量の劣化が抑えられると推測される。   Next, the thickness of the first oxide film 114 will be described. FIG. 2 shows the relationship between the thickness of the sample and the amount of polarization when the RTO treatment is performed after depositing the first oxide film 114 with a thickness of 50 nm to 450 nm on the upper electrode 113 formed of Pt. Show. As can be seen from FIG. 2, the polarization amount was hardly observed when the film thickness was 300 nm or more. Further, when the cross section of this sample was observed, peeling was observed at the interface between TiAlN and Ir of the lower electrode 110, and the film thickness of IrOx was reduced. From this result, it is considered that when oxygen does not reach the surface of IrOx to some extent during the RTO treatment, a reduction reaction of IrOx occurs, and the oxygen reacts with the TiAlN surface to form an oxide layer, which causes peeling. Therefore, by setting the thickness of the first oxide film 114 to 200 nm or less, it is possible to prevent a defect such as peeling of the lower electrode 110 and to suppress deterioration of the ferroelectric capacitance due to adhesion of moisture in the atmosphere. Guessed.

本発明の半導体装置の製造方法は、強誘電体膜あるいは高誘電体膜を用いた容量絶縁膜を有する半導体装置の製造のために利用することができる。   The method for manufacturing a semiconductor device of the present invention can be used for manufacturing a semiconductor device having a capacitive insulating film using a ferroelectric film or a high dielectric film.

本発明の半導体装置の製造方法の実施例を示す断面図Sectional drawing which shows the Example of the manufacturing method of the semiconductor device of this invention 図1−Aの次の工程を示す断面図Sectional drawing which shows the next process of FIG. 1-A 本発明の半導体装置の製造方法の実施例において上部電極(白金)上の酸化膜の膜厚と分極量との関係を示す図The figure which shows the relationship between the film thickness of the oxide film on an upper electrode (platinum), and the amount of polarization in the Example of the manufacturing method of the semiconductor device of this invention 従来の半導体装置の製造方法を示す要部断面図Cross-sectional view of relevant parts showing a conventional method for manufacturing a semiconductor device

符号の説明Explanation of symbols

100 半導体基板
110 下部電極(Pt/IrOx/Ir/TiAlN)
112 容量絶縁膜(強誘電体)
113 上部電極(Pt)
114 第1の酸化膜
115 第2の酸化膜
100 Semiconductor substrate 110 Lower electrode (Pt / IrOx / Ir / TiAlN)
112 Capacitance insulation film (ferroelectric)
113 Upper electrode (Pt)
114 first oxide film 115 second oxide film

Claims (5)

半導体基板上に、下部電極、強誘電体または高誘電体からなる容量絶縁膜、上部電極を順次形成してキャパシタとする工程と、
前記キャパシタを被覆するように層間絶縁膜となる酸化膜を形成する工程と、
前記層間絶縁膜となる酸化膜を形成後、酸素雰囲気中で急速熱処理を行い、前記強誘電体または高誘電体からなる容量絶縁膜を結晶化する工程とを備え、
前記層間絶縁膜となる酸化膜は、TEOS、Oを用いた熱CVD法、またはOとAl(CHを用いたALCVD法で形成されることを特徴とする半導体装置の製造方法。
Forming a capacitor by sequentially forming a lower electrode, a capacitor dielectric film made of a ferroelectric or a high dielectric, and an upper electrode on a semiconductor substrate; and
Forming an oxide film to be an interlayer insulating film so as to cover the capacitor;
A step of performing a rapid heat treatment in an oxygen atmosphere after forming the oxide film to be the interlayer insulating film, and crystallizing the capacitive insulating film made of the ferroelectric or high dielectric,
The oxide film to be the interlayer insulating film is formed by a thermal CVD method using TEOS, O 3 or an ALCVD method using O 3 and Al (CH 3 ) 3. .
前記下部電極を、導電性酸化物を含む電極とすることを特徴とする請求項1に記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 1, wherein the lower electrode is an electrode containing a conductive oxide. 前記層間絶縁膜となる酸化膜を形成した後に前記層間絶縁膜となる酸化膜上に上層酸化膜を形成する工程を有し、
前記急速熱処理を、前記層間絶縁膜となる酸化膜の形成後かつ前記上層酸化膜の形成前に行うことを特徴とする請求項1または2に記載の半導体装置の製造方法。
A step of forming an upper oxide film on the oxide film to be the interlayer insulating film after forming the oxide film to be the interlayer insulating film;
3. The method of manufacturing a semiconductor device according to claim 1 , wherein the rapid thermal processing is performed after forming an oxide film to be the interlayer insulating film and before forming the upper oxide film .
前記層間絶縁膜となる酸化膜の膜厚を200nm以下とすることを特徴とする請求項1から3までのいずれか1項に記載の半導体装置の製造方法。 4. The method of manufacturing a semiconductor device according to claim 1, wherein a film thickness of the oxide film serving as the interlayer insulating film is set to 200 nm or less . 5. 前記層間絶縁膜となる酸化膜を形成した後、前記急速熱処理を行う前に、前記層間絶縁膜となる酸化膜に対して平坦化処理を行うことを特徴とする請求項1から4までのいずれか1項に記載の半導体装置の製造方法。 5. The planarization process is performed on the oxide film to be the interlayer insulating film after the oxide film to be the interlayer insulating film is formed and before the rapid thermal processing is performed. A method for manufacturing a semiconductor device according to claim 1.
JP2003275033A 2003-07-16 2003-07-16 Manufacturing method of semiconductor device Expired - Fee Related JP4067470B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003275033A JP4067470B2 (en) 2003-07-16 2003-07-16 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003275033A JP4067470B2 (en) 2003-07-16 2003-07-16 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2005039077A JP2005039077A (en) 2005-02-10
JP4067470B2 true JP4067470B2 (en) 2008-03-26

Family

ID=34211801

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003275033A Expired - Fee Related JP4067470B2 (en) 2003-07-16 2003-07-16 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4067470B2 (en)

Also Published As

Publication number Publication date
JP2005039077A (en) 2005-02-10

Similar Documents

Publication Publication Date Title
KR100396879B1 (en) Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
EP1313141B1 (en) Semiconductor device and method of manufacturing the same
JP2002009256A (en) Semiconductor device and its manufacturing method
JP2009253033A (en) Semiconductor memory and method for manufacturing the same
JP2007067066A (en) Semiconductor device and manufacturing method thereof
JP2003273332A (en) Semiconductor device and its manufacturing method
JP3643091B2 (en) Semiconductor memory device and manufacturing method thereof
JP5168273B2 (en) Semiconductor device and manufacturing method thereof
JP5832715B2 (en) Manufacturing method of semiconductor device
JP2006261483A (en) Ferroelectric capacitor and its fabrication process
US20090256259A1 (en) Semiconductor device and method for manufacturing the same
JP2004039699A (en) Semiconductor device and its manufacturing method
JP2008186926A (en) Semiconductor device and manufacturing method therefor
JP4067470B2 (en) Manufacturing method of semiconductor device
JP2002141485A (en) Semiconductor device
JP4578777B2 (en) Semiconductor device and manufacturing method thereof
JP2004207681A (en) Semiconductor device and manufacturing method therefor
JP4002882B2 (en) Capacitor element, semiconductor memory device and manufacturing method thereof
JP4798979B2 (en) Manufacturing method of ferroelectric memory
JP2004193176A (en) Semiconductor device and its manufacturing method
JP4649899B2 (en) Semiconductor memory device and manufacturing method thereof
JP3967315B2 (en) Capacitor element, semiconductor memory device and manufacturing method thereof
JP2004235287A (en) Semiconductor device and its manufacturing method
JP2023091207A (en) Semiconductor device and method of manufacturing semiconductor device
KR100477835B1 (en) Ferroelectric Capacitor Formation Method

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071016

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071211

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080108

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110118

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110118

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110118

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120118

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130118

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees