JP4047492B2 - MIS type semiconductor device and manufacturing method thereof - Google Patents

MIS type semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP4047492B2
JP4047492B2 JP18073899A JP18073899A JP4047492B2 JP 4047492 B2 JP4047492 B2 JP 4047492B2 JP 18073899 A JP18073899 A JP 18073899A JP 18073899 A JP18073899 A JP 18073899A JP 4047492 B2 JP4047492 B2 JP 4047492B2
Authority
JP
Japan
Prior art keywords
region
source
gate electrode
insulating film
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP18073899A
Other languages
Japanese (ja)
Other versions
JP2000082813A (en
Inventor
一美 西之原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP18073899A priority Critical patent/JP4047492B2/en
Publication of JP2000082813A publication Critical patent/JP2000082813A/en
Application granted granted Critical
Publication of JP4047492B2 publication Critical patent/JP4047492B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、MIS型半導体装置およびその製造方法に関し、特にソース領域及びドレイン領域に使用されるものに関する。
【0002】
【従来の技術】
近年の微細化に基づく高集積化による高性能化の要求に応えるため、半導体集積回路を作成する際には、リソグラフィ技術の加工限界に近い領域でトランジスタのゲート電極が加工される。このため、ゲート電極のばらつきに起因するチャネル長のばらつきがトランジスタ特性にますます大きなばらつきを与え、製品歩留りを落としている。一方、半導体集積回路の微細化により微細トランジスタおよび配線は極めて稠密に配置され、また、配線距離は長くなっている。従って、微細化に基づいてトランジスタ動作速度の高速化を図っても、トランジスタと配線間の寄生容量および寄生抵抗により、回路動作の高速化が実現できない事態が生じている。
【0003】
従来の平面型トランジスタの構造を図26に示す。シリコン基板1上にゲート絶縁膜2を介してゲート電極3が形成されている。このゲート電極3の側部には、絶縁膜9を介して配線4a,4bが形成されている。また、シリコン基板1内にはソース拡散層5a及びドレイン拡散層5bが形成されており、この両拡散層5a,5b間の領域6がチャネル領域となる。また、7は素子分離絶縁膜であり、8は層間絶縁膜である。
【0004】
拡散層5a,5bはチャネル領域6に隣接してシリコン基板1内に分布するため、この分布がゲート電極3によるチャネル領域6の制御を弱くし、いわゆる短チャネル効果が生じることにより、リソグラフィ加工ばらつきの影響が拡大されている。
【0005】
また、後に述べる凹型トランジスタおよび他の従来のトランジスタの問題点を説明する際の比較のために、この平面型トランジスタを動作させた際に生ずる電流を図26に一点鎖線で示す。配線4aから注入された電流は、ソース拡散層5aに入り、その蓄積層(ゲート絶縁膜2を介してゲート電極3と相対する拡散層5aの表面部分に相当し、拡散層活性不純物濃度の数十倍以上のキャリア密度を有する)に入り、チャネル領域6の反転層に入り、ドレイン拡散層5bの蓄積層とその外の拡散層領域を経て配線4bへと流れ、電流経路を形成している。
【0006】
蓄積層の外の拡散層領域では、基板1表面の高いキャリア濃度領域が失われ、活性不純物濃度によって決まるキャリア濃度により電流は拡散層5a及び5b内深くまで広がり、いわゆる広がり抵抗を生じるが、図26の一点鎖線に示すように、おおむね直線的な電流経路が形成される。
【0007】
通常、ソース領域及びドレイン領域は、ゲート電極をマスクとして、基板と逆導電型の不純物をイオン注入により導入し、この不純物が熱工程により活性化され又は拡散することにより形成される。ソース領域及びドレイン領域は配線への電流経路をチャネルと接続するものであり、充分に低い抵抗値でこの接続を行うために、高濃度で充分深い領域を拡散して形成する必要がある。
【0008】
図27はゲート長0.1μmの平面型トランジスタの動作時の電子濃度分布のドレイン拡散層5bの不純物との関係を示す図であり、図26のゲート電極3近傍についてデバイスシミュレーションにより求めたものである。同図ではドレイン拡散層5b側のみ示されている。本シミュレーションでは、ゲート電極3に1V、ドレイン拡散層5bに1Vを印加している。
【0009】
ソース拡散層5aに印加したバイアスは0Vであり、このためソース拡散層5a付近では電流はゲートバイアスに強く支配される。ドレイン拡散層5b付近では、ドレイン拡散層5bに印加されたバイアスにより、ゲート電極3が基板1表面へ与える影響はソース拡散層5aの場合よりも弱くなる。しかし、ゲート絶縁膜2が極めて薄いために、ドレイン拡散層5b付近でも電流はゲート電極3に強く支配されている。以下では主にドレイン拡散層5bについて説明するが、電子濃度又は電流濃度分布と、ゲート電極3の位置又は拡散層不純物分布との間の関係はソース拡散層5aでも基本的に同じである。
【0010】
ドレイン拡散層5bはチャネルとの接続を行うためにゲート電極3のエッジの下ないし内側にまで分布し、チャネル不純物とpn接合を形成している。このpn接合位置は図27中に太線で示す。接合位置では逆導電型の不純物が互いに打ち消し合い、正味の不純物濃度はゼロとなる。
【0011】
すなわち、図27の破線に示すように、ドレイン拡散層5bに例えば1×1020cm-3程度の高濃度の不純物を導入しても、ゲート電極3のエッジ付近の不純物濃度は一般に拡散により失われて低くなり、エッジよりチャネル領域6の中央側では接合に近づくにつれて不純物濃度はさらに低くなる。接合周辺には空乏層が形成され、キャリア濃度(電子濃度)が極めて低くなり、ソース拡散層5a又はドレイン拡散層5bを逆導電型の基板1から電気的に分離している。このため、図27において、log10(電子濃度)=18となる電子濃度分布曲線がlog10(不純物濃度)=18となる不純物濃度分布曲線に比較して接合面から離れていることからも分かるように、ドレイン拡散層5bの基板1表面から遠い部分における接合付近のキャリア濃度(電子濃度)が、不純物濃度よりも低い。
【0012】
トランジスタ動作時には、ゲート電極3に印加された電圧により、チャネル領域6の基板1表面には反転層が形成される。図27のチャネル領域6表面の高い電子濃度の領域はこの反転層である。一方、基板1表面に近い接合付近のドレイン拡散層5b側には蓄積層が形成され、チャネル領域6側に形成された反転層と接合付近で接合して、電流経路を形成する。
【0013】
図27において、基板1表面近傍であって接合面近傍のドレイン拡散層5bの電子濃度が不純物濃度よりも高い部分は、この蓄積層である。また、ドレイン拡散層5bのうち、ゲート電極3のエッジから離れた高い不純物濃度を有する領域では、電子濃度は不純物濃度と一致している。
【0014】
図28に、図27と同じMOSトランジスタに同じバイアスを印加した場合の電流密度分布の、ゲート電極3の位置又は不純物濃度分布との関係を示す。ゲート電極3のエッジよりも内側では、チャネル領域6表面に形成された反転層又は不純物領域表面に形成された蓄積層により、基板1表面近傍に電流密度の高い領域が分布する。しかし、ゲート電極3のエッジよりも外側では、ゲート電極3による電界が急激に弱まるために、基板1表面に偏った高い電流密度の領域は失われ、電流密度はドレイン拡散層5bの電子濃度の高い領域に沿って、低い値で基板1の奥側にまで分布する。
【0015】
従って、ゲート電極3のエッジよりも外側のゲートバイアスの影響が小さい領域では、ゲートバイアスによって充分な蓄積層を誘起して寄生抵抗を下げることができす、これに代わって、不純物濃度によって決まるキャリア濃度を充分に高くし、またその濃度に応じて基板1深くまで分布させて抵抗を下げる必要がある。すなわち、ゲート電極3のエッジの下の不純物濃度を充分に高くまた基板1深くまで分布させることは、エッジより外側の領域の寄生抵抗を小さくする上で必須である。
【0016】
なお、図27及び図28の結果は典型的な平面型のMIS型トランジスタの一例についてのものであり、ドレイン拡散層の不純物分布に応じて、またゲート電極エッジの位置及び同エッジ近傍のゲート電極形状等に応じて、電子濃度分布、電流密度分布は変化し、例えばこれらのいずれか又は双方のドレイン拡散層中におけるピーク位置が基板表面ではなく、基板深くに位置することもあるが、そのような場合でもゲート電極の電界により拡散層領域表面に蓄積層が形成されてエッジの下の拡散層領域の寄生抵抗を低くしている状況は同じである。
【0017】
ゲート電極3のエッジよりも内側の不純物領域は、このゲート電極3のエッジ直下の不純物濃度を高くまた充分に深く分布させるために必須である。しかし近年の微細化により、ゲート電極3の長さは極めて短くなり、拡散層5a又は5bの不純物分布がチャネル領域6に与える電界が、ゲート電極3がチャネル領域6に与える電界の支配を弱め、いわゆる短チャネル効果を起こし、製品歩留まりをますます悪化させている。
【0018】
この短チャネル効果を抑制するために、拡散層5a又は5bの接合深さを浅くする努力が進められている。しかし、上記のように、充分に小さな寄生抵抗によってチャネル領域6とドレイン拡散層5bの高い不純物濃度領域とを接続するためには、ゲート電極3のエッジ下の不純物濃度を高くまた充分に深く分布させることが必要であり、これは、短チャネル効果抑制の目的達成とは矛盾する。
【0019】
この矛盾を解決する構造として提案されているものに凹型トランジスタがある。(例えば、西松他、Groove Gate MOSFET, 8th Conf. On Solid State Device,pp.179-183,1976)。図29(a)に、従来の凹型トランジスタ構造の断面図を示す。図26と共通する部分には同一符号を付す。従来の凹型トランジスタでは、ソース拡散層45a及びドレイン拡散層45bを凹部底面チャネル領域の表面よりも高くすることにより、拡散層45a,45bの不純物分布がゲート電極43によるチャネル領域の電気的制御能力に与える影響を抑制している。凹型トランジスタでは、ソース及びドレインを凹部底面チャネル領域からの距離を保って厚く(深く)することができ、短チャネル効果を抑制した上でソース及びドレインの拡散層部分の単位長当たりの寄生抵抗を下げることができる。
【0020】
しかし、通常の凹型トランジスタでは、以下の問題点を生じる。図29(b)は図29(a)の凹型トランジスタの要部を拡大した図である。シリコン基板1の凹部底面には反転層46が、凹部側面には反転層47が、また凹部側面であってかつ拡散層45a内のゲート絶縁膜42に接する表面部分には蓄積層48が形成される。凹部底面の反転層46は、図26の平面型トランジスタのチャネル領域46の表面部分に形成される反転層に対応するものである。
【0021】
前述の通り、通常の凹型トランジスタでは、凹部底面の反転層46に加えて、凹部側面にも、側面チャネル部とそれに繋がるソース拡散層45a及びドレイン拡散層45bが、ゲート絶縁膜42を介してゲート電極43と平行な部分を持つ。この凹部側面のゲート電極43と平行な部分では、凹部側面反転層47または凹部側面蓄積層48がゲート電極43の側面と平行に生じて電流経路となり、大きな寄生容量を生じている。
【0022】
一方、図26に示した平面型トランジスタと図29に示した凹型トランジスタの電流経路を比較すると、平面型トランジスタの電流経路が直線的であるのに対し、凹型トランジスタの電流経路では、凹部底面と凹部側面それぞれの電流経路がなす角は鋭角に近く、拡散層45a,45bにつながる配線4a,4bへの電流経路の距離を長くしている。
【0023】
さらに、通常、ゲート電極43またはゲート電極43に接続される配線と配線4a,4bとの間の寄生容量を抑えるため、又は、これらの間に生じるリーク電流を抑えるため、ゲート電極43と拡散層45a,45bへの配線4a,4bとの間の距離を長くし、又は、基板1上のゲート側面に接するように、非導電性の膜領域即ち側壁絶縁膜49を設けることが多い。
【0024】
側壁絶縁膜49の底面が凹部底面と平行に形成される通常の凹型トランジスタの場合、凹部側面の反転層47または蓄積層48を経由して、側壁絶縁膜49の底面と、凹部底面との段差をもつ平面間を鋭角に近い経路を介して電流経路が形成されるため、前述の平面型トランジスタの場合に比較して電流経路は長くなり、これによる寄生抵抗を大きくしている。
【0025】
また、チャネル周辺部でのキャリア分布ピークは、チャネル表面に沿って0.01μm以下の非常に薄い領域に分布する。従って、この鋭角に近い電流経路、すなわち電子の走行経路がチャネル底面に近い領域にある場合、キャリアがキャリア分布ピークの鋭角的分布に追随するには余分な仕事を必要とし、電流値が低下する。
【0026】
また一方、p型平面トランジスタの場合に従来知られている構造として、斜めの基板表面を有するソース領域及びドレイン領域を備えたトランジスタ構造が"Ultra-Shallow in-situ-doped raised source/drain structure for sub-tenth micron CMOS",Y.Nakahara et al.,pp. 174-175,1996 Symposium on VLSI Technology Digest of Technical Papersに開示されている。この構造を図30に示す。図30の構造の場合、図29に示した凹型トランジスタと異なり、チャネル領域とソース及びドレインは平面的に形成されている。また、電流はチャネル領域と同一平面上に形成された浅い拡散層55a及び55b並びに濃く深い拡散層55c及び55dを経てソース及びドレインへの配線(図示せず)に達する。
【0027】
すなわち、図29に示す凹型トランジスタでは、チャネル領域の反転層に連なる蓄積層48がチャネル底面の深さより浅く位置し、これにより拡散層45a及び45bをチャネル底面から離すことにより短チャネル効果を低減しているのに対し、図30の構造では、チャネル反転層に連なるソース拡散層及びドレイン拡散層に形成される蓄積層は、浅い拡散層55a,55bのチャネル領域に隣接する端部でチャネル領域の反転層と同一平面上に形成されている。このため、図30の浅い拡散層55a,55bはチャネル反転層に隣接してシリコン基板1内部に分布し、その厚さによっては短チャネル効果を生じる。
【0028】
従って、短チャネル効果を抑制するため、シリコンを用いて浅いソース拡散層55a及びドレイン拡散層55bをチャネル領域に隣接して極めて浅く形成する。この拡散層55a,55bの厚みを補うため、シリコン基板1上にエピタキシャルソース拡散層55e及びドレイン拡散層55fを形成している。ここで、ゲート電極53のエッジは、エピタキシャル拡散層55e,55fの表面から窒化膜側壁56を介して外れた位置で、かつ浅いソース拡散層55a及びドレイン拡散層55bの上に位置している。
【0029】
このような構造を有するため、このトランジスタの動作時におけるゲート電極53の電界がエピタキシャル拡散層55e,55f内に形成される電流経路へ与える影響は小さい。従って、電流はエピタキシャル拡散層55e,55f内の広い領域に流れて広がり抵抗を持つが、拡散層55a,55bに並列にそれぞれ電流経路を供給し、ソース及びドレイン全体の寄生抵抗を下げている。
【0030】
即ち、図30の構造におけるエピタキシャル拡散層55e,55fの効果は、チャネル底面と同一平面上にある深く濃い拡散層55c,55dへ達する浅い拡散層55a,55bの高い抵抗を、厚みを補うことにより低減することである。
【0031】
また、このエピタキシャル拡散層55e,55fの表面を斜めに形成することにより、ゲート電極53とソース拡散層・ドレイン拡散層の表面との間に窒化膜側壁56を介して厚い酸化膜側壁57を形成することができ、寄生容量を低減している。
【0032】
しかしながら、このトランジスタ構造の場合、平面型のトランジスタであることには変わりないため、浅い拡散層55a及び55bの厚さ制御のみでは短チャネル効果を充分抑制することができない。
【0033】
【発明が解決しようとする課題】
以上説明したように従来の半導体装置を用いた場合、短チャネル効果を抑制し、寄生容量及び寄生抵抗を低減し、かつ電流経路の低抵抗化を図ることはできなかった。
【0034】
本発明は上記課題を解決するためになされたもので、その目的とするところは、寄生抵抗と寄生容量を低減し、かつ短チャネル効果を抑制する半導体装置及びその製造方法を提供することにある。
【0035】
【課題を解決するための手段】
本発明に係るMIS型半導体装置は、少なくとも一部に直角よりも緩やかな側壁をもつ凹部を有する半導体層と、凹部底面の上層にゲート絶縁膜を挟んで形成されたゲート電極と、ゲート電極の側面に絶縁膜を挟んで形成され、かつ該絶縁膜との境界面が半導体層表面に対して傾きをもって半導体層中に形成されたソース領域及びドレイン領域と、半導体層表面に接続する配線コンタクトとを具備してなり、ゲート電極のエッジは、半導体層に設けられた凹部の内側に位置し、かつ該ゲート電極及びソース領域又は該ゲート電極及びドレイン領域の少なくとも一方が相対する領域を有することにより、該相対する領域でのソース領域又はドレイン領域の少なくとも一方が蓄積層として動作するものであることを特徴とする。
【0036】
ここで、ゲート電極のエッジとは、ゲート絶縁膜と接していないゲート電極の側壁がゲート絶縁膜と交わる位置をいう。また、ゲート絶縁膜とは、ゲート電極とソース領域が相対する領域からゲート電極とドレイン領域が相対する領域までに挟まれた領域において、ゲート電極とソース領域又はドレイン領域との間に形成された絶縁膜をいうものとする。
【0037】
また、ゲート電極のエッジが凹部の内側に位置することは、凹部と凹部外側の領域との境界点にゲート電極のエッジが位置する場合も含むものとする。また、本発明にはゲート絶縁膜近傍におけるソース領域とチャネル領域の接合位置又はドレイン領域とチャネル領域の接合位置がゲート電極のエッジの直下に位置する場合も含まれる。
【0038】
望ましくは、ソース領域又はドレイン領域とゲート電極との間に形成された絶縁膜のうち、ゲート電極及びソース領域又は該ゲート電極及びドレイン領域が相対する領域以外の領域は、該相対する領域よりも厚く形成されてなる。
【0039】
また、別の本発明に係るMIS型半導体装置は、少なくとも一部に直角よりも緩やかな側壁をもつ凹部を有する半導体層と、凹部底面の上層にゲート絶縁膜を挟んで形成されたゲート電極と、ゲート電極の側面に絶縁膜を挟んで形成され、かつ該絶縁膜との境界面が半導体層表面に対して傾きをもって半導体層中に形成されたソース領域及びドレイン領域と、半導体層の凹部底面下に形成されたチャネル領域とを具備してなり、ゲート絶縁膜近傍におけるソース領域及びチャネル領域の第1の接合位置で、該ソース領域及びゲート電極が第1の相対する領域を有し、ゲート絶縁膜近傍におけるドレイン領域及びチャネル領域の第2の接合位置で、該ドレイン領域及びゲート電極が第2の相対する領域を有し、第1又は第2の相対する領域の少なくとも一方でのソース領域又はドレイン領域が蓄積層として動作するものであり、かつソース領域又はドレイン領域の少なくとも一方とゲート電極との間に形成された絶縁膜のうち、第1又は第2の相対する領域以外の領域における絶縁膜は、該第1又は第2の相対する領域よりも厚く形成されてなることを特徴とする。
【0040】
本発明の望ましい形態を以下に示す。
【0041】
(1)ゲート絶縁膜の高さは、チャネル領域の中心部近傍からソース領域又はドレイン領域の少なくとも一方にかけて連続的に高くなる部分を有する。
【0042】
(2)チャネル領域とゲート電極との間のゲート絶縁膜は、直線状に形成されてなる。
【0043】
(3)ソース領域及びドレイン領域の表面に、ゲート電極と離間して形成されたコンタクトを有し、ソース領域とコンタクトとの間と、ドレイン領域とコンタクトとの間をゲート絶縁膜との境界面に沿って電流経路が形成されてなり、コンタクトとゲート電極との間の距離がゲート幅の1.5倍よりも短く形成されてなる。
【0044】
(4)(3)において、第1及び第2の相対する領域よりもコンタクトに近い領域のソース領域又はドレイン領域の表面の少なくとも一方は、半導体層表面に対して傾きを持って形成されてなる。
【0045】
(5)第1又は第2の相対する領域近傍におけるソース領域又はドレイン領域の下面の高さの少なくとも一方は、チャネル領域の高さよりも高く形成されてなる
(6)(3)において、ソース領域及びドレイン領域は、該ソース領域とドレイン領域の間に形成されるチャネル領域と同一導電型の材料により形成されてなる。
【0046】
(7)チャネル領域とゲート電極との間のゲート絶縁膜は直線状に形成されてなり、かつ直線状の該ゲート絶縁膜の両端部と直角よりも緩やかな側壁との間にそれぞれコーナ部を有し、該コーナ部の間に第1又は第2の接合位置の少なくとも一方が位置する。
【0047】
(8)第1又は第2の相対する領域の少なくとも一方のゲート電極エッジの下に位置する一端でのソース領域又はドレイン領域の不純物濃度は1×1013cm-2以上である。
【0048】
(9)ソース領域及びドレイン領域は、チャネル領域とゲート絶縁膜との界面よりも浅い位置に形成されてなる。
【0049】
ここで、ソース領域又はドレイン領域とチャネル領域の接合位置とは、ソース領域又はドレイン領域とチャネル領域により形成される接合の境界位置をいう。
【0050】
また、本発明に係るMIS型半導体装置の製造方法は、半導体層に、直角よりも緩やかな側壁をもつ凹部をRIE法により形成する工程と、半導体層表面を覆うようにゲート絶縁膜を形成する工程と、凹部を含んでゲート絶縁膜上に導電膜を形成する工程と、凹部の側面に側壁が位置するように導電膜をリソグラフィ法を用いてパターニングすることによりゲート電極を形成する工程とを有することを特徴とする。
【0051】
本発明の望ましい形態を以下に示す。
【0052】
(1)凹部の側面は、前記半導体層表面に対してほぼ45度の角度をもつ。
【0053】
(2)ゲート電極を挟んで半導体層中にソース領域及びドレイン領域を形成する工程と、ゲート電極、ソース領域及びドレイン領域を覆うように半導体層上に層間絶縁膜を形成する工程と、層間絶縁膜を反応性イオンエッチングを用いて選択的に除去してソース領域又はドレイン領域の少なくとも一方の表面へ配線を接続するコンタクトホールを形成する工程とを有し、コンタクトホールを形成する工程は、ゲート電極の側壁及び表面を保護する絶縁膜をマスクとして用いることにより、ゲート電極に自己整合的に形成する。
【0054】
また、別の本発明に係るMIS型半導体装置の製造方法は、第1の半導体層上に第1の絶縁膜及びダミーゲートを選択的に積層形成する工程と、このダミーゲートをマスクとして半導体材料を選択的に固相成長させることにより、直角よりも緩やかな側壁をもつ第2の半導体層を該ダミーゲートを挟んで形成する工程と、第1の絶縁膜及びダミーゲートを除去する工程と、第1の絶縁膜及びダミーゲートが形成されていた領域に選択的にゲート絶縁膜、ゲート電極を順次形成する工程とを有することを特徴とする。
【0055】
本発明の望ましい形態を以下に示す。
【0056】
(1)第2の半導体層の形成後、該第2の半導体層及びダミーゲートの表面を覆うように第2の絶縁膜を形成する工程と、第2の絶縁膜上に充填材を堆積し、この充填材表面を平坦化除去してダミーゲートを露出させる工程と、ダミーゲートとともに該ダミーゲートの側壁に形成された第1及び第2の絶縁膜を除去することにより、底面と側壁の間に第2の半導体層の側面と同じ傾きのテーパ部を持つ凹部を形成する工程と、前記形成された凹部の底面にゲート絶縁膜を形成する工程と、ゲート絶縁膜の形成された凹部に導電性材料をダマシンプロセスを用いて埋め込み形成する工程とを含む。
【0057】
(2)第2の半導体層の少なくとも一方の側壁の角度は、第1の半導体層の表面に対してほぼ50度又は30度の角度をもつ。
【0058】
(3)固相成長はエピタキシャル成長である。
【0059】
(4)第2の半導体層表面にソース領域及びドレイン領域を形成する工程と、ゲート電極を形成後、該ゲート電極の側壁及び表面に該ゲート電極を保護する絶縁膜を形成する工程と、絶縁膜を覆うように第1の半導体層上に層間絶縁膜を形成する工程と、該絶縁膜をマスクとして、反応性イオンエッチングにより層間絶縁膜を選択的に除去することにより、ソース領域又はドレイン領域の少なくとも一方の表面へ、配線と接続するコンタクトホールをゲート電極に自己整合的に形成する。
【0060】
(5)半導体層形成の後、該半導体層表面の所望の膜厚のみ不純物を拡散させる。
【0061】
(6)ゲート絶縁膜及びゲート電極を形成した後、ゲート電極をマスクとしてイオン注入を行い不純物を拡散させ、ゲート電極の側面であって半導体層中にソース領域及びドレイン領域を形成する。
【0062】
(作用)
本発明のMIS型半導体装置では、ゲート電極のエッジがソース領域及びドレイン領域の傾きをもつ領域を含む凹部の側壁に位置し、ゲート電極及びソース領域又はゲート電極及びドレイン領域の少なくとも一方が、ゲート絶縁膜を介して相対する領域を有する。この相対する領域におけるソース領域及びドレイン領域の表面に動作時に蓄積層が形成される。この蓄積層の一端(以下、第1の端部と称する)は、ソース領域又はドレイン領域がチャネル領域と接合を形成する部分であり、正味の不純物濃度は低い。従って、不純物濃度で決定されるキャリア密度は低いが、この蓄積層には、それが形成されない場合のキャリア密度の数十倍以上の濃度のキャリアが蓄積されるため、ソース領域及びドレイン領域がチャネル領域と接合を形成する部分に近い領域の抵抗を低くしている。
【0063】
また、蓄積層の他端(以下、第2の端部と称する)は、ソース領域又はドレイン領域のチャネル領域との接合位置から離れて位置し、充分に高い不純物濃度をもつ点に位置することができる。また、第2の端部は、チャネル面よりも浅く位置するため、この位置から深くまで不純物を分布させ、ゲートエッジよりも外側の領域の抵抗を低くしても、短チャネル効果を生じない。
【0064】
さらに、この蓄積層は上記傾きをもつ側壁に沿って形成されるため、チャネル面から配線へ至る電流経路を直線に近いものにして距離を短縮している。このようにしてチャネル面と配線との間を低抵抗率でかつ短い電流経路により結ぶため、寄生抵抗を低減できる。
【0065】
また、ゲート電極の側面のソース領域及びドレイン領域が半導体層表面に対して傾きをもって形成されている。これにより、ゲート電極及びソース領域又はゲート電極及びドレイン領域の間隔が広がり、寄生容量の低減を図れる。
【0066】
また、平面型トランジスタとは異なり、上記ゲート電極及びソース領域又はゲート電極及びドレイン領域がゲート電極と相対する領域がチャネル領域とゲート絶縁膜との界面よりも浅い位置に形成されているため、チャネル領域近傍に拡散層を形成する必要がなく、短チャネル効果を抑制することができる。
【0067】
なお、ソース領域及びドレイン領域がチャネル領域とゲート絶縁膜との界面より下側まではみ出した領域をもつ場合でも、そのはみ出し領域を反転層として動作する程度の厚さに制御することにより、上記と同様の効果を奏する。
【0068】
また、本発明の半導体装置の製造方法では、半導体層に、直角よりも緩やかな側壁の凹部をRIE法により形成し、この凹部を含んで半導体層上に導電膜を形成し、凹部の側面に側壁が位置するように前記導電膜をリソグラフィを用いてパターニングする。これにより、短チャネル効果を抑制し、かつ寄生容量及び寄生抵抗を低減するMIS型半導体装置を実現できる。
【0069】
また、上記MIS型半導体装置を固相成長によりダミーゲートをマスクとしてソース領域及びドレイン領域を形成し、このダミーゲートを除去した凹部にゲート電極を形成することにより、ソース領域及びドレイン領域に対してゲート電極を自己整合的に形成することができ、位置ずれが生じない。
【0070】
さらに、ダミーゲートの除去とともに、予めダミーゲートの側壁に形成された絶縁膜を除去することにより、底面と側壁の間に第2の半導体層の側面と同じ傾きのテーパ部を持つ凹部を形成することができるので、ゲート電極とソース領域又はドレイン領域として動作する第2の半導体層が相対する領域を形成することができる。
【0071】
また、ダマシンプロセスによりゲート電極を形成することにより、チャネル領域として動作する凹部底面の第1の半導体層に選択的にイオン注入を行うことができる。
【0072】
【発明の実施の形態】
以下、図面を参照しながら本発明の実施形態を説明する。
【0073】
(第1実施形態)
図1〜図4は本発明の第1実施形態に係る半導体装置(凹型MISトランジスタ)を説明するための図であり、図1及び図4は本実施形態に係る半導体装置の構成を説明するための図、図2及び図3は該半導体装置の製造方法を示す工程断面図である。図1(a)は本半導体装置を上面から見た図で、この図1(a)における6A−6A’断面図を図1(b)に、6B−6B’断面図を図1(c)に、6C−6C’断面図を図1(d)に示す。以下の実施形態では、nチャネルのMISトランジスタについて説明する。pチャネルのMISトランジスタの場合には各構成の導電型を逆にすればよい。
【0074】
図1(b)において、61は(100)面を用いたp型のシリコン基板であり、不純物濃度は5×1015cm-3程度である。このシリコン基板61上は図1(a)に示すように活性領域62と、この活性領域62を囲むように形成された素子分離領域63に分けられ、素子分離領域63には素子分離絶縁膜64が形成されている。
【0075】
活性領域62は図1(a)において破線で囲まれた部分であり、しきい電圧(Vth)を調整するために、不純物濃度5×1017cm-3程度の図示しないチャネルイオン注入層が形成されている。この活性領域62内のシリコン基板61上には、ゲート絶縁膜67を介してゲート電極68が形成され、このゲート電極68の両側には層間絶縁膜69を介して配線70a,70bが設けられる。この配線70a,70bはソース領域及びドレイン領域と接続される。ソース領域は、第1のソース拡散層71a及び第2のソース拡散層72aからなり、ドレイン領域は、第1のドレイン拡散層71bと第2のドレイン拡散層72bからなる。ゲート絶縁膜67は例えば厚さ3.0nm程度の熱酸化膜である。
【0076】
また、図1(b)において、チャネル面65は基板表面66より深い位置にある。シリコン基板61にはこのチャネル面65を底面とする凹部が形成され、この凹部側壁はチャネル面65に対して直角より緩やかな角度の斜面をなし、この凹部全体はゲート絶縁膜67で覆われる。ここで、チャネル面65とは、チャネル領域とゲート絶縁膜67との界面をいう。チャネル領域はチャネル面65よりも下部の凹部底面に形成される。
【0077】
さらに、このチャネル領域に相対してゲート絶縁膜67を介してシリコン基板61の凹部にゲート電極68が埋め込まれる。このようにして、基板61内に埋め込まれたチャネル領域を有する凹型トランジスタ構造をなしている。
【0078】
チャネル面65と基板表面66との段差を持つ平面間は、ゲート絶縁膜67の斜面側壁に沿って形成され、シリコン基板61表面に対して傾きをもつ第1のソース拡散層71a及び第1のドレイン拡散層71b(斜線で示す)と、これらにつながるn型の第2のソース拡散層72a及び第2のドレイン拡散層72bにより接続される(以下、71a,71b,72a,72bを合わせて単にソース及びドレインと呼ぶ)。
【0079】
第1のソース拡散層71a及びドレイン拡散層71bはチャネル面65に向かって形成され、第2のソース拡散層72a及びドレイン拡散層72bはチャネル面65に並行に形成された厚い拡散層である。
【0080】
ここで、第2のソース拡散層72a及び第2のドレイン拡散層72bの拡散深さ、不純物濃度は、それぞれ0.05μm、5×1020cm-3程度である。
【0081】
層間絶縁膜69にはコンタクトホール73a〜73cが開孔されており、このコンタクトホール73a〜73cを介して配線70a〜70cがn型の第2のソース拡散層72a及び第2のドレイン拡散層72b及びゲート電極68にそれぞれコンタクトしている。層間絶縁膜69は例えばSiO2 膜からなり、配線70a〜70cは例えばAl膜で形成されている。この配線70a〜70cは、配線70a,70bとゲート電極68との距離がゲート幅の1.5倍よりも短く位置するように形成される。また、シリコン基板61表面に対して傾きを持った第1のソース拡散層71a及び第1のドレイン拡散層71bは、先端部にゲート電極68と相対する領域を有し、この領域を含んで配線70a,70b近傍までシリコン基板61表面に対して傾きを持って形成されている(削除あり)。なお、図1(a)において、74の×印で示したゲート電極形成領域は、傾きをもつ第1のソース拡散層71a及びドレイン拡散層71bとゲート電極68が重なる領域、75の斜線で示した領域は第1のソース拡散層71a及びドレイン拡散層71bの形成領域を示す。
【0082】
次に、図2及び図3を用いて、本実施形態に係る凹型MISトランジスタの製造方法を説明する。図2(a)は上面図を、図2(b)は図2(a)の7A−7A’断面図を、図2(c)は図2(a)の7B−7B’断面図を、図2(d)は図2(a)の7C−7C’断面図を示し、図3(a)は上面図を、図3(b)は図3(a)の8A−8A’断面図を、図3(c)は図3(a)の8B−8B’断面図を、図3(d)は図3(a)の8C−8C’断面図を示す。
【0083】
まず、シリコン基板61上で活性領域62内に、厚さ0.02μmのSiO2 膜91を形成する。次に、例えば図示しない所望のフォトレジストパターンをマスクに用いて、チャネルおよび傾きをもつ第1のソース拡散層71a及び第1のドレイン拡散層71bを形成する領域に形成されたSiO2 膜91及びシリコン基板61を、RIE法(Reactive Ion Etching)によりエッチングすることにより、底面が基板表面66から0.1μm程度の深さにある凹部92を形成する。この時、RIE条件を選択することにより、図2(b)に示すように凹部側面を凹部底面に対して45度の角度を持って斜めに形成する。
【0084】
次に、エッチング時に生じた図示しないポリマー層及びSiO2 膜91を除去してシリコン基板61の活性領域62の表面を露出させ、例えば950℃の水素雰囲気中で2分程度熱処理を行うことにより、RIEによって生じた凹部92内のダメージ回復処理を行う。次に、露出したシリコン基板61表面に厚さ5nm程度の図示しない犠牲SiO2 膜を例えば熱酸化法により形成する。そして、素子分離絶縁膜64等または図示しないフォトレジストをマスクとして、凹部92を含む活性領域62の下部シリコン基板61に、しきい電圧制御等のためのチャネルイオン注入を行う。n型トランジスタの場合、例えば0.2V程度のしきい電圧(Vth)を設定するには、例えば加速電圧5keV、ドーズ量2×1012cm-2程度の条件でボロン(B+ )をイオン注入し、チャネル領域にp型のチャネルイオン注入層を形成する(図示せず)。
【0085】
次に、図示しない犠牲SiO2 膜を剥離した後、図3(b)に示すように、熱酸化により、凹部92の底面及び傾きをもつ側面を含むシリコン基板61表面にゲート絶縁膜67を形成する。ここで、酸化膜の表面を熱窒化した膜をゲート絶縁膜67に用いてもよい。また、CVD−SiO2 膜、CVD−SiON膜、CVD−Si3 4 膜を含む積層膜をゲート絶縁膜67に用いても良い。
【0086】
次に、凹部92の内部が充填するように、ゲート絶縁膜67上にゲート電極68となる導電層を形成し、CMP法(Chemical Mechanical Polishing)により、導電層表面を研磨平滑化する。ゲート電極68となる導電層は例えばn型不純物をドープしたポリシリコン層を用いる。
【0087】
次に、図3(b)に示すように、リソグラフィの技術を用いて、導電層の両端を凹部92の内部に含むように、かつ、導電層のソース及びドレイン側の端部がチャネル底部よりも外側に位置するようにパターニングしてゲート電極68を形成する。次に、ゲート電極68と素子分離絶縁膜64およびフォトレジスト等をマスクにして、シリコン基板61に対してイオン注入93を行い、第1のソース拡散層71a及び第1のドレイン拡散層71b並びに第2のソース拡散層72a及び第2のドレイン拡散層72bを形成する。イオン注入の条件は、例えば砒素(As)のイオン注入であれば、加速電圧30KeV程度、ドーズ量5×1015cm-2程度である。
【0088】
導電層のパターニングに際してCMP法により導電層表面を平滑化するのは、平滑化によりリソグラフィ技術のゲートパターン位置の歪みを抑制し、傾きをもつ第1のソース拡散層71a及び第1のドレイン拡散層71bを容易に形成するために、ゲート電極68のエッジが凹部92の内部に正確に含まれるようにするためである。すなわち、ゲート電極68をマスクとして第1のソース拡散層71a及び第1のドレイン拡散層71b並びに第2のソース拡散層72a及び第2のドレイン拡散層72b形成のためのイオン注入93を行うため、マスクとなるゲート電極68の形成位置により、第2のソース拡散層72a及び第2のドレイン拡散層72bの形成領域が変動するからである。なお、ゲート電極68のエッジとは、ゲート絶縁膜67と接していないゲート電極68の側壁がゲート絶縁膜67と交わる位置をいう。
【0089】
第1のソース拡散層71a及び第1のドレイン拡散層71bは、短チャネル効果抑制のためにチャネル面65に蓄積層が生じないように形成する必要がある。従って、ゲート電極68を凹部92のテーパ状の側壁にエッジが位置するように形成することで、テーパ状の側壁からチャネル面65の両端付近まで領域71a及び71bが広がり、かつチャネル領域と同一の平面までは領域71a及び71bが広がらない構造を得ることができる。
【0090】
また、図3(b)に示すように、ゲート電極68のエッジを凹部92の底部よりも外側に、傾きをもつ側面の一部のみを含むように形成することにより、ゲート電極68のエッジ近傍のゲート絶縁膜67との接合面を、ゲート絶縁膜67の傾きに合わせて斜めに形成することができる。
【0091】
ソース及びドレイン形成のためのイオン注入93の後、活性化アニールとして例えばRTA(Rapid Thermal Anneal)を用いて900℃10秒程度の熱処理により不純物を活性化する。ここで、ソース及びドレインの形成には、イオン注入93を用いずに、ゲート電極68を形成した後にゲート電極68等をマスクとした固相拡散を用いても良い。
【0092】
次に、図1(b)に示すようにSiO2 からなる層間絶縁膜69を全面に形成した後、第2のソース拡散層72a及び第2のドレイン拡散層72bの一部とゲート電極68の一部が露出するようにコンタクトホール73a〜73cを層間絶縁膜69に開孔する。次に、Al膜またはAl−Cu膜等のメタルをコンタクトホール73a〜73cが充填するように全面に形成し、このメタルをパターニングして回路設計に応じて配線70a〜70c(一部のみ図示)を順次形成する。次に、図示しないパッシベーション膜を全面に堆積して、トランジスタ部分製造工程が完了する。
【0093】
以上の工程により形成された凹型MISトランジスタの傾きをもつ第1のドレイン拡散層71b付近を拡大した断面図を電流経路とともに図4に示す。このように構成された凹型チャネル構造のMISトランジスタによれば、凹部の傾きをもつ側面に沿って形成される反転層と蓄積層とを介してチャネル面65と基板表面66との段差をもつ2つの平面を結ぶ電流経路は、チャネル面65から基板表面66付近までを通る電流経路の進行方向と鈍角をなす。
【0094】
また、傾きをもつ第1のソース拡散層71a及び第1のドレイン拡散層71bがゲート電極68と相対する領域の表面が蓄積層として動作する。この蓄積層の一端は、ソース又はドレインがチャネル領域と接合を形成する部分であり、正味の不純物濃度は低い。従って、不純物濃度で決定されるキャリア密度は低いが、この蓄積層にはそれが形成されない場合のキャリア密度の数十倍以上の濃度のキャリアが蓄積されるため、第1のソース拡散層71a又は第1のドレイン拡散層71bの接合付近のキャリア密度を補うと共に、第1のソース拡散層71a及び第1のドレイン拡散層71bで生じる抵抗を除いている。また、この蓄積層は傾きをもつ第1のソース拡散層71a及び第1のドレイン拡散層71bの側壁に沿って形成される。従って、図4の一点鎖線で示すように、チャネル面65からシリコン基板61表面のコンタクトへ至る電流経路を直線に近いものにして電流経路を短くでき、これにより寄生抵抗を小さくできる。
【0095】
また、蓄積層の一方の端部、すなわちゲートエッジを通り該エッジに接するゲート絶縁膜67表面に対する法線と交わる蓄積層の端部はチャネル底面よりも浅く位置し、充分に高い不純物濃度を持つ点に位置することができる。従って、短チャネル効果を生じずに、この位置での不純物分布深さaを深く同時にゲートエッジより外側の領域の不純物分布深さを深くして、この領域の抵抗を低くできる。ここで、不純物深さとは、第1のソース拡散層71a又は第1のドレイン拡散層71bの表面に対する法線方向の不純物分布の深さである。また、抵抗を低くするには、不純物分布深さa方向に積分した不純物濃度が1×1013cm-2以上であることが望ましい。また、この蓄積層の端部は、チャネル底面よりも浅く位置するため、この位置から深くまで不純物を分布させ、ゲートエッジよりも外側の領域の抵抗を低くしても、短チャネル効果を生じない。
【0096】
また、蓄積層となる領域以外は、第1のソース拡散層71a及びゲート電極68並びに第1のドレイン拡散層71b及びゲート電極68の距離を広くとれるので、寄生容量を小さくできる。さらに、第1のソース拡散層71a及び第1のドレイン拡散層71bはチャネル面65よりも浅い位置に形成される凹型トランジスタ構造をとるため、ソース及びドレインがチャネルと同一平面に形成されることにより生ずる短チャネル効果を抑制することができる。また、この凹型トランジスタ構造において、特に第1のソース拡散層71a及び第1のドレイン拡散層71bがゲート電極68と相対する領域近傍におけるソース及びドレインの下面はチャネルよりもさらに高く位置するため、さらに短チャネル効果の抑制を図ることができる。
【0097】
なお、ゲート電極68のソース及びドレインに隣接する下端を図4に示すように傾きをもって直線的に、あるいは丸みをもって形成すれば、ゲートの電界によってゲート両端のソース及びドレイン近くに生じる反転層または蓄積層のキャリア濃度を高くすることができ、ゲート両端近傍のチャネル領域およびソース及びドレイン端部における抵抗を小さくすることができる。また、このキャリア分布によってチャネル面65からソース及びドレインヘの電流経路が鈍角に形成されることにより、鋭角な経路を電子が走行する場合に失われる仕事を軽減でき、電流値の減少を低減することができる。
【0098】
また、傾きをもつ第1のソース拡散層71a及び第1のドレイン拡散層71bの下端における接合探さをチャネル面65よりも上面に保持しつつ、第2のソース拡散層72a及び第2のドレイン拡散層72bの接合探さを深くすれば、ソース及びドレインのさらなる低抵抗化を図ることができる。
【0099】
また、第1のソース拡散層71a及びゲート電極68並びに第1のドレイン拡散層71b及びゲート電極68が相対する領域は必ずしもシリコン基板61に対して直角よりも緩やかな傾きをもって形成されている必要はない。例えば、ゲート電極68の底部付近ではゲート絶縁膜67とともにシリコン基板61表面に対してほぼ垂直に形成され、ゲート電極68の底部から所定の距離離れた位置からゲート電極68との距離が徐々に広がるように第1のソース拡散層71a及び第1のドレイン拡散層71bの表面が形成される構造であっても、本発明と同様の効果を奏する。
【0100】
なお、本実施形態ではゲート電極68のエッジが凹部92の内側に位置する場合を示したが、凹部92と凹部92外側の領域との境界点にゲート電極68のエッジが位置するものでもよい。また、ゲート絶縁膜67近傍におけるソースとチャネル領域の接合位置又はドレインとチャネル領域の接合位置がゲート電極68のエッジの直下に位置するものでもよい。以下の実施形態でも同様である。
【0101】
(第2実施形態)
図5は曲率を有するチャネル領域を持つ本発明のトランジスタ構造を実現する実施形態を示す工程断面図である。ここでは、マスク上でのゲート電極とコンタクトとの距離をゼロとし、傾きを持つソース又はドレインの上に、ゲート電極と自己整合的にコンタクトを形成している。
【0102】
以下、本実施形態に係る凹型MISトランジスタの製造方法を説明する。
【0103】
まず、活性領域内のシリコン基板61上に、厚さ0.02μmのSiO2膜91を形成する。次に、Si34膜101を0.5μm堆積し、例えば図示しない所望のフォトレジストパターンをマスクに用いてSi34膜101の開口部を形成する。次に、図5(a)に示すように、Si34膜101をマスクとして、RIEによりシリコン基板61をエッチングすることにより凹部102を形成する。同図に示すような凹部102の構成をとることにより、コンタクトへつながるソース領域及びドレイン領域をチャネル領域よりも高く形成することができる。
【0104】
次に、凹部102を充填するようにSiO2膜103を堆積し、Si34膜101をストッパとするCMPにより平坦化する。そして、フォトレジストを用いたリソグラフィによりレジストパターンをマスクとしてRIEによりゲート電極を形成すべき領域のSiO2膜103をエッチングして開口する。さらに、図5(b)に示すように、開口底部のシリコン基板61をエッチングし、チャネル領域の凹部104を形成する。このとき、エッチング条件を調節することにより、凹部104を概ね均一な曲率を有するように形成する。次にエッチング時に生じた図示しないポリマー層を除去してシリコン基板61の表面を露出させ、例えば800℃の水素雰囲気中で2分程度熱処理を行うことにより、RIEによって生じた凹部104内のダメージ回復処理を行う。この時、例えば950℃、10Torrの水素雰囲気中で1分程度熱処理することにより、表面エネルギーの釣り合いにより凹部104表面のシリコン原子を移動させ、凹部の曲率をより均一にすることも可能である。次に、必要な場合には、露出したシリコン基板61表面に厚さ5nm程度の図示しない犠牲SiO2膜を例えば熱酸化法により形成し、しきい電圧制御等のためのチャネルイオン注入を行う。
【0105】
次に、上記犠牲SiO2膜を剥離し、ゲート絶縁膜105として、例えば厚さ3nm程度のSiO2膜を熱酸化法により形成する。
【0106】
次に、SiO2膜103に囲まれた凹部104内が充填するように導電膜を堆積する。導電膜としては例えばリンを高濃度にドープしたポリシリコンを用いる。次に、Si34膜101をストッパとしてCMPにより平坦化し、図5(c)に示すようにゲート電極68を形成する。次に、ホットリン酸処理によりSi34膜101を除去し、続いてSiO2膜103をフッ酸処理により除去し、ゲート電極68に隣接するソース領域及びドレイン領域の表面となるシリコン基板61表面を露出する。次に、ゲート電極68をマスクとしてイオン注入によりゲート端に自己整合的に第1のソース拡散層106aと第1のドレイン拡散層106bを形成する。次に、第1のソース拡散層106aと第1のドレイン拡散層106bの不純物を活性化するためのアニールを行う。
【0107】
次に、図5(d)に示すように、表面に例えば0.04μm程度のSi34膜を堆積した後、RIEによりいわゆる側壁残しのプロセスによりSi34膜を部分的に除去し、ゲート電極68の側面に側壁窒化膜107を形成する。側壁窒化膜107の厚さは、側壁のゲート電極68に接しない一端が凹部102の内部に含まれるように形成する。
【0108】
次に、側壁窒化膜107をマスクとしてイオン注入により第2のソース拡散層108a及び第2のドレイン拡散層108bを形成する。これにより、ソース及びドレインの抵抗を下げることができる。第1のソース拡散層106a及び第1のドレイン拡散層106bの抵抗が充分に低い場合にはこの第2のソース拡散層108a又は第2のドレイン拡散層108bの形成は行わなくてもよい。
【0109】
次に、全面にSiO2による層間絶縁膜69を堆積し、ゲート電極68をストッパとしてCMPを行い平坦化する。次に、CDEにより、ゲート電極68の上部を0.1μm程度後退させ、次に、上記後退させたゲート電極68上部の溝を埋めるようにSi34膜を堆積し、CMPにより平坦化し、保護窒化膜109を形成する。次に、第1のソース拡散層107a及び第1のドレイン拡散層107bへのコンタクトホールをRIEにより開口する。側壁窒化膜107及び保護窒化膜109が形成されているため、マスク上でのゲート電極68との距離をゼロとしてRIEを行うことが可能である。
【0110】
次に、導電材料、例えばポリシリコンを開口されたコンタクトホールへ充填して配線110a及び110bを形成する。コンタクト抵抗を低減するため、配線110a及び110bの底面のシリコン基板61表面にTi等を堆積し、シリサイド化してもよい。
【0111】
シリサイド化によりソース及びドレインのコンタクト抵抗を低減する際には、シリコン基板61表面がシリサイド化するため、シリサイド化した部分の底面が拡散層の接合に近くなり、リーク電流が増大する問題がある。従って、シリサイド化を行うには充分に深い拡散層を形成する必要があり、短チャネル効果を低減させるために浅い接合を形成すると一般にこの必要を満たすことが困難であった。本発明ではソース及びドレインを傾きをもってチャネル領域よりも高く位置させているため、ソース又はドレインの拡散層を深くすることができ、シリサイド化によりコンタクト抵抗を低減することが容易である。
【0112】
このように本実施形態によれば、チャネル領域とゲート絶縁膜との界面を定義する凹部を曲線的に形成することにより、局所的に見た場合に電流経路が直線的になるように形成される。従って、電流経路を短く形成することができ、かつ角をもつ電流経路を有する構造に比較してゲート絶縁膜105の耐圧の向上と、電子の易動度の劣化を防止することができる。
【0113】
また、チャネル領域に連なるソース及びドレインの蓄積層は、チャネル領域よりも上面に傾きをもって位置するため、短チャネル効果が抑制される。
【0114】
次に、凹部内部に形成されたチャネル領域の曲率半径を均一に形成することによる利点を説明する。
【0115】
周辺部分に比較して小さな曲率半径を持つ部分がチャネル中にある場合、この部分では大きな曲率半径を持つ周辺部分よりもゲート電極による電界が発散し、反転層に誘起されるキャリアが減少し、抵抗が高くなる。チャネル全体で均一な曲率半径を持つ場合、このキャリアの減少がチャネル全体において起こるために、基板濃度をチャネル全体において下げることにより、キャリアを全体的に増加させることができる。すなわち均一な曲率半径による凹型トランジスタでは、曲率半径の小さいあるいは角の部分を持つ凹型トランジスタに比較して抵抗を低く、しかも基板濃度を低く設定できる。低い基板濃度は、ドレイン拡散層との接合リーク電流を抑制することができる利点がある。
【0116】
さらに、本実施形態では、マスク上でのゲートとコンタクトの間の距離をゼロとして、自己整合的にコンタクトを形成することにより、トランジスタ作成のための面積を大幅に縮小することが可能となる。
【0117】
(第3実施形態)
図6は本発明の第3実施形態に係る半導体装置の全体構成を示す断面図である。本実施形態に係る半導体装置は第1実施形態の変形例であり、第1実施形態の図3(b)で示したイオン注入93又はその後の活性化アニールの条件を調節することにより、第1のソース拡散層71a及び第1のドレイン拡散層71bに不純物領域94a及び94bを付加したものである。
【0118】
図6に示すように、第1のソース拡散層71a及び第1のドレイン拡散層71bの下端がチャネル面65の端のコーナ部分を覆って形成されており、コーナ部を覆う不純物領域94a及び94bが形成される。この構造では、第1のソース拡散層71a及び第1のドレイン拡散層71bのゲート端に相当する部分に形成される蓄積層に続いて、チャネル面65の端のコーナ部分のキャリア密度も高くなり、トランジスタ動作時に流れる電流値をさらに増大させることができる。
【0119】
チャネル面65に対する不純物領域94a及び94bの接合深さは反転層の厚さ程度、例えば0.01μm以下に形成すればよい。傾きをもつ不純物領域94a及び94bがゲート絶縁膜67を介してゲート電極68に相対する部分の表面に、動作時にゲート電極68の電界により充分なキャリアが誘起されて蓄積層が形成され、低抵抗による電流経路が形成される。このため、例えば図26に示した従来の平面型トランジスタの場合に電流経路を形成するために基板1内へ0.02μm程度の接合深さが想定されているのと異なり、チャネル面65に対する不純物領域94a及び94bの接合深さを浅く形成できるので、短チャネル効果を抑制した上で電流値を増大させることができる。
【0120】
このように、チャネル領域の両端に形成された、傾きをもつ第1のソース拡散層71a及び第1のドレイン拡散層71bへ連なるコーナ部分を覆ってごく浅い、反転層の厚さ程度のn型の不純物領域94a及び94bをさらに形成することにより、第1実施形態と同様の効果を奏するとともに、第1実施形態の場合に比較してコーナ部分におけるキャリア密度を高くすることができ、さらに高い電流値を得ることができる。
【0121】
(第4実施形態)
図7〜図12は、本発明の第4実施形態に係る半導体装置(凹型MISトランジスタ)の製造工程を示す図である。本実施形態は、図1(b)に示した第1実施形態の凹型トランジスタ構造の特徴を備えた構造を実現するための製造方法に関する。本実施形態が第1実施形態と異なる点は、活性領域62のうち、ゲート電極68の底面に覆われていないシリコン基板61上に、ゲート電極68の側面に対して傾斜をもったテーパ状の表面を有して選択的にエピタキシャル成長させた単結晶シリコンからなるエピタキシャル領域を設け、このエピタキシャル領域の傾斜をもった表面を持つ部分を、傾きをもつソース及びドレインとして用いたことにある。
【0122】
以下、図7〜図12を用いて、本実施形態の凹型MISトランジスタの製造方法を説明する。図7〜図12において、(a)は半導体装置を上面から見た図で、(a)における12A−12A’〜17A−17A’断面図を(b)に、12B−12B’〜17B−17B’断面図を(c)に、12C−12C’〜17C−17C’断面図を(d)にそれぞれ示す。
【0123】
図7(b)に示すように、活性領域62の全面にSiO2 膜131を例えば熱酸化法により形成し、次に、リソグラフィの技術を用いて、ゲート電極68を形成する領域にSiN4 膜によるダミーゲート132を形成する。次に、活性領域62のうちダミーゲート132に覆われていない領域の酸化膜を例えば希釈フッ酸により除去する。図7(b)の131は、ダミーゲート132下に位置することで残存したSiO2 膜である。
【0124】
次に、活性領域62上に、ダミーゲート132をマスクとし、シリコン基板61を核として選択的に結晶シリコン層をエピタキシャル成長させる。ダミーゲート132のように、核となるシリコン結晶の分布を遮断する物質が配置された表面上に固相成長させる場合、シリコン領域の端においては連続的に真上に積み上げて成長せず、傾きをもつ表面、いわゆるファセットが現れる。本実施形態の場合、シリコン結晶において(111)面が最も表面エネルギーが小さく最も成長速度が遅いことを活用し、(111)面で、シリコン基板61表面と約50度の角度をなすファセットを用いる。
【0125】
具体的にはまず、LPCVD装置内において、露出した活性領域62のシリコン基板61表面を例えば900℃の水素雰囲気中で180秒間アニールすることにより、基板表面の自然酸化膜を除去した後、連続して同一チャンバ内において、例えば600℃、100Torrにおいて、水素ガス10slm、SiH4 ガス1slmの流量により、全面にアモルファスシリコンを28秒間堆積する。
【0126】
さらに、連続して同一チャンバ内において、単結晶シリコンを600℃、H2 雰囲気内において80秒間アニールすることにより固相成長させると、基板表面シリコン単結晶が核となってアモルファスシリコンが単結晶シリコン化する。この時、SiO2 膜131およびダミーゲート132の表面に接する部分は単結晶化せず、SiO2 膜131およびダミーゲート132を一端として、シリコン基板61表面に対し50度の角度を持った側壁を形成する。
【0127】
このようにして、ダミーゲート132の形成領域に対して自己整合的にシリコン基板61に対して傾きをもったエピタキシャルシリコン領域が、シリコン基板61表面上に選択形成される。次に、単結晶化せずにアモルファスシリコンとして残った部分をフッ硝酸により除去し、図7(b)に示す選択成長エピタキシャル領域133が形成される。
【0128】
次に、図8(b)に示すように、選択成長エピタキシャル領域133上およびダミーゲート132を覆って、例えば10nmのSiO2 膜141をCVD法により形成する。エピタキシャル領域133上に形成されたSiO2 膜141は次工程のイオン注入142のための保護膜として働く。一方、ダミーゲート132の側面下端とエピタキシャル領域133の端とが接する領域の上のSiO2 膜141は、ゲート絶縁膜171の厚さとの差に基づいて後に形成するゲート電極68のソース及びドレイン側の端部を、傾きをもつソース及びドレイン上に形成するための膜であり、後に図11(b)で図示する傾きをもつゲート端部172の形状を決定する。このSiO2 膜141の堆積により厚みを帯びた活性領域とゲート電極形成領域を図8(a)の143に示す。
【0129】
次に、n型不純物のイオン注入142を行う。このイオン注入142の後、例えば900℃30秒RTAによる活性化を兼ねた熱拡散アニールによって、注入した不純物を後に形成する図11(b)に示す傾きをもつゲート端部172と相対する領域まで拡散させ、図9(b)に示す第2のソース拡散層152a及び第2のドレイン拡散層152bを形成する。選択成長エピタキシャル領域133の傾きをもつ表面上にイオン注入142を行うことにより、同時にエピタキシャル領域133の傾きをもった表面にエピタキシャル層ファセットによる傾きをもつ第1のソース拡散層151a及び第1のドレイン拡散層151bを形成する。イオン注入142の条件は、例えば第1実施形態の場合と同じでもよい。
【0130】
次に、装置全面にポリシリコンを堆積した後、CMP153を用いて、ダミーゲート132をストッパとして上層のポリシリコンを除去し、ダミーゲート132の上端を露出したポリシリコン膜154を形成する。なお、装置全面に堆積する材料としてはポリシリコンに限らず、例えばTEOS等、ダミーゲート132の材料に応じて種々変更可能である。
【0131】
次に、図10(b)に示すように、ホット燐酸を用いてダミーゲート132を除去し、ゲート電極部分に対応する凹部161を形成する。この凹部161はゲート絶縁膜171およびゲート電極68を埋め込み形成する領域である。
【0132】
ゲート電極68形成に先立って、必要に応じてポリシリコン膜154およびフォトレジスト等をマスクとして、凹部に選択的にVth制御のためのチャネルイオン注入162を行う。この段階でチャネルイオン注入162を行うことにより、イオン注入層163をチャネル領域に選択的に形成することができる。この方法により、非選択的なチャネルイオン注入を用いた場合と比較して、第2のソース拡散層152a及び第2のドレイン拡散層152bが形成される領域の不純物濃度を低くすることができ、ソース及びドレインの接合リーク電流を低くすることができ、さらに、接合容量も小さくすることができる。
【0133】
次に、凹部161の底面及び側壁に形成されているSiO2 膜131及び141を除去する。この除去した後の凹部161は、底面と側壁の間に第2のソース拡散層152a及び第2のドレイン拡散層152bの側面と同じ傾きのテーパ部をもつ。すなわち、凹部161の側壁に形成されていたSiO2 膜141の厚さ分だけ凹部161の側壁は広がるが、SiO2 膜141はエピタキシャル領域133の傾きをもった側面に形成されているため、SiO2 膜141を除去するとともに傾きをもったエピタキシャル領域133の一部が露出するからである。
【0134】
次に、図11(b)に示すように、凹部161内にゲート絶縁膜171を例えば熱酸化等により形成する。なお、ゲート絶縁膜171としては、CVD−SiO2 膜、CVD−SiON膜、CVD−Si3 4 膜を含む積層膜を用いてもよい。このゲート絶縁膜171はSiO2 膜141よりも薄く、例えば3.5nmのSiO2 膜により形成する。このように、SiO2 膜141よりも薄いゲート絶縁膜171を形成することにより、ゲート絶縁膜171及び第1のソース拡散層151aの境界面並びにゲート絶縁膜171及び第1のドレイン拡散層151bの境界面を、領域151a及び151bの側面と同じ傾きをもつゲート端部172にすることができる。
【0135】
次に、ゲート電極68を形成するため、凹部161内を充填するように導電膜を全面に堆積し、その後凹部161外部の導電膜をCMP法により研磨除去する。ゲート電極68の両端の傾きをもつゲート端部172に相対する第1のソース拡散層151a及び第1のドレイン拡散層151bが蓄積層として動作する部分となる。ゲート電極68を形成する導電膜としては、メタル膜を用いることができる。これは、ソース及びドレインの活性化の高温アニール工程を終えているためにゲート電極68が高温過程の影響を受けないためである。具体的には例えばTiNとAlの積層構造が用いられる。
【0136】
次に、図12(b)に示すように、CDE法によりポリシリコン膜154を除去して層間絶縁膜69を全面に形成する。CDE法によりポリシリコン膜154を除去するためには、ゲート電極68に用いた導電性膜の種類に応じて、ゲート電極68上に絶縁膜層のキャップを用いる等した上で、CMP法等によりポリシリコン膜154上の絶縁膜を除去し表面露出した後に行う。層間絶縁膜69を形成した後の工程は第1実施形態の場合と同じである。
【0137】
以上の工程により作成された凹型トランジスタにおいて、第1実施形態での基板表面66に対応する面は、エピタキシャル層表面134である。凹型トランジスタ構造のチャネル面65はシリコン基板61の表面であり、第1実施形態においてRIE法によりエッチングされたシリコン基板61表面をチャネル面65として用いたのと異なっている。
【0138】
なお、以上の工程では窒化膜によるダミーゲート132を用いて固相成長により(111)面を用いてシリコン基板61表面とほぼ50度の傾きをもつソース及びドレインのための選択的エピタキシャル領域133を形成したが、これに代えて、例えばより緩やかな約30度の傾きをもつ(311)面を用いることができる。この場合、SiO2 膜50nm,窒化膜50nmの積層膜によるダミーゲートを用い、気相成長により選択的エピタキシャル領域を形成する。
【0139】
本実施形態によれば、ダミーゲート132製造時にリソグラフィで決まるゲート位置と自己整合的に傾きをもつソース及びドレインの位置が決定されるために、第1実施形態の場合に凹部形成時と内部のゲート電極形成時に別個のリソグラフィ過程を必要としたことに比較して、チャネル長ばらつきの要因が少ない。
【0140】
また、チャネル面65がRIE法によるエッチングにさらされることなく、エッチング時のシリコン基板61表面へのダメージを受けない。選択的エピタキシャル領域133を用いることにより、平面型トランジスタと同様の良質のシリコン表面を用いたままで、凹型トランジスタの短チャネル効果抑制の利点を活用することができる。エピタキシャル領域133のゲート電極68に隣接する部分の表面を斜めに成長させることにより、傾きをもつ第1のソース拡散層151a及び第1のドレイン拡散層151bを形成することができる。
【0141】
また、本実施形態では、ゲート電極68下のチャネル部分に選択的にしきい電圧制御用のイオン注入層163を形成できる。本実施形態で用いた選択エピタキシャル層を実現するためには、ゲート電極68を設ける領域を除いて選択的にシリコン層をエピタキシャル成長させるために、Si3 4 を用いたダミーゲート132を用いる。即ち、ダミーゲート132を用いてトランジスタの他の部分を製造した後に、ダミーゲート132を除去し、いわゆるダマシン工程(象眼工程)を用いてゲート電極68を埋め込み形成(ダマシンゲート)する。このダマシンゲート工程を用いることにより、チャネル部分のみに選択的にイオン注入を行うことができる。
【0142】
(第5実施形態)
図13〜図14は、本発明の第5実施形態の凹型MISトランジスタを説明する図である。本実施形態は図1(b)に示した本発明の第1の凹型MISトランジスタ構造の特徴を備えた構造を実現するための製造方法に関する。本実施形態はその製造工程の途中までは第4実施形態と同じであり、本実施形態が第4実施形態と共通する部分の詳細な説明は省略する。
【0143】
第4実施形態では、第2のソース拡散層152a及び第2のドレイン拡散層152bへのコンタクトホール73a,73bをゲート電極68から離してエピタキシャル領域133上に形成している。これは、ゲートと配線の間の短絡を防止するため、リソグラフィの技術とRIE法によるエッチングにより層間絶縁膜69にコンタクトホール73a,73bを開孔する際に、ゲート電極68と配線70a,70bとの分離を図る必要があり、距離を充分に取ることによってこれを実現しているためである。一方、集積回路の微細化を実現するためには、コンタクトホール73a,73bとゲート電極68との距離を小さくすることが望ましい。
【0144】
そこで、本実施形態では傾きをもつ第1のソース拡散層151a及び第1のドレイン拡散層151b上に窒化膜による側壁191を形成して、マスク上でのゲートとコンタクト間の距離を実質的にゼロとして、自己整合的にコンタクトを形成し、トランジスタ作成のための面積を大幅に縮小することを実現している。
【0145】
図13及び図14を用いて、本実施形態の凹型MISトランジスタの製造方法を説明する。ダミーゲート132を用いて第2のソース拡散層152a及び第2のドレイン拡散層152bを形成し、ダミーゲート132を除去して凹部161を形成し、イオン注入層163を形成するまでの工程は、第4実施形態に示した図7〜図10の工程と同じである。
【0146】
図10〜15に示した工程の後、図13〜18に示すように、凹部161の底面及び側壁に形成されたSiO2 膜131及び141を除去し、凹部161内にゲート絶縁膜171を例えば熱酸化等により形成する。このゲート絶縁膜171はSiO2 膜141よりも薄く形成することにより、シリコン基板61表面に対して傾きをもつゲート端部172が形成される。
【0147】
次に、凹部161内を充填するように導電膜を全面に堆積し、その後凹部外部の導電膜をCMP法により研磨除去する。その後、凹部161内ゲート電極68の上部をRIE法により10nmエッチングした後、窒化膜を堆積する。そして、この窒化膜の堆積後、CMP法により凹部161外の窒化膜を研磨除去し、ゲート電極68に対する保護窒化膜192を形成する。
【0148】
次に、ポリシリコン膜154の表面を例えばフッ酸処理により清浄化した後、ポリシリコン膜154をCDE法により除去する。次に、全面に例えば厚さ20nm程度のSi3 4 膜を堆積した後、このSi3 4 膜をRIE法により全面エッチングすることにより、いわゆる側壁残しにより、傾きをもつ第1のソース拡散層151a及び第1のドレイン拡散層151b上にSi3 4 からなる側壁191を形成する。このSi3 4 膜の堆積により厚みを帯びた活性領域とゲート電極形成領域を図13(a)の194に示す。
【0149】
次に、図14(b)に示すように、層間絶縁膜69を全面に堆積し、RIE法によりコンタクトホール201a,201bを開孔する。本実施形態のコンタクトホール201a及び201bのマスクパターンはゲート電極68との距離がゼロ又はゲート電極68にオーバーラップするようにデザインされており、ソース及びドレイン上へのコンタクトを開孔するためのRIEがゲート電極68と近接して行われても、側壁191及び保護窒化膜192によりゲート電極68が保護されているために、ゲート電極68に短絡することなく、コンタクトホールに配線203a及び203bを埋め込み形成することができる。
【0150】
本実施形態では、第1のソース拡散層151a及び第1のドレイン拡散層151bと同じ傾きをもつゲート端部172の外側の表面にSi3 4 側壁191を形成することにより、凹型チャネルMISトランジスタにおける短チャネル効果を抑制しつつ、ゲート電極68とソース及びドレイン間の距離を離すことにより寄生容量を抑制することができる。さらに、配線203a,203bを自己整合コンタクトを用いて形成することによりトランジスタ面積を縮小し、さらに、チャネルと配線203a,203bの間の距離を最小にして寄生抵抗を抑制することができる。
【0151】
また、本実施形態では、第1のソース拡散層151a及び第1のドレイン拡散層151bの蓄積層と配線203a,203bを接続する第1のソース拡散層151a及び第1のドレイン拡散層151bの絶縁膜171及び191との表面が直線的に形成されているため、電流経路を短くして寄生抵抗をさらに小さくすることができ、トランジスタのさらなる低抵抗化を実現できる。
【0152】
(第6実施形態)
図15〜図19は本発明の第6実施形態を説明するための図である。本実施形態は第1実施形態と同じくRIEを用いて凹部を形成するが、ソース及びドレインの形成方法が異なっている。
【0153】
具体的には、第1実施形態が凹部,ゲート絶縁膜67及びゲート電極68形成の後に傾きをもつソース拡散層71a及びドレイン拡散層71bを形成しているのに対し、本実施形態では、凹部形成前のシリコン基板61表面にソース及びドレインとなる不純物領域を形成し、このシリコン基板61表面を傾きをもつ側面を伴ってエッチングすることにより、傾きをもつソース拡散層及びドレイン領域を形成していることである。以下、図15〜図19に沿って本実施形態の製造工程を説明する。
【0154】
まず、図15(b)に示すように、p型のシリコン基板61上に、活性領域62の周辺部に素子分離絶縁膜64を形成する。例えば、反応性イオンエッチング(RIE)法を用いて深さ約0.35μm程度のトレンチを掘り、このトレンチ内にSiO2 などの絶縁膜64を埋め込むことにより、STI(Shallow Trench Isolation)と呼ばれる素子分離を行う。次に、活性領域62上に厚さ10nm程度の犠牲SiO2 膜211を例えば熱酸化法により形成した後、しきい電圧調整のためのチャネルイオン注入層を形成し(図示せず)、次に、ソース及びドレインの不純物領域となる濃度3×1020cm-3程度の不純物領域212をイオン注入を用いて形成する。
【0155】
なお、同一基板にnチャネルおよびpチャネルのMISトランジスタを形成するいわゆるCMOS(Complementary Metal-Oxide-Semiconductor )構造の場合には、シリコン基板61のnチャネルトランジスタ形成領域にはp型ウェルを形成した後n型不純物領域として212を形成する。以下、本実施形態ではnチャネルトランジスタの場合について説明する。pチャネルトランジスタの場合には不純物の型を逆にすればよい。また、ソース及びドレインとなる不純物領域212は、活性領域62内全面に成長させた高濃度エピタキシャル領域により形成してもよい。
【0156】
次に、図16(b)に示すように、例えば第1実施形態の図2(b)において凹部92を形成したのと同様に、例えばゲート電極を形成する場合と同じフォトレジストパターンを有するマスクを用いて凹部221を形成し、RIEダメージ回復処理をする。この回復処理に続いて、不純物領域212の活性化を例えば800℃5分程度の熱処理により行い、ソース拡散層212a及びドレイン拡散層212bを形成する。
【0157】
凹部221が形成された領域近傍は、活性領域62中に凹型トランジスタのチャネル部及び傾きをもつソース及びドレインを形成するための領域であり、シリコン基板61を傾きをもって選択的にエッチングすることにより得られる。凹部221のテーパ状の側面がシリコン基板61表面となす角度は、後に形成する図18のゲート電極68及びソース拡散層212a並びにゲート電極68及びドレイン拡散層212bの間の寄生容量が小さくなるように垂直面に対して大きく、また、ゲート電極68に隣接するソース拡散層212a及びドレイン拡散層212bの実効的厚みを充分に与えて寄生抵抗が小さくなるように水平面に対して小さくなるようにRIE条件を設定することにより調整し、例えばシリコン基板61表面に対して垂直な面に対して60°の角度をもって形成する。
【0158】
なお、ゲート電極68のマスクを用いて凹部221を形成する際に、素子分離絶縁膜64の充分な厚みを残して、ゲートからコンタクトへ連なる部分の素子分離絶縁膜64にも凹部を形成してもよい。
【0159】
次に、図17(b)に示すようにゲート絶縁膜67を形成した後、ゲート電極68を、そのエッジが凹部221の内部に含み、チャネル面65の領域よりも外側に位置し、かつ、ゲート電極68及びソース拡散層212a並びにゲート電極68及びドレイン領域212bがゲート絶縁膜67を介して相対して重なり合う領域を持つように形成する。
【0160】
ゲート絶縁膜67は、低温プロセスによる絶縁膜を含む積層膜を用いる。すなわち、ゲート絶縁膜67は熱処理により形成するが、ソース及びドレインとなる不純物領域212がゲート絶縁膜67よりも先に形成されるため、第1実施形態の場合と異なり、不純物領域212の接合面がチャネル面65よりもシリコン基板61側に深くならないように考慮する必要があるからである。具体的には、ゲート絶縁膜67の材料として、例えばCVD−SiO2 膜、CVD−SiON膜、CVD−Si3 4 膜を含む積層膜を用いる。
【0161】
また、ゲート電極68がゲート絶縁膜67を介して不純物領域212と相対して重なり合う領域を持つようにパターニングするのは、チャネル面65の深さより浅く位置して、動作時にチャネル反転層に連なるソース及びドレインの蓄積層を形成する領域を形成するためである。なお、凹部221を形成した際に素子分離絶縁膜64にも凹部を形成すれば、素子分離絶縁膜64上のゲート配線の厚みをこの凹部の厚み分だけ厚くすることができ、ゲート配線の抵抗を小さくすることができる。
【0162】
次に、図18〜図19に示すように、全面にSiO2 からなる層間絶縁膜69を形成した後、第1実施形態において説明したのと同様のプロセスを用いて、コンタクトホール73a,73bを設けて配線70a,70bを形成し、図示しないパッシベーション膜を全面に形成することにより、第4実施形態のトランジスタ部分製造工程が完了する。第4実施形態における傾きをもつソース拡散層及びドレイン領域を図18(a)に斜線で示す。
【0163】
図20は本実施形態の変形例に係る凹型MISトランジスタの全体構成を示す図であり、図20(a)は上面図、図20(b)〜(d)はそれぞれ、図20(a)における25A−25A’断面図、25B−25B’断面図、25C−25C’断面図を示す。以下の変形例において、第1実施形態と共通する部分には同一の符号を付し、詳細な説明は省略する。
【0164】
図1(b)に示した第1実施形態の場合では、ゲート電極68に隣接する第1のソース拡散層71a及び第1のドレイン拡散層71bのゲート絶縁膜67との境界面のみならず、シリコン基板61との境界面もシリコン基板61表面に対して傾きをもって形成しているが、本変形例では図20(b)に示すように、ソース拡散層111a及びドレイン拡散層111bのうち、主な電流経路となるゲート絶縁膜67との境界面部分のみをシリコン基板61表面に対して傾きをもって形成し、シリコン基板61との境界面部分は接合深さをチャネル面65に対してゼロ又はマイナスに保ってシリコン基板61表面と平行に形成したものである。
【0165】
本変形例におけるトランジスタ動作時の主な電流経路は、本実施形態の場合と同様である。また、チャネル面65よりも上面にソース拡散層111a及びドレイン拡散層111bが形成されており、またこのソース拡散層111a及びドレイン拡散層111bはシリコン基板61表面に対して傾きをもって形成されているため、短チャネル効果を抑制し、かつ寄生抵抗と寄生容量を低減できる点は、本実施形態と同様である。
【0166】
本変形例が本実施形態と異なるのは、第1実施形態と同様に、ソース及びドレインをゲート電極68の形成後に形成している点である。この場合でも、本実施形態とほぼ同じ構造を実現することができる。
【0167】
(第7実施形態)
図21は本発明の第7実施形態に係る半導体装置の全体構成を示す断面図である。本実施形態は第1実施形態の変形例であり、第1実施形態と共通する部分の説明は省略する。本実施形態では、電流経路の曲率半径を曲線を用いて大きくすることにより、局所的に見た場合に電流経路が直線的になるように形成し、短チャネル効果を抑制しつつ、電流経路を短く形成する。
【0168】
本実施形態に係る半導体装置の製造工程は第1実施形態とほぼ同じである。本実施形態では、第1実施形態の図2〜図7の凹部92をRIEを用いて形成する際に、エッチング条件を調節することにより、凹部92の傾きをもつ側面またはチャネル領域を定義する面を丸みをもって形成する。
【0169】
また、ソース及びドレインは、第1のソース拡散層261a及び第1のドレイン拡散層261bのみならず、その厚みを補うため、さらに第2のソース拡散層262a及び第2のドレイン拡散層262bを形成する。
【0170】
ゲート絶縁膜263を形成した後、ゲート電極68のエッジを凹部221の内部に含み、チャネル領域よりも外側に位置するように、かつ、ゲート電極68がゲート絶縁膜263を介して第1のソース拡散層261a及び第1のドレイン拡散層261bと相対して重なり合う領域を持つように形成する。
【0171】
本実施形態では、従来の凹型トランジスタに比較して、以下の点が異なる。図29(a)に示す従来の凹型トランジスタより、電流経路が丸みを持っており、短縮されている。これは、エッチング条件の調節によりチャネル領域からソース拡散層261a及びドレイン拡散層261bにかけて丸みをもって形成されているためである。また、ゲート電極68の側面に形成されたゲート絶縁膜263を丸みをもって形成することにより、ゲート電極68とソース及びドレインの間の絶縁膜の実効的な膜厚を厚くして寄生容量を抑制している。また、チャネル領域に連なるソース及びドレインの蓄積層は、チャネル領域よりも上面に傾きをもって位置し、短チャネル効果を抑制している。従って、チャネルから蓄積層への電流経路も丸みをもって形成されているため、電流量の減少を防止することができる。
【0172】
第1実施形態では、図29(a)の通常の凹型トランジスタのチャネル面65の両端のコーナ部分に対して、その角度を鋭角から鈍角にすることによりキャリア分布を直線に近くしているが、本実施形態ではチャネル領域の両端の鈍角のコーナ部分をさらに角をもたないなだらかな構造にすることにより、ゲート絶縁膜263の耐圧の向上と、コーナ部分の電子の移動度劣化を防ぐことを実現している。
【0173】
また、チャネルのコーナ部分をなだらかに形成した従来の凹型トランジスタよりも曲率半径を大きく形成することができ、より効果的に耐圧向上または移動度劣化防止を図ることができる。
【0174】
また、凹部内部に形成されたチャネル領域の曲率半径を均一に形成することによる利点は第2実施形態と同様である。
【0175】
(第8実施形態)
図22は本発明の第8実施形態に係る半導体装置の全体構成を示す断面図である。本実施形態について第6実施形態と共通する部分の説明は省略する。
【0176】
本実施形態は、平面トランジスタにおけるいわゆる埋め込みチャネル型トランジスタに本発明を適用したものである。埋め込みチャネルトランジスタはチャネル部分にソース及びドレインと同型の領域を設けることにより、ゲート電極68の仕事関数に応じてしきい電圧を所望の値に調節することができ、いわゆるCMOS回路において特に有効である。
【0177】
例えばn型トランジスタの場合、p型のシリコン基板61の上に、n型の埋め込みチャネル271を介してゲート絶縁膜67とゲート電極68を形成している。本実施形態では、第4実施形態の図16(b)の凹部221をRIEを用いて形成する際に、エッチング条件を調節しエッチングの深さを不純物領域212の接合面よりも浅くすることにより、埋め込みチャネル271を形成している。
【0178】
図22の埋め込みチャネル型凹型トランジスタでは、ゲート電極68のエッジを、凹部221の内部に含み、かつ凹部221の傾きをもつ側面の上に位置するように形成する。埋め込みチャネル型であるため、ソース及びドレインの極性と埋め込みチャネル271の極性とが同じになる。従って、図29(b)の従来の凹型トランジスタを埋め込みチャネルとした場合における凹部側面の反転層47と凹部底面の反転層46はそれぞれ蓄積層へ置き換えられる(図示せず)。
【0179】
対応する電流経路は、図29(a)の従来の凹型トランジスタ構造において矢印で示したものと同じである。図22の本実施形態における電流経路を一点鎖線で示す。
【0180】
図22の構造が他の実施形態と比較して、前述のようにしきい電圧の調節ができるということの他に優れている点を説明する。埋め込み型チャネルでないチャネル面65の両端のコーナ部分が露出する他の実施形態の構造において、このコーナ部分にチャネル反転層を形成する場合、コーナ部分で電界が発散することにより、コーナ部分のキャリア密度はチャネル面65でのキャリア密度よりも低くなり、電流値の低下をもたらす。
【0181】
図22の凹型トランジスタにおいては、チャネル面65の両端のコーナ部分の鋭角な部分を露出させず不純物領域で覆うことにより、コーナ部分におけるキャリア密度を高くし、コーナ部の低いキャリア密度に起因する電流低下を抑制している。
【0182】
第6,7,8実施形態が第1実施形態と比較して優れている点は、第1実施形態では凹部92の内部に形成されたゲート電極68をマスクとしてソース拡散層及びドレイン拡散層を形成するためのイオン注入93を行うため凹部92とゲート電極68との位置ずれが生じる場合、第2のソース拡散層72a及び第2のドレイン拡散層72bが非対称的に形成されるのに対し、第6〜第8実施形態では予め対称的に形成された不純物領域をエッチングすることにより凹部161を形成するため、ゲート電極68と不純物領域の位置ずれが生じず、ソース及びドレインの下端が常に対称に形成されることである。
【0183】
また、第4実施形態と比較して第6,7,8実施形態が優れている点は、第4実施形態が選択エピタキシャル領域133を形成するためにダミーゲートを用いて工程が複雑であるのに対し、本実施形態ではプロセスステップ数が少なく、工程が短いため低コストにできることである。
【0184】
(第9実施形態)
図23〜図24は本発明の第9実施形態に係る半導体装置を説明するための図である。本実施形態は、第6実施形態の図15〜20に示した構造を実現するものである。
【0185】
本実施形態に係る半導体装置が第2実施形態と異なる点は、第2実施形態が選択エピタキシャル領域133,ゲート絶縁膜67及びゲート電極68形成の後に、エピタキシャル層ファセットによる傾きをもつ第1のソース拡散層151a及び第1のドレイン拡散層151bを形成しているのに対し、本実施形態では、高濃度の不純物を含んでエピタキシャル領域281a及び281bをソース及びドレインとなる不純物領域として形成することにより、ゲート絶縁膜171との境界面が傾きをもち、かつシリコン基板61との接合面がシリコン基板61表面と平行な傾きをもつソース及びドレインを形成していることである。このソース及びドレインの形成は、第4実施形態と同様の製造工程による。
【0186】
以下、本実施形態の製造工程を第2実施形態と比較しながら説明する。まず、図23(a)に示すように、ダミーゲート132の形成されたシリコン基板61上に、選択エピタキシャル層不純物領域281a及び281bを形成する。この選択エピタキシャル層不純物領域281a及び281bが形成された領域は、図7(b)で選択エピタキシャル領域133を形成した領域と同じ領域である。
【0187】
本実施形態では、全面にアモルファスシリコンを堆積する際、水素ガスおよびSiH4 ガスに加えて、例えばアルシンAsH3 ガス20sccmを供給する。選択成長エピタキシャル領域133と選択成長エピタキシャル層不純物領域281a及び281bの異なる点は、選択成長エピタキシャル領域133が不純物を含まない結晶シリコン層として形成されているのに対し、選択成長エピタキシャル層不純物領域281a及び281bは上記の条件により高濃度の不純物、すなわち例えばn型の凹型MOSFETの場合、3×1020cm-3程度のAsを含んで形成される。
【0188】
次に、装置全面にSiO2 膜141を保護膜として形成する(図23(b))。この点、図8(b)と同じであるが、本実施形態ではイオン注入142,活性化アニールは行わない。選択成長エピタキシャル層不純物領域が既に高濃度の不純物を含んで形成されているため、不純物を注入する必要がないからである。次に、ダミーゲート132の上層を露出したポリシリコン膜154を形成する(図23(c))。この点、図11(b)と同じである。
【0189】
以降のプロセスは第2実施形態と同様に行われ、完成した凹型トランジスタを図24〜29に示す。図24(a)は上面図、図24〜図29の(b)〜(d)はそれぞれ図24(a)の29A−29A’断面図、29B−29B’断面図、29C−29C’断面図である。
【0190】
本実施形態は第2実施形態と比較して、ソース及びドレインの不純物領域形成のためのイオン注入142とその活性化アニール工程が省略され、プロセスが簡単化されている。また、傾きをもつソース及びドレインを形成するための不純物領域281a及び281bが予めシリコン基板61上に形成された後に、ゲート絶縁膜171および傾きをもつゲート端部172が形成されるため、ゲート電極68のエッジを、傾きをもつソース・ドレイン不純物領域の接合位置よりもチャネルに対して外側に、かつ傾きをもつソース及びドレインを含む凹部の側面上に自動的に形成でき、ゲート電極68がゲート絶縁膜171を挟んでソース及びドレインと重なる領域を持って自動的に形成される。
【0191】
本実施形態は第6実施形態と同様に、接合面がシリコン基板61表面と平行な傾きをもつソース及びドレインとなる不純物領域221a及び221bを有している。本実施形態が第6実施形態に比較して備えている利点は、凹部を形成するためにRIEを用いずチャネル部上の窒化膜をマスクとした選択エピタキシャル成長を用いているために、チャネルが形成される基板表面がエッチング時のダメージを受けず、窒化膜で保護された基板表面をチャネルに用いることができる。
【0192】
また、選択エピタキシャル成長を用いて自己整合的に傾きをもつ不純物領域281a及び281bによりソース及びドレインが形成されるために、第6実施形態において凹部形成時と凹部内部のゲート電極68形成時にそれぞれリソグラフィプロセスを行い、高精度の位置合わせを必要としたことに比較して、構造ばらつきの要因が少ない。
【0193】
さらに、第6実施形態では図15(b)の素子分離絶縁膜64を形成した後、図16(b)に示すように、凹部221を素子分離絶縁膜64の側面が露出するようにエッチングするが、素子分離絶縁膜64の側面の傾き等の形状により、側面が露出されずシリコン部分が残り、素子特性に影響を与える可能性がある。本実施形態の場合には、基板内の凹部を形成せず図23(c)に示すように基板上に選択的に形成したエピタキシャル層を用いてソース及びドレインを凸部として形成する。また、この凹部形成とともに、チャネル部および傾きをもつソース及びドレインを凹部として形成するため、図23(b)の素子分離絶縁膜64の側面は露出されず、第6実施形態でのこの側面の形状が素子特性に与える影響を避けることができる。
【0194】
(第10実施形態)
図25は本発明の第10実施形態に係る半導体装置の全体構成を示す断面図である。本実施形態は、第9実施形態に係る半導体装置の製造方法を埋め込みチャネル型凹型トランジスタに適用した場合を示す。第9実施形態と共通する部分は説明を省略し、以下第9実施形態と異なる点を説明する。
【0195】
本実施形態に係る埋め込みチャネル型凹型トランジスタは、活性領域の表面にも埋め込みチャネル不純物領域301が形成される。すなわち、ゲート電極68の底部に、ゲート絶縁膜171を介して相対する部分のシリコン基板61表面に、ソース及びドレインと同じ極性を持った不純物領域301が形成される。この埋め込みチャネル型凹型トランジスタは、第8実施形態と同様にCMOS回路において特に有効である。
【0196】
本実施形態は、第9実施形態と同様の製造方法によって製造されるが、図23(b)のSiO2 膜131を形成する前に、活性領域62の上に、例えばイオン注入により、埋め込みチャネル不純物領域301を形成した後、第9実施形態で説明したプロセスと同様のプロセスを行う。これにより、ソース及びドレインとなるエピタキシャル領域281a及び281b間を、同じ極性を持った領域301で接続することができる。
【0197】
このように本実施形態によれば、第8実施形態と第9実施形態で示した利点をともに備えた構造を実現することができる。
【0198】
なお、上記実施形態において、ソース又はドレインのいずれか一方について本発明に示された構造を有し、また他方については従来構造を有するものであっても本発明の効果を奏することはもちろんである。
【0199】
【発明の効果】
以上説明したように本発明によれば、ゲート電極とソース及びドレインが相対する領域を有する。従って、この相対する領域が蓄積層として動作するため、広がり抵抗が除かれ、低抵抗率かつ長さの短い電流経路によりチャネルとコンタクトが結ばれて寄生抵抗を低減できる。
【0200】
また、上記蓄積層として動作させる領域がチャネル領域よりも浅い位置に形成されており、チャネル領域と同一平面に蓄積層として動作させる領域を形成する必要がなく、短チャネル効果を抑制することができる。
【0201】
また、ゲート電極の側面のソース及びドレインが半導体層表面に対して傾きをもって形成されるため、ゲート電極及びソース並びにゲート電極及びドレインの間隔が広がり、寄生容量の低減を図れる。
【図面の簡単な説明】
【図1】本発明の第1実施形態に係る半導体装置の全体構成を示す図。
【図2】同実施形態に係る半導体装置の製造工程を示す図。
【図3】同実施形態に係る半導体装置の製造工程を示す図。
【図4】同実施形態に係る半導体装置の要部を示す断面図。
【図5】本発明の第2実施形態に係る半導体装置の全体構成を示す図。
【図6】本発明の第3実施形態に係る半導体装置の全体構成を示す断面図。
【図7】本発明の第4実施形態に係る半導体装置の製造工程を示す図。
【図8】同実施形態に係る半導体装置の製造工程を示す図。
【図9】同実施形態に係る半導体装置の製造工程を示す図。
【図10】同実施形態に係る半導体装置の製造工程を示す図。
【図11】同実施形態に係る半導体装置の製造工程を示す図。
【図12】同実施形態に係る半導体装置の製造工程を示す図。
【図13】本発明の第5実施形態に係る半導体装置の製造工程を示す図。
【図14】同実施形態に係る半導体装置の製造工程を示す図。
【図15】本発明の第6実施形態に係る半導体装置の製造工程を示す図。
【図16】同実施形態に係る半導体装置の製造工程を示す図。
【図17】同実施形態に係る半導体装置の製造工程を示す図。
【図18】同実施形態に係る半導体装置の製造工程を示す図。
【図19】同実施形態に係る半導体装置の製造工程を示す図。
【図20】同実施形態の変形例に係る半導体装置の全体構成を示す図。
【図21】本発明の第7実施形態に係る半導体装置の全体構成を示す図。
【図22】本発明の第8実施形態に係る半導体装置の全体構成を示す図。
【図23】本発明の第9実施形態に係る半導体装置の全体構成を示す図。
【図24】同実施形態における半導体装置の製造工程を示す図。
【図25】本発明の第10実施形態に係る半導体装置の全体構成を示す図。
【図26】従来の平面型トランジスタの全体構成を示す断面図。
【図27】従来の平面型トランジスタの動作時の電子濃度分布を示す図。
【図28】従来の平面型トランジスタの電流密度分布を示す図。
【図29】従来の凹型トランジスタの全体構成を示す図。
【図30】従来の斜め基板表面を有するソース領域及びドレイン領域を備えたトランジスタの全体構成を示す断面図。
【符号の説明】
61…シリコン基板
62…活性領域
63…素子分離領域
64…素子分離絶縁膜
65…チャネル面
66…基板表面
67,105,171,263…ゲート絶縁膜
68…ゲート電極
69…層間絶縁膜
70a〜70c,110a,110b,203a,203b…配線
71a,71b,106a,106b,151a,151b,261a,261b…第1のソース及びドレイン拡散層
72a,72b,108a,108b,152a,152b,262a,262b…第2のソース及びドレイン拡散層
73a〜73c,210a,201b…コンタクトホール
91,103,131,141…SiO2
92,102,104,161,221…凹部
93,142,162…イオン注入
101…Si34
107…側壁窒化膜
109,192…保護窒化膜
94a,94b,212,301…不純物領域
132…ダミーゲート
133,281a,281b…エピタキシャル領域
134…エピタキシャル層表面
171…絶縁膜
172…ゲート端部
153…CMP
154…ポリシリコン膜
163…イオン注入層
191…側壁
111a,111b,212a,212b…ソース及びドレイン拡散層
271…埋め込みチャネル
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a MIS type semiconductor device and a manufacturing method thereof, and more particularly to a device used for a source region and a drain region.
[0002]
[Prior art]
In order to meet the demand for higher performance due to higher integration based on miniaturization in recent years, when a semiconductor integrated circuit is formed, the gate electrode of the transistor is processed in a region close to the processing limit of lithography technology. For this reason, the variation in channel length caused by the variation in the gate electrode gives an increasingly large variation in the transistor characteristics, which decreases the product yield. On the other hand, with the miniaturization of the semiconductor integrated circuit, fine transistors and wirings are arranged extremely densely, and the wiring distance is long. Therefore, even if the transistor operation speed is increased based on miniaturization, there is a situation in which the circuit operation cannot be increased due to the parasitic capacitance and the parasitic resistance between the transistor and the wiring.
[0003]
The structure of a conventional planar transistor is shown in FIG. A gate electrode 3 is formed on the silicon substrate 1 via a gate insulating film 2. Wirings 4 a and 4 b are formed on the side of the gate electrode 3 with an insulating film 9 interposed therebetween. Further, a source diffusion layer 5a and a drain diffusion layer 5b are formed in the silicon substrate 1, and a region 6 between the diffusion layers 5a and 5b becomes a channel region. Reference numeral 7 denotes an element isolation insulating film, and reference numeral 8 denotes an interlayer insulating film.
[0004]
Since the diffusion layers 5 a and 5 b are distributed in the silicon substrate 1 adjacent to the channel region 6, this distribution weakens control of the channel region 6 by the gate electrode 3 and causes a so-called short channel effect, thereby causing variations in lithography processing. The influence of has been expanded.
[0005]
Further, for comparison in explaining the problems of the concave transistor described later and other conventional transistors, the current generated when the planar transistor is operated is shown by a one-dot chain line in FIG. The current injected from the wiring 4a enters the source diffusion layer 5a and corresponds to the accumulation layer (corresponding to the surface portion of the diffusion layer 5a facing the gate electrode 3 through the gate insulating film 2, and the number of diffusion layer active impurity concentrations). (Which has a carrier density of 10 times or more), enters the inversion layer of the channel region 6, flows to the wiring 4 b through the accumulation layer of the drain diffusion layer 5 b and the other diffusion layer region, thereby forming a current path. .
[0006]
In the diffusion layer region outside the accumulation layer, a high carrier concentration region on the surface of the substrate 1 is lost, and the current spreads deeply into the diffusion layers 5a and 5b due to the carrier concentration determined by the active impurity concentration, which causes a so-called spreading resistance. As shown by the alternate long and short dash line 26, a substantially linear current path is formed.
[0007]
Usually, the source region and the drain region are formed by introducing an impurity having a conductivity type opposite to that of the substrate by ion implantation using the gate electrode as a mask and activating or diffusing the impurity by a thermal process. The source region and the drain region connect the current path to the wiring with the channel, and in order to make this connection with a sufficiently low resistance value, it is necessary to diffuse and form a sufficiently deep region with high concentration.
[0008]
FIG. 27 is a diagram showing the relationship between the impurity concentration in the drain diffusion layer 5b in the electron concentration distribution during the operation of the planar transistor having a gate length of 0.1 μm, and is obtained by device simulation in the vicinity of the gate electrode 3 in FIG. is there. In the figure, only the drain diffusion layer 5b side is shown. In this simulation, 1V is applied to the gate electrode 3 and 1V is applied to the drain diffusion layer 5b.
[0009]
The bias applied to the source diffusion layer 5a is 0V. Therefore, the current is strongly controlled by the gate bias in the vicinity of the source diffusion layer 5a. In the vicinity of the drain diffusion layer 5b, the influence of the gate electrode 3 on the surface of the substrate 1 due to the bias applied to the drain diffusion layer 5b is weaker than in the case of the source diffusion layer 5a. However, since the gate insulating film 2 is extremely thin, the current is strongly controlled by the gate electrode 3 even in the vicinity of the drain diffusion layer 5b. Although the drain diffusion layer 5b will be mainly described below, the relationship between the electron concentration or current concentration distribution and the position of the gate electrode 3 or the diffusion layer impurity distribution is basically the same in the source diffusion layer 5a.
[0010]
The drain diffusion layer 5b is distributed to the lower side or the inner side of the edge of the gate electrode 3 for connection with the channel, and forms a pn junction with the channel impurity. This pn junction position is indicated by a thick line in FIG. At the junction position, the opposite conductivity type impurities cancel each other, and the net impurity concentration becomes zero.
[0011]
That is, as shown by the broken line in FIG. 20 cm -3 Even if such a high concentration of impurity is introduced, the impurity concentration in the vicinity of the edge of the gate electrode 3 is generally lost due to diffusion and becomes low, and the impurity concentration further decreases as the junction approaches the center of the channel region 6 from the edge. . A depletion layer is formed around the junction, the carrier concentration (electron concentration) is extremely low, and the source diffusion layer 5a or the drain diffusion layer 5b is electrically isolated from the substrate 1 of the reverse conductivity type. For this reason, in FIG. Ten The electron concentration distribution curve where (electron concentration) = 18 is log Ten As can be seen from the fact that it is farther from the junction surface than the impurity concentration distribution curve where (impurity concentration) = 18, the carrier concentration (electron concentration) in the vicinity of the junction in the portion far from the surface of the substrate 1 of the drain diffusion layer 5b. Is lower than the impurity concentration.
[0012]
When the transistor operates, an inversion layer is formed on the surface of the substrate 1 in the channel region 6 by the voltage applied to the gate electrode 3. The high electron concentration region on the surface of the channel region 6 in FIG. 27 is this inversion layer. On the other hand, an accumulation layer is formed on the drain diffusion layer 5b side near the junction near the surface of the substrate 1 and is joined near the junction with the inversion layer formed on the channel region 6 side to form a current path.
[0013]
In FIG. 27, the portion of the drain diffusion layer 5b in the vicinity of the surface of the substrate 1 and in the vicinity of the bonding surface is the accumulation layer where the electron concentration is higher than the impurity concentration. Further, in the drain diffusion layer 5b, in a region having a high impurity concentration away from the edge of the gate electrode 3, the electron concentration matches the impurity concentration.
[0014]
FIG. 28 shows the relationship between the current density distribution and the position of the gate electrode 3 or the impurity concentration distribution when the same bias is applied to the same MOS transistor as in FIG. Inside the edge of the gate electrode 3, a region having a high current density is distributed in the vicinity of the surface of the substrate 1 due to the inversion layer formed on the surface of the channel region 6 or the accumulation layer formed on the surface of the impurity region. However, outside the edge of the gate electrode 3, the electric field generated by the gate electrode 3 suddenly weakens, so that a region with a high current density biased toward the surface of the substrate 1 is lost, and the current density is equal to the electron concentration of the drain diffusion layer 5 b. Along the high region, it is distributed to the back side of the substrate 1 at a low value.
[0015]
Therefore, in the region where the influence of the gate bias outside the edge of the gate electrode 3 is small, a sufficient accumulation layer can be induced by the gate bias and the parasitic resistance can be lowered. Instead, the carrier determined by the impurity concentration It is necessary to increase the concentration sufficiently and to distribute the substrate 1 deeply in accordance with the concentration to lower the resistance. That is, it is indispensable that the impurity concentration under the edge of the gate electrode 3 is sufficiently high and distributed to the substrate 1 to reduce the parasitic resistance in the region outside the edge.
[0016]
The results shown in FIGS. 27 and 28 are for an example of a typical planar MIS type transistor. Depending on the impurity distribution in the drain diffusion layer, the position of the gate electrode edge and the gate electrode in the vicinity of the edge are also shown. Depending on the shape, etc., the electron concentration distribution and current density distribution change. For example, the peak position in one or both of the drain diffusion layers may be located deep in the substrate, not the substrate surface. Even in this case, the situation is the same in which the storage layer is formed on the surface of the diffusion layer region by the electric field of the gate electrode and the parasitic resistance of the diffusion layer region under the edge is lowered.
[0017]
The impurity region inside the edge of the gate electrode 3 is indispensable in order to make the impurity concentration just below the edge of the gate electrode 3 high and sufficiently deeply distributed. However, due to the recent miniaturization, the length of the gate electrode 3 becomes extremely short, and the electric field applied to the channel region 6 by the impurity distribution of the diffusion layer 5a or 5b weakens the control of the electric field applied to the channel region 6 by the gate electrode 3, The so-called short channel effect is caused and the product yield is further deteriorated.
[0018]
In order to suppress this short channel effect, efforts are being made to reduce the junction depth of the diffusion layer 5a or 5b. However, as described above, in order to connect the channel region 6 and the high impurity concentration region of the drain diffusion layer 5b with sufficiently small parasitic resistance, the impurity concentration under the edge of the gate electrode 3 is distributed high and sufficiently deep. This is inconsistent with the achievement of the purpose of suppressing the short channel effect.
[0019]
A concave transistor is proposed as a structure for solving this contradiction. (For example, Nishimatsu et al., Groove Gate MOSFET, 8th Conf. On Solid State Device, pp.179-183, 1976). FIG. 29A shows a cross-sectional view of a conventional concave transistor structure. Portions common to those in FIG. In the conventional recessed transistor, the source diffusion layer 45a and the drain diffusion layer 45b are made higher than the surface of the recess bottom channel region, so that the impurity distribution in the diffusion layers 45a and 45b can be electrically controlled by the gate electrode 43 in the channel region. The effect on it is suppressed. In the concave transistor, the source and drain can be made thicker (deeper) while maintaining the distance from the bottom channel region of the recess, and the parasitic resistance per unit length of the diffusion layer portion of the source and drain can be reduced while suppressing the short channel effect. Can be lowered.
[0020]
However, a normal concave transistor has the following problems. FIG. 29B is an enlarged view of a main part of the concave transistor of FIG. An inversion layer 46 is formed on the bottom surface of the recess of the silicon substrate 1, an inversion layer 47 is formed on the side surface of the recess, and a storage layer 48 is formed on the side surface of the recess and in contact with the gate insulating film 42 in the diffusion layer 45 a. The The inversion layer 46 on the bottom surface of the recess corresponds to the inversion layer formed on the surface portion of the channel region 46 of the planar transistor of FIG.
[0021]
As described above, in the normal concave transistor, in addition to the inversion layer 46 on the bottom surface of the recess, the side channel portion and the source diffusion layer 45a and drain diffusion layer 45b connected to the side channel portion are also gated through the gate insulating film 42. A portion parallel to the electrode 43 is provided. In a portion parallel to the gate electrode 43 on the side surface of the recess, the recess side surface inversion layer 47 or the recess side surface accumulation layer 48 is generated in parallel with the side surface of the gate electrode 43 to form a current path, thereby generating a large parasitic capacitance.
[0022]
On the other hand, when the current path of the planar transistor shown in FIG. 26 is compared with the current path of the recessed transistor shown in FIG. 29, the current path of the planar transistor is linear. The angle formed by the current path on each side surface of the recess is close to an acute angle, and the distance of the current path to the wirings 4a and 4b connected to the diffusion layers 45a and 45b is increased.
[0023]
Further, normally, in order to suppress the parasitic capacitance between the gate electrode 43 or the wiring connected to the gate electrode 43 and the wirings 4a and 4b, or to suppress the leakage current generated therebetween, the gate electrode 43 and the diffusion layer In many cases, a non-conductive film region, that is, a side wall insulating film 49 is provided so as to lengthen the distance between the wirings 4a and 4b to 45a and 45b or to contact the gate side surface on the substrate 1.
[0024]
In the case of a normal concave transistor in which the bottom surface of the side wall insulating film 49 is formed in parallel with the bottom surface of the recess, a step between the bottom surface of the side wall insulating film 49 and the bottom surface of the recess through the inversion layer 47 or the accumulation layer 48 on the side surface of the recess. Since the current path is formed through a path close to an acute angle between the planes having, the current path is longer than that of the above-described planar transistor, and the parasitic resistance due to this is increased.
[0025]
Further, the carrier distribution peak around the channel is distributed in a very thin region of 0.01 μm or less along the channel surface. Therefore, when the current path close to the acute angle, that is, when the electron travel path is in the region close to the channel bottom surface, extra work is required for the carriers to follow the acute angle distribution of the carrier distribution peak, and the current value decreases. .
[0026]
On the other hand, as a conventionally known structure in the case of a p-type planar transistor, a transistor structure having a source region and a drain region having an oblique substrate surface is referred to as “Ultra-Shallow in-situ-doped raised source / drain structure for sub-tenth micron CMOS ", Y. Nakahara et al., pp. 174-175, 1996 Symposium on VLSI Technology Digest of Technical Papers. This structure is shown in FIG. In the case of the structure of FIG. 30, unlike the concave transistor shown in FIG. 29, the channel region and the source and drain are formed in a plane. Further, the current reaches the wiring (not shown) to the source and drain through the shallow diffusion layers 55a and 55b and the deep and deep diffusion layers 55c and 55d formed on the same plane as the channel region.
[0027]
That is, in the recessed transistor shown in FIG. 29, the accumulation layer 48 connected to the inversion layer of the channel region is located shallower than the depth of the channel bottom surface, thereby reducing the short channel effect by separating the diffusion layers 45a and 45b from the channel bottom surface. On the other hand, in the structure of FIG. 30, the accumulation layer formed in the source diffusion layer and the drain diffusion layer connected to the channel inversion layer has a channel region at the end adjacent to the channel region of the shallow diffusion layers 55a and 55b. It is formed on the same plane as the inversion layer. For this reason, the shallow diffusion layers 55a and 55b in FIG. 30 are distributed inside the silicon substrate 1 adjacent to the channel inversion layer, and a short channel effect occurs depending on the thickness.
[0028]
Therefore, in order to suppress the short channel effect, the shallow source diffusion layer 55a and the drain diffusion layer 55b are formed extremely shallowly adjacent to the channel region using silicon. In order to compensate for the thickness of the diffusion layers 55a and 55b, the epitaxial source diffusion layer 55e and the drain diffusion layer 55f are formed on the silicon substrate 1. Here, the edge of the gate electrode 53 is located on the shallow source diffusion layer 55a and the drain diffusion layer 55b at a position deviated from the surface of the epitaxial diffusion layers 55e and 55f via the nitride film side wall 56.
[0029]
Since it has such a structure, the influence of the electric field of the gate electrode 53 on the current path formed in the epitaxial diffusion layers 55e and 55f during the operation of this transistor is small. Therefore, the current flows through a wide region in the epitaxial diffusion layers 55e and 55f and has a resistance, but a current path is supplied in parallel to the diffusion layers 55a and 55b to reduce the parasitic resistance of the entire source and drain.
[0030]
That is, the effect of the epitaxial diffusion layers 55e and 55f in the structure of FIG. 30 is to compensate for the high resistance of the shallow diffusion layers 55a and 55b reaching the deep and dense diffusion layers 55c and 55d on the same plane as the channel bottom surface. It is to reduce.
[0031]
Further, by forming the surfaces of the epitaxial diffusion layers 55e and 55f obliquely, a thick oxide film side wall 57 is formed through the nitride film side wall 56 between the gate electrode 53 and the surface of the source / drain diffusion layer. The parasitic capacitance can be reduced.
[0032]
However, in the case of this transistor structure, since it is still a planar transistor, the short channel effect cannot be sufficiently suppressed only by controlling the thickness of the shallow diffusion layers 55a and 55b.
[0033]
[Problems to be solved by the invention]
As described above, when the conventional semiconductor device is used, it is impossible to suppress the short channel effect, reduce the parasitic capacitance and the parasitic resistance, and reduce the resistance of the current path.
[0034]
The present invention has been made to solve the above problems, and an object of the present invention is to provide a semiconductor device that reduces parasitic resistance and parasitic capacitance and suppresses the short channel effect, and a method for manufacturing the same. .
[0035]
[Means for Solving the Problems]
An MIS type semiconductor device according to the present invention includes a semiconductor layer having a recess having a side wall that is gentler than a right angle at least in part, a gate electrode formed by sandwiching a gate insulating film above the bottom surface of the recess, A source region and a drain region formed in the semiconductor layer with the insulating film sandwiched between the side surfaces and the boundary surface with the insulating film being inclined with respect to the surface of the semiconductor layer; and wiring contacts connected to the surface of the semiconductor layer; And the edge of the gate electrode is located inside a recess provided in the semiconductor layer, and at least one of the gate electrode and the source region or the gate electrode and the drain region has a region facing each other. In addition, at least one of the source region and the drain region in the opposite region operates as a storage layer.
[0036]
Here, the edge of the gate electrode refers to a position where the side wall of the gate electrode not in contact with the gate insulating film intersects the gate insulating film. The gate insulating film is formed between the gate electrode and the source or drain region in a region sandwiched between the region where the gate electrode and the source region face each other and the region where the gate electrode and the drain region face each other. It shall mean an insulating film.
[0037]
Further, the fact that the edge of the gate electrode is positioned inside the recess includes the case where the edge of the gate electrode is positioned at the boundary point between the recess and the region outside the recess. Further, the present invention includes a case where the junction position between the source region and the channel region or the junction position between the drain region and the channel region in the vicinity of the gate insulating film is located immediately below the edge of the gate electrode.
[0038]
Preferably, in the insulating film formed between the source region or the drain region and the gate electrode, a region other than the region where the gate electrode and the source region or the gate electrode and the drain region face each other is more than the opposite region. It is formed thick.
[0039]
Further, another MIS type semiconductor device according to the present invention includes a semiconductor layer having a recess having a side wall that is gentler than a right angle at least partially, and a gate electrode formed by sandwiching a gate insulating film above the bottom surface of the recess. A source region and a drain region formed in the semiconductor layer with the insulating film sandwiched between the side surfaces of the gate electrode and the boundary surface with the insulating film being inclined with respect to the surface of the semiconductor layer; A channel region formed underneath, wherein the source region and the gate electrode have a first opposing region at a first junction position between the source region and the channel region in the vicinity of the gate insulating film, and the gate At the second junction position of the drain region and the channel region in the vicinity of the insulating film, the drain region and the gate electrode have a second opposing region, and the first or second opposing region is small. At least one of the source region or the drain region operates as an accumulation layer, and the first or second of the insulating films formed between at least one of the source region or the drain region and the gate electrode. The insulating film in the region other than the opposing region is formed to be thicker than the first or second opposing region.
[0040]
Desirable embodiments of the present invention are shown below.
[0041]
(1) The height of the gate insulating film has a portion that continuously increases from the vicinity of the center of the channel region to at least one of the source region and the drain region.
[0042]
(2) The gate insulating film between the channel region and the gate electrode is formed linearly.
[0043]
(3) A contact formed on the surface of the source region and the drain region so as to be separated from the gate electrode, and a boundary surface between the source region and the contact and between the drain region and the contact with the gate insulating film And a distance between the contact and the gate electrode is shorter than 1.5 times the gate width.
[0044]
(4) In (3), at least one of the surfaces of the source region and the drain region in the region closer to the contact than the first and second opposing regions is formed with an inclination with respect to the surface of the semiconductor layer. .
[0045]
(5) At least one of the heights of the lower surface of the source region or the drain region in the vicinity of the first or second opposing region is formed higher than the height of the channel region.
(6) In (3), the source region and the drain region are formed of a material having the same conductivity type as the channel region formed between the source region and the drain region.
[0046]
(7) The gate insulating film between the channel region and the gate electrode is formed in a straight line, and a corner portion is formed between both ends of the linear gate insulating film and a side wall which is gentler than a right angle. And at least one of the first and second joining positions is located between the corner portions.
[0047]
(8) The impurity concentration of the source region or the drain region at one end located below the gate electrode edge of at least one of the first or second opposing regions is 1 × 10 13 cm -2 That's it.
[0048]
(9) The source region and the drain region are formed at a position shallower than the interface between the channel region and the gate insulating film.
[0049]
Here, the junction position between the source region or the drain region and the channel region refers to a boundary position of a junction formed by the source region or the drain region and the channel region.
[0050]
Further, in the method of manufacturing the MIS type semiconductor device according to the present invention, a step of forming a recess having a side wall that is gentler than a right angle is formed in the semiconductor layer by the RIE method, and a gate insulating film is formed so as to cover the surface of the semiconductor layer. A step of forming a conductive film on the gate insulating film including the recess, and a step of forming the gate electrode by patterning the conductive film using a lithography method so that the side wall is positioned on the side surface of the recess. It is characterized by having.
[0051]
Desirable embodiments of the present invention are shown below.
[0052]
(1) The side surface of the recess has an angle of approximately 45 degrees with respect to the surface of the semiconductor layer.
[0053]
(2) A step of forming a source region and a drain region in the semiconductor layer with the gate electrode interposed therebetween, a step of forming an interlayer insulating film on the semiconductor layer so as to cover the gate electrode, the source region, and the drain region, and an interlayer insulation Forming a contact hole connecting the wiring to at least one surface of the source region or the drain region by selectively removing the film using reactive ion etching, and the step of forming the contact hole includes: By using an insulating film that protects the sidewall and surface of the electrode as a mask, the gate electrode is formed in a self-aligning manner.
[0054]
Further, another method of manufacturing a MIS type semiconductor device according to the present invention includes a step of selectively laminating a first insulating film and a dummy gate on a first semiconductor layer, and a semiconductor material using the dummy gate as a mask. Forming a second semiconductor layer having sidewalls gentler than a right angle with the dummy gate interposed therebetween, and removing the first insulating film and the dummy gate; And a step of selectively forming a gate insulating film and a gate electrode sequentially in a region where the first insulating film and the dummy gate are formed.
[0055]
Desirable embodiments of the present invention are shown below.
[0056]
(1) After forming the second semiconductor layer, forming a second insulating film so as to cover the surfaces of the second semiconductor layer and the dummy gate, and depositing a filler on the second insulating film. And removing the first and second insulating films formed on the side walls of the dummy gate together with the dummy gate by exposing the surface of the filler to a flattened surface and removing the dummy gate. Forming a recess having a tapered portion having the same inclination as the side surface of the second semiconductor layer, forming a gate insulating film on the bottom surface of the formed recess, and conducting the conductive in the recess where the gate insulating film is formed. And embedding a conductive material using a damascene process.
[0057]
(2) The angle of at least one side wall of the second semiconductor layer is approximately 50 degrees or 30 degrees with respect to the surface of the first semiconductor layer.
[0058]
(3) Solid phase growth is epitaxial growth.
[0059]
(4) a step of forming a source region and a drain region on the surface of the second semiconductor layer, a step of forming an insulating film for protecting the gate electrode on the side wall and surface of the gate electrode after forming the gate electrode, Forming an interlayer insulating film over the first semiconductor layer so as to cover the film, and selectively removing the interlayer insulating film by reactive ion etching using the insulating film as a mask; A contact hole connected to the wiring is formed in at least one surface of the gate electrode in a self-aligning manner.
[0060]
(5) After forming the semiconductor layer, impurities are diffused only for a desired film thickness on the surface of the semiconductor layer.
[0061]
(6) After forming the gate insulating film and the gate electrode, ion implantation is performed using the gate electrode as a mask to diffuse impurities, and a source region and a drain region are formed in the semiconductor layer on the side surface of the gate electrode.
[0062]
(Function)
In the MIS type semiconductor device of the present invention, the edge of the gate electrode is located on the side wall of the recess including the region having the inclination of the source region and the drain region, and at least one of the gate electrode and the source region or the gate electrode and the drain region is the gate It has an area | region which opposes via an insulating film. Storage layers are formed during operation on the surfaces of the source and drain regions in the opposing regions. One end of the accumulation layer (hereinafter referred to as a first end) is a portion where the source region or the drain region forms a junction with the channel region, and the net impurity concentration is low. Therefore, although the carrier density determined by the impurity concentration is low, carriers of several tens of times higher than the carrier density in the case where it is not formed are accumulated in this accumulation layer. The resistance of the region close to the region forming the junction with the region is lowered.
[0063]
The other end of the accumulation layer (hereinafter referred to as the second end) is located away from the junction position with the channel region of the source region or the drain region, and is located at a point having a sufficiently high impurity concentration. Can do. In addition, since the second end portion is located shallower than the channel surface, the short channel effect does not occur even if impurities are distributed deeply from this position and the resistance of the region outside the gate edge is lowered.
[0064]
Further, since the accumulation layer is formed along the side wall having the inclination, the current path from the channel surface to the wiring is made close to a straight line to shorten the distance. Thus, since the channel surface and the wiring are connected by a short current path with a low resistivity, the parasitic resistance can be reduced.
[0065]
Further, the source region and the drain region on the side surface of the gate electrode are formed with an inclination with respect to the surface of the semiconductor layer. Accordingly, the interval between the gate electrode and the source region or the gate electrode and the drain region is widened, and the parasitic capacitance can be reduced.
[0066]
Unlike a planar transistor, the gate electrode and source region or the gate electrode and drain region are formed at a position shallower than the interface between the channel region and the gate insulating film. It is not necessary to form a diffusion layer in the vicinity of the region, and the short channel effect can be suppressed.
[0067]
Note that even when the source region and the drain region have a region protruding to the lower side than the interface between the channel region and the gate insulating film, by controlling the protruding region to a thickness enough to operate as an inversion layer, the above and The same effect is produced.
[0068]
In the method for manufacturing a semiconductor device according to the present invention, a concave portion having a side wall that is gentler than a right angle is formed in the semiconductor layer by the RIE method, a conductive film is formed on the semiconductor layer including the concave portion, and the side surface of the concave portion is formed. The conductive film is patterned using lithography so that the side wall is located. Thereby, it is possible to realize a MIS type semiconductor device that suppresses the short channel effect and reduces the parasitic capacitance and the parasitic resistance.
[0069]
Further, the source region and the drain region are formed by solid phase growth using the dummy gate as a mask in the MIS type semiconductor device, and the gate electrode is formed in the recess from which the dummy gate is removed, so that the source region and the drain region are formed. The gate electrode can be formed in a self-aligned manner, and no positional deviation occurs.
[0070]
Furthermore, by removing the dummy gate and the insulating film previously formed on the side wall of the dummy gate, a recess having a tapered portion having the same inclination as the side surface of the second semiconductor layer is formed between the bottom surface and the side wall. Thus, a region where the gate electrode and the second semiconductor layer operating as a source region or a drain region face each other can be formed.
[0071]
Further, by forming the gate electrode by a damascene process, it is possible to selectively perform ion implantation into the first semiconductor layer on the bottom surface of the recess that operates as a channel region.
[0072]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, embodiments of the present invention will be described with reference to the drawings.
[0073]
(First embodiment)
1 to 4 are diagrams for explaining the semiconductor device (concave MIS transistor) according to the first embodiment of the present invention, and FIGS. 1 and 4 are for explaining the configuration of the semiconductor device according to the present embodiment. FIG. 2 and FIG. 3 are process cross-sectional views showing a method for manufacturing the semiconductor device. FIG. 1A is a view of the semiconductor device as viewed from above. FIG. 1B is a sectional view taken along the line 6A-6A ′ in FIG. 1A, and FIG. 1C is a sectional view taken along the line 6B-6B ′. FIG. 1D shows a 6C-6C ′ cross-sectional view. In the following embodiments, an n-channel MIS transistor will be described. In the case of a p-channel MIS transistor, the conductivity type of each component may be reversed.
[0074]
In FIG. 1B, reference numeral 61 denotes a p-type silicon substrate using the (100) plane, and the impurity concentration is 5 × 10. 15 cm -3 Degree. As shown in FIG. 1A, the silicon substrate 61 is divided into an active region 62 and an element isolation region 63 formed so as to surround the active region 62. The element isolation region 63 includes an element isolation insulating film 64. Is formed.
[0075]
The active region 62 is a portion surrounded by a broken line in FIG. 1A, and the threshold voltage (V th ) To adjust the impurity concentration 5 × 10 17 cm -3 A channel ion implantation layer (not shown) is formed. A gate electrode 68 is formed on the silicon substrate 61 in the active region 62 via a gate insulating film 67, and wirings 70 a and 70 b are provided on both sides of the gate electrode 68 via an interlayer insulating film 69. The wirings 70a and 70b are connected to the source region and the drain region. The source region includes a first source diffusion layer 71a and a second source diffusion layer 72a, and the drain region includes a first drain diffusion layer 71b and a second drain diffusion layer 72b. The gate insulating film 67 is a thermal oxide film having a thickness of about 3.0 nm, for example.
[0076]
In FIG. 1B, the channel surface 65 is located deeper than the substrate surface 66. A recess having the channel surface 65 as a bottom is formed in the silicon substrate 61, and the sidewall of the recess has an inclined surface with a gentler angle than the right angle with respect to the channel surface 65, and the entire recess is covered with the gate insulating film 67. Here, the channel surface 65 refers to an interface between the channel region and the gate insulating film 67. The channel region is formed on the bottom surface of the recess below the channel surface 65.
[0077]
Further, a gate electrode 68 is embedded in the recess of the silicon substrate 61 through the gate insulating film 67 so as to face the channel region. Thus, a concave transistor structure having a channel region embedded in the substrate 61 is formed.
[0078]
A plane having a step between the channel surface 65 and the substrate surface 66 is formed along the sloped side wall of the gate insulating film 67, and the first source diffusion layer 71a and the first source are inclined with respect to the surface of the silicon substrate 61. The drain diffusion layer 71b (shown by oblique lines) is connected to the n-type second source diffusion layer 72a and the second drain diffusion layer 72b connected thereto (hereinafter 71a, 71b, 72a, 72b are simply combined). Called source and drain).
[0079]
The first source diffusion layer 71 a and the drain diffusion layer 71 b are formed toward the channel surface 65, and the second source diffusion layer 72 a and the drain diffusion layer 72 b are thick diffusion layers formed in parallel with the channel surface 65.
[0080]
Here, the diffusion depth and impurity concentration of the second source diffusion layer 72a and the second drain diffusion layer 72b are 0.05 μm and 5 × 10 5 respectively. 20 cm -3 Degree.
[0081]
Contact holes 73a to 73c are opened in the interlayer insulating film 69, and the wirings 70a to 70c are n-type second source diffusion layer 72a and second drain diffusion layer 72b through the contact holes 73a to 73c. And a gate electrode 68 respectively. For example, the interlayer insulating film 69 is made of SiO. 2 The wirings 70a to 70c are made of, for example, an Al film. The wirings 70a to 70c are formed such that the distance between the wirings 70a and 70b and the gate electrode 68 is shorter than 1.5 times the gate width. In addition, the first source diffusion layer 71a and the first drain diffusion layer 71b having an inclination with respect to the surface of the silicon substrate 61 have a region facing the gate electrode 68 at the tip, and include the region. It is formed with an inclination with respect to the surface of the silicon substrate 61 up to the vicinity of 70a and 70b (with deletion). In FIG. 1A, a gate electrode formation region indicated by a cross mark 74 is a region where the gate electrode 68 and the first source diffusion layer 71a and the drain diffusion layer 71b having an inclination overlap with each other, and an oblique line 75. The region indicates the formation region of the first source diffusion layer 71a and the drain diffusion layer 71b.
[0082]
Next, a method for manufacturing the concave MIS transistor according to the present embodiment will be described with reference to FIGS. 2A is a top view, FIG. 2B is a sectional view taken along 7A-7A ′ in FIG. 2A, FIG. 2C is a sectional view taken along 7B-7B ′ in FIG. 2D is a cross-sectional view taken along line 7C-7C ′ of FIG. 2A, FIG. 3A is a top view, and FIG. 3B is a cross-sectional view taken along line 8A-8A ′ of FIG. 3C is an 8B-8B ′ sectional view of FIG. 3A, and FIG. 3D is an 8C-8C ′ sectional view of FIG.
[0083]
First, in the active region 62 on the silicon substrate 61, SiO2 having a thickness of 0.02 μm. 2 A film 91 is formed. Next, for example, using a desired photoresist pattern (not shown) as a mask, SiO formed in a region where the first source diffusion layer 71a and the first drain diffusion layer 71b having a channel and an inclination are formed. 2 The film 91 and the silicon substrate 61 are etched by RIE (Reactive Ion Etching) to form a recess 92 having a bottom surface with a depth of about 0.1 μm from the substrate surface 66. At this time, by selecting the RIE condition, as shown in FIG. 2B, the side surface of the recess is formed obliquely with an angle of 45 degrees with respect to the bottom surface of the recess.
[0084]
Next, a polymer layer (not shown) generated during etching and SiO 2 The film 91 is removed to expose the surface of the active region 62 of the silicon substrate 61 and, for example, heat treatment is performed in a hydrogen atmosphere at 950 ° C. for about 2 minutes, thereby performing damage recovery processing in the recess 92 caused by RIE. Next, a sacrificial SiO (not shown) having a thickness of about 5 nm is formed on the exposed silicon substrate 61 surface. 2 The film is formed by, for example, a thermal oxidation method. Then, channel ion implantation for threshold voltage control or the like is performed on the lower silicon substrate 61 of the active region 62 including the recess 92 using the element isolation insulating film 64 or the like or a photoresist (not shown) as a mask. In the case of an n-type transistor, for example, a threshold voltage (V th ) Is set, for example, with an acceleration voltage of 5 keV and a dose of 2 × 10 12 cm -2 Boron (B + ) Is implanted to form a p-type channel ion implantation layer in the channel region (not shown).
[0085]
Next, sacrificial SiO (not shown) 2 After the film is peeled off, as shown in FIG. 3B, a gate insulating film 67 is formed on the surface of the silicon substrate 61 including the bottom surface and the inclined side surface of the recess 92 by thermal oxidation. Here, a film obtained by thermally nitriding the surface of the oxide film may be used for the gate insulating film 67. CVD-SiO 2 Film, CVD-SiON film, CVD-Si Three N Four A stacked film including a film may be used for the gate insulating film 67.
[0086]
Next, a conductive layer to be the gate electrode 68 is formed on the gate insulating film 67 so that the inside of the recess 92 is filled, and the surface of the conductive layer is polished and smoothed by CMP (Chemical Mechanical Polishing). As the conductive layer to be the gate electrode 68, for example, a polysilicon layer doped with an n-type impurity is used.
[0087]
Next, as shown in FIG. 3B, by using the lithography technique, both ends of the conductive layer are included in the recess 92, and the ends of the conductive layer on the source and drain sides are closer to the channel bottom. The gate electrode 68 is formed by patterning so as to be located outside. Next, ion implantation 93 is performed on the silicon substrate 61 using the gate electrode 68, the element isolation insulating film 64, photoresist, and the like as a mask, and the first source diffusion layer 71a, the first drain diffusion layer 71b, and the first Two source diffusion layers 72a and a second drain diffusion layer 72b are formed. The ion implantation conditions are, for example, arsenic (As) ion implantation, an acceleration voltage of about 30 KeV, and a dose amount of 5 × 10. 15 cm -2 Degree.
[0088]
Smoothing the surface of the conductive layer by the CMP method when patterning the conductive layer suppresses the distortion of the gate pattern position of the lithography technique by the smoothing, and the first source diffusion layer 71a and the first drain diffusion layer having inclinations. This is because the edge of the gate electrode 68 is accurately included in the recess 92 in order to easily form 71b. That is, in order to perform the ion implantation 93 for forming the first source diffusion layer 71a and the first drain diffusion layer 71b and the second source diffusion layer 72a and the second drain diffusion layer 72b using the gate electrode 68 as a mask, This is because the formation region of the second source diffusion layer 72a and the second drain diffusion layer 72b varies depending on the formation position of the gate electrode 68 serving as a mask. Note that the edge of the gate electrode 68 refers to a position where the side wall of the gate electrode 68 not in contact with the gate insulating film 67 intersects the gate insulating film 67.
[0089]
The first source diffusion layer 71a and the first drain diffusion layer 71b need to be formed so that no accumulation layer is formed on the channel surface 65 in order to suppress the short channel effect. Therefore, by forming the gate electrode 68 so that the edge is located on the tapered side wall of the recess 92, the regions 71a and 71b extend from the tapered side wall to the vicinity of both ends of the channel surface 65, and the same as the channel region. A structure can be obtained in which the regions 71a and 71b do not expand up to the plane.
[0090]
Further, as shown in FIG. 3B, the edge of the gate electrode 68 is formed outside the bottom of the recess 92 so as to include only a part of the inclined side surface. The junction surface with the gate insulating film 67 can be formed obliquely in accordance with the inclination of the gate insulating film 67.
[0091]
After the ion implantation 93 for forming the source and drain, the impurities are activated by heat treatment at 900 ° C. for about 10 seconds using, for example, RTA (Rapid Thermal Anneal) as activation annealing. Here, for the formation of the source and drain, solid phase diffusion using the gate electrode 68 or the like as a mask after forming the gate electrode 68 without using the ion implantation 93 may be used.
[0092]
Next, as shown in FIG. 2 After the interlayer insulating film 69 is formed on the entire surface, the contact holes 73a to 73c are formed in the interlayer so that a part of the second source diffusion layer 72a and the second drain diffusion layer 72b and a part of the gate electrode 68 are exposed. A hole is opened in the insulating film 69. Next, a metal such as an Al film or an Al—Cu film is formed on the entire surface so that the contact holes 73a to 73c are filled, and this metal is patterned to form wirings 70a to 70c (only a part of which is shown) according to circuit design. Are sequentially formed. Next, a passivation film (not shown) is deposited on the entire surface to complete the transistor partial manufacturing process.
[0093]
FIG. 4 shows an enlarged cross-sectional view of the vicinity of the first drain diffusion layer 71b having the inclination of the concave MIS transistor formed by the above process, along with the current path. According to the MIS transistor having the concave channel structure configured as described above, there is a step 2 between the channel surface 65 and the substrate surface 66 through the inversion layer and the accumulation layer formed along the side surface having the concave portion inclination. The current path connecting the two planes forms an obtuse angle with the traveling direction of the current path passing from the channel surface 65 to the vicinity of the substrate surface 66.
[0094]
Further, the surface of the region where the inclined first source diffusion layer 71 a and first drain diffusion layer 71 b face the gate electrode 68 operates as a storage layer. One end of the accumulation layer is a portion where the source or drain forms a junction with the channel region, and the net impurity concentration is low. Therefore, although the carrier density determined by the impurity concentration is low, carriers having a concentration of several tens of times the carrier density in the case where it is not formed are accumulated in this accumulation layer. Therefore, the first source diffusion layer 71a or The carrier density in the vicinity of the junction of the first drain diffusion layer 71b is compensated, and the resistance generated in the first source diffusion layer 71a and the first drain diffusion layer 71b is removed. The accumulation layer is formed along the sidewalls of the inclined first source diffusion layer 71a and first drain diffusion layer 71b. Therefore, as shown by the alternate long and short dash line in FIG. 4, the current path from the channel surface 65 to the contact on the surface of the silicon substrate 61 can be made close to a straight line, thereby shortening the current path, thereby reducing the parasitic resistance.
[0095]
Also, one end of the storage layer, that is, the end of the storage layer passing through the gate edge and in contact with the normal to the surface of the gate insulating film 67 is located shallower than the channel bottom and has a sufficiently high impurity concentration. Can be located at a point. Accordingly, without causing the short channel effect, the impurity distribution depth a at this position is deepened, and at the same time, the impurity distribution depth in the region outside the gate edge is deepened, and the resistance of this region can be lowered. Here, the impurity depth is the depth of the impurity distribution in the normal direction with respect to the surface of the first source diffusion layer 71a or the first drain diffusion layer 71b. In order to reduce the resistance, the impurity concentration integrated in the direction of the impurity distribution depth a is 1 × 10 13 cm -2 The above is desirable. In addition, since the edge of the accumulation layer is located shallower than the channel bottom, even if impurities are distributed deeply from this position and the resistance of the region outside the gate edge is lowered, the short channel effect does not occur. .
[0096]
Further, since the distance between the first source diffusion layer 71a and the gate electrode 68 and the first drain diffusion layer 71b and the gate electrode 68 can be increased except for the region to be the storage layer, the parasitic capacitance can be reduced. Further, since the first source diffusion layer 71a and the first drain diffusion layer 71b have a concave transistor structure formed at a position shallower than the channel surface 65, the source and drain are formed in the same plane as the channel. The short channel effect which arises can be suppressed. In this concave transistor structure, the lower surfaces of the source and drain are located higher than the channel, particularly in the vicinity of the region where the first source diffusion layer 71a and the first drain diffusion layer 71b are opposed to the gate electrode 68. It is possible to suppress the short channel effect.
[0097]
If the lower end adjacent to the source and drain of the gate electrode 68 is formed linearly with a slope or rounded as shown in FIG. 4, an inversion layer or accumulation generated near the source and drain at both ends of the gate due to the electric field of the gate. The carrier concentration of the layer can be increased, and the resistance in the channel region in the vicinity of both ends of the gate and in the source and drain ends can be reduced. In addition, since the current path from the channel surface 65 to the source and drain is formed at an obtuse angle by this carrier distribution, work lost when electrons travel along an acute path can be reduced, and reduction in current value can be reduced. Can do.
[0098]
Further, the second source diffusion layer 72a and the second drain diffusion are held while the junction probe at the lower ends of the inclined first source diffusion layer 71a and the first drain diffusion layer 71b is held above the channel surface 65. If the junction search of the layer 72b is deepened, the resistance of the source and drain can be further reduced.
[0099]
Further, the region where the first source diffusion layer 71a and the gate electrode 68 and the first drain diffusion layer 71b and the gate electrode 68 are opposed to each other is not necessarily formed with a gentler inclination than the right angle with respect to the silicon substrate 61. Absent. For example, in the vicinity of the bottom of the gate electrode 68, the gate insulating film 67 is formed substantially perpendicular to the surface of the silicon substrate 61, and the distance from the gate electrode 68 gradually increases from a position away from the bottom of the gate electrode 68 by a predetermined distance. Thus, even in the structure in which the surfaces of the first source diffusion layer 71a and the first drain diffusion layer 71b are formed, the same effect as the present invention is achieved.
[0100]
In this embodiment, the edge of the gate electrode 68 is located inside the recess 92, but the edge of the gate electrode 68 may be located at the boundary point between the recess 92 and the region outside the recess 92. Further, the junction position between the source and the channel region or the junction position between the drain and the channel region in the vicinity of the gate insulating film 67 may be located immediately below the edge of the gate electrode 68. The same applies to the following embodiments.
[0101]
(Second Embodiment)
FIG. 5 is a process sectional view showing an embodiment for realizing a transistor structure of the present invention having a channel region having a curvature. Here, the distance between the gate electrode and the contact on the mask is set to zero, and the contact is formed in self-alignment with the gate electrode on the source or drain having an inclination.
[0102]
Hereinafter, a method for manufacturing the concave MIS transistor according to the present embodiment will be described.
[0103]
First, on the silicon substrate 61 in the active region, SiO2 having a thickness of 0.02 μm. 2 A film 91 is formed. Next, Si Three N Four A film 101 is deposited to a thickness of 0.5 μm. For example, a desired photoresist pattern (not shown) is used as a mask to form Si Three N Four An opening of the film 101 is formed. Next, as shown in FIG. Three N Four The recess 102 is formed by etching the silicon substrate 61 by RIE using the film 101 as a mask. By adopting the configuration of the recess 102 as shown in the figure, the source region and the drain region connected to the contact can be formed higher than the channel region.
[0104]
Next, SiO is filled so as to fill the recess 102. 2 A film 103 is deposited and Si Three N Four Planarization is performed by CMP using the film 101 as a stopper. Then, the region where the gate electrode is to be formed by RIE using the resist pattern as a mask by lithography using a photoresist. 2 The film 103 is etched and opened. Further, as shown in FIG. 5B, the silicon substrate 61 at the bottom of the opening is etched to form a recess 104 in the channel region. At this time, the recess 104 is formed to have a substantially uniform curvature by adjusting the etching conditions. Next, the polymer layer (not shown) generated at the time of etching is removed to expose the surface of the silicon substrate 61. For example, heat treatment is performed in a hydrogen atmosphere at 800 ° C. for about 2 minutes to recover damage in the recess 104 caused by RIE. Process. At this time, for example, by performing heat treatment in a hydrogen atmosphere at 950 ° C. and 10 Torr for about 1 minute, it is possible to move the silicon atoms on the surface of the recess 104 by the balance of the surface energy and make the curvature of the recess more uniform. Next, if necessary, sacrificial SiO (not shown) having a thickness of about 5 nm is formed on the surface of the exposed silicon substrate 61. 2 A film is formed by, for example, a thermal oxidation method, and channel ion implantation for threshold voltage control or the like is performed.
[0105]
Next, the sacrificial SiO 2 The film is peeled off, and as the gate insulating film 105, for example, about 3 nm thick SiO 2 A film is formed by a thermal oxidation method.
[0106]
Next, SiO 2 A conductive film is deposited so that the inside of the recess 104 surrounded by the film 103 is filled. As the conductive film, for example, polysilicon doped with phosphorus at a high concentration is used. Next, Si Three N Four Planarization is performed by CMP using the film 101 as a stopper, and a gate electrode 68 is formed as shown in FIG. Next, Si is obtained by hot phosphoric acid treatment. Three N Four Film 101 is removed, followed by SiO 2 The film 103 is removed by hydrofluoric acid treatment to expose the surface of the silicon substrate 61 that becomes the surface of the source and drain regions adjacent to the gate electrode 68. Next, the first source diffusion layer 106a and the first drain diffusion layer 106b are formed in a self-aligned manner at the gate end by ion implantation using the gate electrode 68 as a mask. Next, annealing is performed to activate the impurities in the first source diffusion layer 106a and the first drain diffusion layer 106b.
[0107]
Next, as shown in FIG. 5D, on the surface, for example, about 0.04 μm of Si. Three N Four After the film is deposited, Si is performed by a process of leaving a side wall by RIE. Three N Four The film is partially removed, and a sidewall nitride film 107 is formed on the side surface of the gate electrode 68. The thickness of the sidewall nitride film 107 is formed so that one end of the sidewall not contacting the gate electrode 68 is included in the recess 102.
[0108]
Next, the second source diffusion layer 108a and the second drain diffusion layer 108b are formed by ion implantation using the sidewall nitride film 107 as a mask. Thereby, the resistance of the source and drain can be lowered. When the resistance of the first source diffusion layer 106a and the first drain diffusion layer 106b is sufficiently low, the second source diffusion layer 108a or the second drain diffusion layer 108b may not be formed.
[0109]
Next, SiO 2 An interlayer insulating film 69 is deposited and planarized by CMP using the gate electrode 68 as a stopper. Next, the upper part of the gate electrode 68 is receded by about 0.1 μm by CDE, and then Si is filled so as to fill the groove on the receded gate electrode 68. Three N Four A film is deposited and planarized by CMP to form a protective nitride film 109. Next, contact holes to the first source diffusion layer 107a and the first drain diffusion layer 107b are opened by RIE. Since the sidewall nitride film 107 and the protective nitride film 109 are formed, RIE can be performed with the distance from the gate electrode 68 on the mask being zero.
[0110]
Next, a conductive material, for example, polysilicon is filled into the opened contact hole to form wirings 110a and 110b. In order to reduce the contact resistance, Ti or the like may be deposited on the surface of the silicon substrate 61 on the bottom surfaces of the wirings 110a and 110b to be silicided.
[0111]
When the contact resistance of the source and drain is reduced by silicidation, the surface of the silicon substrate 61 is silicidized, so that there is a problem that the bottom surface of the silicidized portion is close to the junction of the diffusion layer and leakage current increases. Therefore, it is necessary to form a sufficiently deep diffusion layer for silicidation, and it is generally difficult to satisfy this requirement if a shallow junction is formed in order to reduce the short channel effect. In the present invention, since the source and drain are positioned higher than the channel region with an inclination, the diffusion layer of the source or drain can be deepened, and contact resistance can be easily reduced by silicidation.
[0112]
As described above, according to the present embodiment, the concave portion that defines the interface between the channel region and the gate insulating film is formed in a curved shape so that the current path is linear when viewed locally. The Accordingly, the current path can be shortened and the breakdown voltage of the gate insulating film 105 can be improved and the mobility of electrons can be prevented from being deteriorated as compared with a structure having a current path with a corner.
[0113]
Further, since the source and drain accumulation layers connected to the channel region are positioned with an inclination on the upper surface of the channel region, the short channel effect is suppressed.
[0114]
Next, the advantage by uniformly forming the radius of curvature of the channel region formed inside the recess will be described.
[0115]
When there is a part in the channel with a smaller radius of curvature compared to the peripheral part, the electric field due to the gate electrode diverges in this part compared to the peripheral part with a large radius of curvature, and carriers induced in the inversion layer are reduced. Resistance increases. When the curvature radius is uniform across the channel, this carrier reduction occurs across the channel, so the carrier concentration can be increased overall by lowering the substrate concentration across the channel. That is, a concave transistor having a uniform radius of curvature can be set to have a lower resistance and a lower substrate concentration than a concave transistor having a small radius of curvature or a corner portion. The low substrate concentration has an advantage that the junction leakage current with the drain diffusion layer can be suppressed.
[0116]
Furthermore, in the present embodiment, the area for transistor formation can be greatly reduced by forming the contact in a self-aligning manner with the distance between the gate and the contact on the mask being zero.
[0117]
(Third embodiment)
FIG. 6 is a cross-sectional view showing the overall configuration of the semiconductor device according to the third embodiment of the present invention. The semiconductor device according to the present embodiment is a modification of the first embodiment. By adjusting the conditions of the ion implantation 93 shown in FIG. Impurity regions 94a and 94b are added to the source diffusion layer 71a and the first drain diffusion layer 71b.
[0118]
As shown in FIG. 6, the lower ends of the first source diffusion layer 71a and the first drain diffusion layer 71b are formed to cover the corner portion at the end of the channel surface 65, and impurity regions 94a and 94b covering the corner portion are formed. Is formed. In this structure, the carrier density of the corner portion at the end of the channel surface 65 also increases following the accumulation layer formed in the portion corresponding to the gate end of the first source diffusion layer 71a and the first drain diffusion layer 71b. The current value that flows when the transistor is operating can be further increased.
[0119]
The junction depth of the impurity regions 94a and 94b with respect to the channel surface 65 may be formed to be about the thickness of the inversion layer, for example, 0.01 μm or less. At the surface of the portion where the impurity regions 94a and 94b having inclinations are opposed to the gate electrode 68 through the gate insulating film 67, sufficient carriers are induced by the electric field of the gate electrode 68 during operation, and a storage layer is formed. A current path is formed. For this reason, for example, in the case of the conventional planar transistor shown in FIG. 26, the junction depth of about 0.02 μm into the substrate 1 is assumed in order to form a current path. Since the junction depths of the regions 94a and 94b can be formed shallow, the current value can be increased while suppressing the short channel effect.
[0120]
In this way, the n-type layer that is formed at both ends of the channel region and covers the corner portion connected to the inclined first source diffusion layer 71a and the first drain diffusion layer 71b and is very shallow and about the thickness of the inversion layer. By further forming the impurity regions 94a and 94b, the same effects as in the first embodiment can be obtained, and the carrier density in the corner portion can be increased as compared with the case of the first embodiment. A value can be obtained.
[0121]
(Fourth embodiment)
7 to 12 are views showing manufacturing steps of the semiconductor device (concave MIS transistor) according to the fourth embodiment of the present invention. The present embodiment relates to a manufacturing method for realizing a structure having the features of the concave transistor structure of the first embodiment shown in FIG. The present embodiment is different from the first embodiment in that the active region 62 has a tapered shape on the silicon substrate 61 that is not covered by the bottom surface of the gate electrode 68 and inclined with respect to the side surface of the gate electrode 68. An epitaxial region made of single crystal silicon having a surface and selectively epitaxially grown is provided, and portions having a surface with a slope of this epitaxial region are used as a source and a drain having a slope.
[0122]
Hereinafter, the manufacturing method of the concave MIS transistor of this embodiment will be described with reference to FIGS. 7 to 12, (a) is a view of the semiconductor device as viewed from above, and a cross-sectional view of 12A-12A ′ to 17A-17A ′ in (a) is shown in (b), and 12B-12B ′ to 17B-17B. 'Cross sectional view is shown in (c), and 12C-12C' to 17C-17C 'sectional views are shown in (d).
[0123]
As shown in FIG. 7B, the entire surface of the active region 62 is SiO. 2 The film 131 is formed by, for example, a thermal oxidation method, and then SiN is formed in a region where the gate electrode 68 is to be formed using a lithography technique. Four A dummy gate 132 made of a film is formed. Next, the oxide film in the region not covered with the dummy gate 132 in the active region 62 is removed by, for example, diluted hydrofluoric acid. Reference numeral 131 in FIG. 7B indicates that the remaining SiO is located under the dummy gate 132. 2 It is a membrane.
[0124]
Next, a crystalline silicon layer is selectively epitaxially grown on the active region 62 using the dummy gate 132 as a mask and the silicon substrate 61 as a nucleus. When solid phase growth is performed on a surface on which a substance that blocks the distribution of silicon crystals serving as nuclei is disposed, such as the dummy gate 132, the edge of the silicon region does not grow by being piled up directly on the edge. A surface with a so-called facet appears. In the case of the present embodiment, the fact that the (111) plane has the smallest surface energy and the slowest growth rate in the silicon crystal is utilized, and a facet that forms an angle of about 50 degrees with the surface of the silicon substrate 61 at the (111) plane is used. .
[0125]
Specifically, first, in the LPCVD apparatus, the surface of the silicon substrate 61 in the exposed active region 62 is annealed in a hydrogen atmosphere at 900 ° C. for 180 seconds, for example. In the same chamber, for example, at 600 ° C. and 100 Torr, hydrogen gas 10 slm, SiH Four Amorphous silicon is deposited on the entire surface for 28 seconds with a gas flow rate of 1 slm.
[0126]
Further, in the same chamber continuously, single crystal silicon is heated to 600 ° C., H 2 When solid phase growth is performed by annealing in an atmosphere for 80 seconds, the silicon silicon crystal on the substrate surface serves as a nucleus and the amorphous silicon turns into single crystal silicon. At this time, SiO 2 The portions in contact with the surfaces of the film 131 and the dummy gate 132 are not single-crystallized, and SiO 2 Side walls having an angle of 50 degrees with respect to the surface of the silicon substrate 61 are formed with the film 131 and the dummy gate 132 as one end.
[0127]
In this manner, an epitaxial silicon region having an inclination with respect to the silicon substrate 61 in a self-aligned manner with respect to the formation region of the dummy gate 132 is selectively formed on the surface of the silicon substrate 61. Next, the portion remaining as amorphous silicon without being single-crystallized is removed with hydrofluoric acid to form a selective growth epitaxial region 133 shown in FIG. 7B.
[0128]
Next, as shown in FIG. 8B, the selective growth epitaxial region 133 and the dummy gate 132 are covered, for example, 10 nm of SiO. 2 A film 141 is formed by a CVD method. SiO formed on the epitaxial region 133 2 The film 141 serves as a protective film for the ion implantation 142 in the next process. On the other hand, SiO on the region where the lower end of the side surface of the dummy gate 132 and the end of the epitaxial region 133 are in contact with each other. 2 The film 141 is a film for forming end portions on the source and drain sides of the gate electrode 68 to be formed later on the sloped source and drain based on the difference from the thickness of the gate insulating film 171. The shape of the gate end 172 having the inclination shown in FIG. This SiO 2 An active region and a gate electrode formation region which are thickened by the deposition of the film 141 are shown at 143 in FIG.
[0129]
Next, ion implantation 142 of n-type impurities is performed. After this ion implantation 142, for example, by thermal diffusion annealing that also serves as activation at 900 ° C. for 30 seconds by RTA, the implanted impurities are formed to a region facing the gate end 172 having a slope shown in FIG. The second source diffusion layer 152a and the second drain diffusion layer 152b shown in FIG. 9B are formed by diffusion. By performing ion implantation 142 on the surface having the inclination of the selective growth epitaxial region 133, the first source diffusion layer 151a and the first drain having the inclination due to the epitaxial layer facets are simultaneously formed on the surface having the inclination of the epitaxial region 133. A diffusion layer 151b is formed. The conditions for the ion implantation 142 may be the same as in the first embodiment, for example.
[0130]
Next, after depositing polysilicon on the entire surface of the device, CMP 153 is used to remove the upper-layer polysilicon using the dummy gate 132 as a stopper to form a polysilicon film 154 exposing the upper end of the dummy gate 132. The material deposited on the entire surface of the device is not limited to polysilicon, but can be variously changed depending on the material of the dummy gate 132 such as TEOS.
[0131]
Next, as shown in FIG. 10B, the dummy gate 132 is removed using hot phosphoric acid to form a recess 161 corresponding to the gate electrode portion. The recess 161 is a region where the gate insulating film 171 and the gate electrode 68 are embedded.
[0132]
Prior to the formation of the gate electrode 68, the V film is selectively formed in the concave portion using the polysilicon film 154 and the photoresist as a mask if necessary. th Channel ion implantation 162 is performed for control. By performing channel ion implantation 162 at this stage, the ion implantation layer 163 can be selectively formed in the channel region. By this method, the impurity concentration in the region where the second source diffusion layer 152a and the second drain diffusion layer 152b are formed can be reduced as compared with the case where non-selective channel ion implantation is used. The junction leakage current of the source and drain can be reduced, and the junction capacitance can be reduced.
[0133]
Next, SiO formed on the bottom and side walls of the recess 161. 2 Films 131 and 141 are removed. The recessed portion 161 after the removal has a tapered portion having the same inclination as the side surface of the second source diffusion layer 152a and the second drain diffusion layer 152b between the bottom surface and the side wall. That is, SiO formed on the side wall of the recess 161 2 The side wall of the recess 161 is widened by the thickness of the film 141, but SiO 2 2 Since the film 141 is formed on the inclined side surface of the epitaxial region 133, SiO 2 This is because the film 141 is removed and a part of the inclined epitaxial region 133 is exposed.
[0134]
Next, as shown in FIG. 11B, a gate insulating film 171 is formed in the recess 161 by, for example, thermal oxidation. Note that as the gate insulating film 171, CVD-SiO 2 Film, CVD-SiON film, CVD-Si Three N Four A laminated film including a film may be used. This gate insulating film 171 is made of SiO. 2 Thinner than the film 141, for example, 3.5 nm of SiO 2 It is formed by a film. Thus, SiO 2 By forming the gate insulating film 171 thinner than the film 141, the boundary surface between the gate insulating film 171 and the first source diffusion layer 151a and the boundary surface between the gate insulating film 171 and the first drain diffusion layer 151b are changed into the region 151a. And a gate end 172 having the same inclination as the side surface of 151b.
[0135]
Next, in order to form the gate electrode 68, a conductive film is deposited on the entire surface so as to fill the recess 161, and then the conductive film outside the recess 161 is polished and removed by CMP. The first source diffusion layer 151a and the first drain diffusion layer 151b facing the gate end portion 172 having the slopes at both ends of the gate electrode 68 are portions that operate as a storage layer. A metal film can be used as the conductive film for forming the gate electrode 68. This is because the gate electrode 68 is not affected by the high temperature process because the high temperature annealing process for activating the source and drain is finished. Specifically, for example, a laminated structure of TiN and Al is used.
[0136]
Next, as shown in FIG. 12B, the polysilicon film 154 is removed by the CDE method to form an interlayer insulating film 69 on the entire surface. In order to remove the polysilicon film 154 by the CDE method, a cap of an insulating film layer is used on the gate electrode 68 according to the type of the conductive film used for the gate electrode 68, and then the CMP method or the like is used. This is performed after removing the insulating film on the polysilicon film 154 and exposing the surface. The process after the formation of the interlayer insulating film 69 is the same as that in the first embodiment.
[0137]
In the recessed transistor formed by the above steps, the surface corresponding to the substrate surface 66 in the first embodiment is the epitaxial layer surface 134. The channel surface 65 of the concave transistor structure is the surface of the silicon substrate 61, which is different from the case where the surface of the silicon substrate 61 etched by the RIE method is used as the channel surface 65 in the first embodiment.
[0138]
In the above process, a selective epitaxial region 133 for the source and drain having an inclination of about 50 degrees with respect to the surface of the silicon substrate 61 using the (111) plane by solid phase growth using a dummy gate 132 made of a nitride film. However, instead of this, for example, a (311) plane having a gentler inclination of about 30 degrees can be used. In this case, SiO 2 A selective epitaxial region is formed by vapor phase growth using a dummy gate formed of a laminated film of 50 nm film and 50 nm nitride film.
[0139]
According to the present embodiment, since the positions of the source and the drain that are inclined in a self-aligned manner with the gate position determined by lithography at the time of manufacturing the dummy gate 132 are determined, Compared to the fact that a separate lithography process is required when forming the gate electrode, there are fewer factors causing variations in channel length.
[0140]
Further, the channel surface 65 is not exposed to the etching by the RIE method, and the surface of the silicon substrate 61 is not damaged during the etching. By using the selective epitaxial region 133, the advantage of suppressing the short channel effect of the concave transistor can be utilized while using the same high-quality silicon surface as that of the planar transistor. By obliquely growing the surface of the portion adjacent to the gate electrode 68 of the epitaxial region 133, the first source diffusion layer 151a and the first drain diffusion layer 151b having an inclination can be formed.
[0141]
In this embodiment, the ion implantation layer 163 for controlling the threshold voltage can be selectively formed in the channel portion under the gate electrode 68. In order to realize the selective epitaxial layer used in this embodiment, in order to selectively grow a silicon layer excluding the region where the gate electrode 68 is provided, Si Three N Four A dummy gate 132 using is used. That is, after manufacturing other parts of the transistor using the dummy gate 132, the dummy gate 132 is removed, and the gate electrode 68 is embedded (damascene gate) using a so-called damascene process (inlaid process). By using this damascene gate process, ions can be selectively implanted only into the channel portion.
[0142]
(Fifth embodiment)
13 to 14 are diagrams for explaining a concave MIS transistor according to a fifth embodiment of the present invention. The present embodiment relates to a manufacturing method for realizing a structure having the characteristics of the first concave MIS transistor structure of the present invention shown in FIG. This embodiment is the same as the fourth embodiment up to the middle of the manufacturing process, and a detailed description of the parts common to the fourth embodiment is omitted.
[0143]
In the fourth embodiment, contact holes 73 a and 73 b to the second source diffusion layer 152 a and the second drain diffusion layer 152 b are formed on the epitaxial region 133 apart from the gate electrode 68. In order to prevent a short circuit between the gate and the wiring, the gate electrode 68 and the wirings 70a and 70b are formed when the contact holes 73a and 73b are formed in the interlayer insulating film 69 by lithography and RIE etching. This is because it is necessary to achieve separation of these, and this is realized by taking a sufficient distance. On the other hand, in order to realize miniaturization of the integrated circuit, it is desirable to reduce the distance between the contact holes 73a and 73b and the gate electrode 68.
[0144]
Therefore, in this embodiment, a side wall 191 made of a nitride film is formed on the first source diffusion layer 151a and the first drain diffusion layer 151b having an inclination so that the distance between the gate and the contact on the mask is substantially reduced. As zero, contacts are formed in a self-aligned manner, and the area for transistor production is greatly reduced.
[0145]
A method for manufacturing the concave MIS transistor of this embodiment will be described with reference to FIGS. The steps from forming the second source diffusion layer 152a and the second drain diffusion layer 152b using the dummy gate 132, removing the dummy gate 132 to form the recess 161, and forming the ion implantation layer 163 are as follows. This is the same as the process of FIGS. 7 to 10 shown in the fourth embodiment.
[0146]
After the steps shown in FIGS. 10 to 15, as shown in FIGS. 13 to 18, SiO formed on the bottom and side walls of the recess 161. 2 The films 131 and 141 are removed, and a gate insulating film 171 is formed in the recess 161 by, for example, thermal oxidation. This gate insulating film 171 is made of SiO. 2 By forming it thinner than the film 141, a gate end 172 having an inclination with respect to the surface of the silicon substrate 61 is formed.
[0147]
Next, a conductive film is deposited on the entire surface so as to fill the recess 161, and then the conductive film outside the recess is polished and removed by CMP. Thereafter, the upper portion of the gate electrode 68 in the recess 161 is etched by 10 nm by the RIE method, and then a nitride film is deposited. After the nitride film is deposited, the nitride film outside the recess 161 is polished and removed by a CMP method to form a protective nitride film 192 for the gate electrode 68.
[0148]
Next, after the surface of the polysilicon film 154 is cleaned by, for example, hydrofluoric acid treatment, the polysilicon film 154 is removed by the CDE method. Next, Si having a thickness of about 20 nm is formed on the entire surface. Three N Four After depositing the film, this Si Three N Four The entire surface of the film is etched by the RIE method, so as to leave a sidewall on the first source diffusion layer 151a and the first drain diffusion layer 151b having an inclination. Three N Four A side wall 191 is formed. This Si Three N Four An active region and a gate electrode formation region which are thickened by the deposition of the film are shown at 194 in FIG.
[0149]
Next, as shown in FIG. 14B, an interlayer insulating film 69 is deposited on the entire surface, and contact holes 201a and 201b are formed by RIE. The mask pattern of the contact holes 201a and 201b of this embodiment is designed such that the distance from the gate electrode 68 is zero or overlaps the gate electrode 68, and RIE for opening contacts on the source and drain is performed. Even if this is performed in the vicinity of the gate electrode 68, the gate electrode 68 is protected by the side wall 191 and the protective nitride film 192, so that the wiring 203 a and 203 b are embedded in the contact hole without short-circuiting the gate electrode 68. Can be formed.
[0150]
In the present embodiment, Si is formed on the outer surface of the gate end portion 172 having the same inclination as the first source diffusion layer 151a and the first drain diffusion layer 151b. Three N Four By forming the side wall 191, the parasitic capacitance can be suppressed by increasing the distance between the gate electrode 68 and the source and drain while suppressing the short channel effect in the concave channel MIS transistor. Further, by forming the wirings 203a and 203b using self-aligned contacts, the transistor area can be reduced, and the distance between the channel and the wirings 203a and 203b can be minimized to suppress parasitic resistance.
[0151]
Further, in this embodiment, the insulation of the first source diffusion layer 151a and the first drain diffusion layer 151b connecting the storage layers of the first source diffusion layer 151a and the first drain diffusion layer 151b and the wirings 203a and 203b. Since the surfaces of the films 171 and 191 are linearly formed, the current path can be shortened to further reduce the parasitic resistance, and the transistor can be further reduced in resistance.
[0152]
(Sixth embodiment)
15-19 is a figure for demonstrating 6th Embodiment of this invention. In the present embodiment, the recess is formed by using RIE as in the first embodiment, but the method of forming the source and drain is different.
[0153]
Specifically, in the first embodiment, the source diffusion layer 71a and the drain diffusion layer 71b having an inclination are formed after the formation of the concave portion, the gate insulating film 67, and the gate electrode 68, whereas in the present embodiment, the concave portion is formed. Impurity regions to be the source and drain are formed on the surface of the silicon substrate 61 before formation, and the surface of the silicon substrate 61 is etched with inclined side surfaces, thereby forming inclined source diffusion layers and drain regions. It is that you are. Hereinafter, the manufacturing process of this embodiment will be described with reference to FIGS.
[0154]
First, as shown in FIG. 15B, an element isolation insulating film 64 is formed on the periphery of the active region 62 on a p-type silicon substrate 61. For example, a trench having a depth of about 0.35 μm is dug using a reactive ion etching (RIE) method, and SiO 2 is etched into the trench. 2 By embedding the insulating film 64, etc., element isolation called STI (Shallow Trench Isolation) is performed. Next, a sacrificial SiO film having a thickness of about 10 nm is formed on the active region 62. 2 After the film 211 is formed by, for example, a thermal oxidation method, a channel ion implantation layer for adjusting a threshold voltage is formed (not shown), and then a concentration of 3 × 10 10 serving as source and drain impurity regions. 20 cm -3 A moderate impurity region 212 is formed using ion implantation.
[0155]
In the case of a so-called CMOS (Complementary Metal-Oxide-Semiconductor) structure in which n-channel and p-channel MIS transistors are formed on the same substrate, a p-type well is formed in the n-channel transistor formation region of the silicon substrate 61. 212 is formed as an n-type impurity region. Hereinafter, in this embodiment, the case of an n-channel transistor will be described. In the case of a p-channel transistor, the impurity type may be reversed. Further, the impurity region 212 to be the source and drain may be formed by a high concentration epitaxial region grown on the entire surface of the active region 62.
[0156]
Next, as shown in FIG. 16B, for example, a mask having the same photoresist pattern as that for forming a gate electrode, for example, as in the case of forming the recess 92 in FIG. 2B of the first embodiment. A recess 221 is formed by using RIE, and RIE damage recovery processing is performed. Following this recovery process, the impurity region 212 is activated by a heat treatment at, for example, about 800 ° C. for about 5 minutes to form the source diffusion layer 212a and the drain diffusion layer 212b.
[0157]
The vicinity of the region where the recess 221 is formed is a region for forming the channel portion of the recessed transistor and the source and drain having an inclination in the active region 62, and is obtained by selectively etching the silicon substrate 61 with an inclination. It is done. The angle between the tapered side surface of the recess 221 and the surface of the silicon substrate 61 is such that the parasitic capacitance between the gate electrode 68 and the source diffusion layer 212a and the gate electrode 68 and the drain diffusion layer 212b to be formed later in FIG. The RIE condition is such that it is large with respect to the vertical plane and small with respect to the horizontal plane so that the effective resistance of the source diffusion layer 212a and drain diffusion layer 212b adjacent to the gate electrode 68 is sufficiently given to reduce the parasitic resistance. For example, it is formed at an angle of 60 ° with respect to a plane perpendicular to the surface of the silicon substrate 61.
[0158]
When forming the concave portion 221 using the mask of the gate electrode 68, the concave portion is also formed in the portion of the element isolation insulating film 64 connected from the gate to the contact, leaving a sufficient thickness of the element isolation insulating film 64. Also good.
[0159]
Next, after forming the gate insulating film 67 as shown in FIG. 17B, the edge of the gate electrode 68 is included inside the recess 221, located outside the region of the channel surface 65, and The gate electrode 68 and the source diffusion layer 212 a and the gate electrode 68 and the drain region 212 b are formed so as to have a region that overlaps with the gate insulating film 67 therebetween.
[0160]
As the gate insulating film 67, a stacked film including an insulating film formed by a low temperature process is used. That is, the gate insulating film 67 is formed by heat treatment, but since the impurity region 212 to be the source and drain is formed before the gate insulating film 67, the junction surface of the impurity region 212 is different from the case of the first embodiment. This is because it is necessary to consider so that the depth does not become deeper than the channel surface 65 toward the silicon substrate 61 side. Specifically, as a material of the gate insulating film 67, for example, CVD-SiO 2 Film, CVD-SiON film, CVD-Si Three N Four A laminated film including a film is used.
[0161]
The gate electrode 68 is patterned so as to have a region that overlaps with the impurity region 212 through the gate insulating film 67 because the source electrode is located shallower than the depth of the channel surface 65 and continues to the channel inversion layer during operation. And a region for forming a drain accumulation layer. If the recess is also formed in the element isolation insulating film 64 when the recess 221 is formed, the thickness of the gate wiring on the element isolation insulating film 64 can be increased by the thickness of the recess. Can be reduced.
[0162]
Next, as shown in FIGS. 2 After the interlayer insulating film 69 is formed, contact holes 73a and 73b are formed by using the same process as described in the first embodiment to form wirings 70a and 70b, and a passivation film (not shown) is formed on the entire surface. By forming, the transistor partial manufacturing process of the fourth embodiment is completed. The source diffusion layer and the drain region having an inclination in the fourth embodiment are shown by oblique lines in FIG.
[0163]
FIG. 20 is a diagram showing an overall configuration of a concave MIS transistor according to a modification of the present embodiment. FIG. 20 (a) is a top view, and FIGS. 20 (b) to 20 (d) are respectively in FIG. 20 (a). 25A-25A ′ sectional view, 25B-25B ′ sectional view, and 25C-25C ′ sectional view are shown. In the following modified example, the same reference numerals are given to portions common to the first embodiment, and detailed description thereof is omitted.
[0164]
In the case of the first embodiment shown in FIG. 1B, not only the interface between the first source diffusion layer 71a and the first drain diffusion layer 71b adjacent to the gate electrode 68 but the gate insulating film 67, The boundary surface with the silicon substrate 61 is also inclined with respect to the surface of the silicon substrate 61. In this modification, as shown in FIG. 20B, the main surface of the source diffusion layer 111a and the drain diffusion layer 111b. Only the boundary surface portion with the gate insulating film 67 serving as a simple current path is formed with an inclination with respect to the surface of the silicon substrate 61, and the boundary surface portion with the silicon substrate 61 has a junction depth of zero or minus with respect to the channel surface 65. And formed in parallel with the surface of the silicon substrate 61.
[0165]
The main current path during the transistor operation in this modification is the same as that in the present embodiment. Further, the source diffusion layer 111a and the drain diffusion layer 111b are formed above the channel surface 65, and the source diffusion layer 111a and the drain diffusion layer 111b are formed with an inclination with respect to the surface of the silicon substrate 61. As in the present embodiment, the short channel effect can be suppressed and the parasitic resistance and parasitic capacitance can be reduced.
[0166]
This modification differs from the present embodiment in that the source and drain are formed after the formation of the gate electrode 68, as in the first embodiment. Even in this case, substantially the same structure as that of the present embodiment can be realized.
[0167]
(Seventh embodiment)
FIG. 21 is a cross-sectional view showing the overall configuration of a semiconductor device according to the seventh embodiment of the present invention. This embodiment is a modification of the first embodiment, and a description of parts common to the first embodiment is omitted. In the present embodiment, the radius of curvature of the current path is increased by using a curve so that the current path is linear when viewed locally, and the current path is suppressed while suppressing the short channel effect. Form short.
[0168]
The manufacturing process of the semiconductor device according to this embodiment is almost the same as that of the first embodiment. In the present embodiment, when the recesses 92 of FIGS. 2 to 7 of the first embodiment are formed using RIE, the side surface or the channel region having the inclination of the recesses 92 is defined by adjusting the etching conditions. Is formed with roundness.
[0169]
The source and drain are formed not only with the first source diffusion layer 261a and the first drain diffusion layer 261b, but also with a second source diffusion layer 262a and a second drain diffusion layer 262b in order to compensate for the thickness. To do.
[0170]
After forming the gate insulating film 263, the edge of the gate electrode 68 is included in the recess 221, located outside the channel region, and the gate electrode 68 is interposed between the first source through the gate insulating film 263. The diffusion layer 261a and the first drain diffusion layer 261b are formed so as to overlap with each other.
[0171]
This embodiment differs from the conventional concave transistor in the following points. The current path is rounder and shorter than the conventional concave transistor shown in FIG. This is because the etching is performed so that the channel region, the source diffusion layer 261a, and the drain diffusion layer 261b are rounded. Further, by forming the gate insulating film 263 formed on the side surface of the gate electrode 68 with a round shape, the effective thickness of the insulating film between the gate electrode 68 and the source and drain is increased, thereby suppressing parasitic capacitance. ing. Further, the source and drain accumulation layers connected to the channel region are positioned with an inclination on the upper surface of the channel region, thereby suppressing the short channel effect. Accordingly, since the current path from the channel to the storage layer is also formed with a round shape, a reduction in the amount of current can be prevented.
[0172]
In the first embodiment, the carrier distribution is made close to a straight line by changing the angle from an acute angle to an obtuse angle with respect to the corner portions at both ends of the channel surface 65 of the normal concave transistor in FIG. In this embodiment, the obtuse corner portions at both ends of the channel region are made to have a gentle structure without further corners, thereby improving the breakdown voltage of the gate insulating film 263 and preventing the mobility of electrons in the corner portions from deteriorating. Realized.
[0173]
Further, the radius of curvature can be made larger than that of the conventional concave transistor in which the corner portion of the channel is gently formed, and the breakdown voltage can be improved or the mobility deterioration can be prevented more effectively.
[0174]
Further, the advantage of uniformly forming the radius of curvature of the channel region formed inside the recess is the same as that of the second embodiment.
[0175]
(Eighth embodiment)
FIG. 22 is a cross-sectional view showing the overall configuration of the semiconductor device according to the eighth embodiment of the present invention. Description of the parts common to the sixth embodiment in this embodiment is omitted.
[0176]
In this embodiment, the present invention is applied to a so-called buried channel transistor in a planar transistor. The buried channel transistor can be adjusted to a desired value according to the work function of the gate electrode 68 by providing regions of the same type as the source and drain in the channel portion, and is particularly effective in a so-called CMOS circuit. .
[0177]
For example, in the case of an n-type transistor, a gate insulating film 67 and a gate electrode 68 are formed on a p-type silicon substrate 61 via an n-type buried channel 271. In the present embodiment, when the recess 221 in FIG. 16B of the fourth embodiment is formed using RIE, the etching conditions are adjusted so that the etching depth is shallower than the bonding surface of the impurity region 212. The buried channel 271 is formed.
[0178]
In the buried channel type concave transistor of FIG. 22, the edge of the gate electrode 68 is formed so as to be included in the concave portion 221 and on the side surface having the inclination of the concave portion 221. Since it is a buried channel type, the polarity of the source and drain and the polarity of the buried channel 271 are the same. Accordingly, in the case where the conventional recessed transistor of FIG. 29B is used as a buried channel, the inversion layer 47 on the side surface of the recess and the inversion layer 46 on the bottom surface of the recess are respectively replaced with storage layers (not shown).
[0179]
Corresponding current paths are the same as those indicated by arrows in the conventional concave transistor structure of FIG. The current path in this embodiment of FIG. 22 is shown with a dashed-dotted line.
[0180]
The structure of FIG. 22 is superior to the other embodiments in that the threshold voltage can be adjusted as described above. In the structure of another embodiment in which the corner portions at both ends of the channel surface 65 that are not buried channels are exposed, when a channel inversion layer is formed in this corner portion, an electric field diverges in the corner portion, thereby causing a carrier density of the corner portion. Becomes lower than the carrier density at the channel surface 65 and causes a decrease in the current value.
[0181]
In the recessed transistor of FIG. 22, by covering the sharp corners of the corner portions at both ends of the channel surface 65 with the impurity regions without exposing them, the carrier density in the corner portions is increased, and the current caused by the low carrier density in the corner portions. The decline is suppressed.
[0182]
The sixth, seventh, and eighth embodiments are superior to the first embodiment in that the source diffusion layer and the drain diffusion layer are formed using the gate electrode 68 formed in the recess 92 as a mask in the first embodiment. When the misalignment between the concave portion 92 and the gate electrode 68 occurs due to the ion implantation 93 for forming, the second source diffusion layer 72a and the second drain diffusion layer 72b are formed asymmetrically. In the sixth to eighth embodiments, the recess 161 is formed by etching the impurity region formed symmetrically in advance, so that the misalignment between the gate electrode 68 and the impurity region does not occur, and the lower ends of the source and drain are always symmetrical. It is to be formed.
[0183]
The sixth, seventh, and eighth embodiments are superior to the fourth embodiment in that the fourth embodiment uses a dummy gate to form the selective epitaxial region 133 and the process is complicated. On the other hand, in this embodiment, the number of process steps is small and the process is short, so that the cost can be reduced.
[0184]
(Ninth embodiment)
23 to 24 are views for explaining a semiconductor device according to a ninth embodiment of the present invention. This embodiment implements the structure shown in FIGS. 15 to 20 of the sixth embodiment.
[0185]
The semiconductor device according to this embodiment is different from the second embodiment in that the first embodiment has a first source having an inclination due to the epitaxial layer facet after the formation of the selective epitaxial region 133, the gate insulating film 67, and the gate electrode 68. In contrast to the formation of the diffusion layer 151a and the first drain diffusion layer 151b, in this embodiment, the epitaxial regions 281a and 281b are formed as impurity regions serving as the source and drain by containing high-concentration impurities. In other words, the boundary surface with the gate insulating film 171 has an inclination, and the junction surface with the silicon substrate 61 forms a source and a drain with an inclination parallel to the surface of the silicon substrate 61. The source and drain are formed by the same manufacturing process as in the fourth embodiment.
[0186]
Hereinafter, the manufacturing process of the present embodiment will be described in comparison with the second embodiment. First, as shown in FIG. 23A, selective epitaxial layer impurity regions 281a and 281b are formed on the silicon substrate 61 on which the dummy gate 132 is formed. The region where the selective epitaxial layer impurity regions 281a and 281b are formed is the same region as the region where the selective epitaxial region 133 is formed in FIG.
[0187]
In this embodiment, when depositing amorphous silicon on the entire surface, hydrogen gas and SiH Four In addition to gas, for example arsine AsH Three Supply 20 sccm of gas. The difference between the selective growth epitaxial region 133 and the selective growth epitaxial layer impurity regions 281a and 281b is that the selective growth epitaxial region 133 is formed as a crystalline silicon layer containing no impurities, whereas the selective growth epitaxial layer impurity region 281a and 281b is a high-concentration impurity under the above conditions, that is, for example, in the case of an n-type concave MOSFET, 3 × 10 20 cm -3 It is formed to include about As.
[0188]
Next, the entire surface of the device is SiO. 2 The film 141 is formed as a protective film (FIG. 23B). This is the same as FIG. 8B, but in this embodiment, ion implantation 142 and activation annealing are not performed. This is because the selective growth epitaxial layer impurity region has already been formed containing a high concentration of impurities, so that it is not necessary to implant impurities. Next, a polysilicon film 154 exposing the upper layer of the dummy gate 132 is formed (FIG. 23C). This is the same as FIG. 11B.
[0189]
The subsequent processes are performed in the same manner as in the second embodiment, and the completed concave transistor is shown in FIGS. 24A is a top view, and FIGS. 24B to 29D are 29A-29A ′, 29B-29B ′, and 29C-29C ′ sectional views of FIG. 24A, respectively. It is.
[0190]
Compared with the second embodiment, this embodiment omits the ion implantation 142 for activation of the source and drain impurity regions and the activation annealing step thereof, thereby simplifying the process. Further, after the impurity regions 281a and 281b for forming the source and drain having an inclination are formed on the silicon substrate 61 in advance, the gate insulating film 171 and the gate end portion 172 having an inclination are formed, so that the gate electrode 68 can be automatically formed outside the channel from the junction position of the source / drain impurity region having an inclination and on the side surface of the recess including the source and drain having an inclination. The insulating film 171 is automatically formed with a region overlapping with the source and drain with the insulating film 171 interposed therebetween.
[0191]
Similar to the sixth embodiment, the present embodiment has impurity regions 221a and 221b that serve as a source and a drain whose joint surfaces are inclined parallel to the surface of the silicon substrate 61. The advantage of this embodiment compared to the sixth embodiment is that the channel is formed because the selective epitaxial growth using the nitride film on the channel portion as a mask without using RIE to form the recess. The substrate surface to be processed is not damaged during etching, and the substrate surface protected by the nitride film can be used for the channel.
[0192]
In addition, since the source and drain are formed by the impurity regions 281a and 281b having a tilt in a self-aligned manner using selective epitaxial growth, a lithography process is performed at the time of forming the recess and the gate electrode 68 inside the recess in the sixth embodiment, respectively. Compared to the need for high-precision alignment, there are fewer factors causing structural variations.
[0193]
Furthermore, in the sixth embodiment, after the element isolation insulating film 64 of FIG. 15B is formed, the recess 221 is etched so that the side surface of the element isolation insulating film 64 is exposed as shown in FIG. However, depending on the shape of the side surface of the element isolation insulating film 64 such as the inclination of the side surface, the side surface is not exposed and the silicon portion remains, which may affect the element characteristics. In the case of the present embodiment, the source and drain are formed as convex portions using an epitaxial layer selectively formed on the substrate, as shown in FIG. Further, since the channel portion and the inclined source and drain are formed as the concave portion together with the formation of the concave portion, the side surface of the element isolation insulating film 64 in FIG. 23B is not exposed, and this side surface in the sixth embodiment is not exposed. The influence of the shape on the element characteristics can be avoided.
[0194]
(10th Embodiment)
FIG. 25 is a cross-sectional view showing the overall configuration of the semiconductor device according to the tenth embodiment of the present invention. The present embodiment shows a case where the semiconductor device manufacturing method according to the ninth embodiment is applied to a buried channel type concave transistor. Description of parts common to the ninth embodiment will be omitted, and differences from the ninth embodiment will be described below.
[0195]
In the buried channel type concave transistor according to this embodiment, the buried channel impurity region 301 is also formed on the surface of the active region. In other words, an impurity region 301 having the same polarity as the source and drain is formed on the bottom surface of the gate electrode 68 on the surface of the silicon substrate 61 facing the gate insulating film 171. This buried channel type concave transistor is particularly effective in the CMOS circuit as in the eighth embodiment.
[0196]
This embodiment is manufactured by the same manufacturing method as that of the ninth embodiment, but the SiO in FIG. 2 Before the film 131 is formed, a buried channel impurity region 301 is formed on the active region 62 by, for example, ion implantation, and then a process similar to the process described in the ninth embodiment is performed. As a result, the epitaxial regions 281a and 281b serving as the source and drain can be connected by the region 301 having the same polarity.
[0197]
As described above, according to the present embodiment, it is possible to realize a structure having both the advantages shown in the eighth embodiment and the ninth embodiment.
[0198]
In the above-described embodiment, it is a matter of course that the effects of the present invention can be obtained even if either the source or the drain has the structure shown in the present invention and the other has the conventional structure. .
[0199]
【The invention's effect】
As described above, according to the present invention, the gate electrode and the source and drain have regions facing each other. Therefore, since these opposing regions operate as a storage layer, the spreading resistance is removed, and the channel and the contact are connected by a low-resistivity and short-length current path, so that the parasitic resistance can be reduced.
[0200]
Further, the region operated as the storage layer is formed at a position shallower than the channel region, and it is not necessary to form the region operated as the storage layer in the same plane as the channel region, so that the short channel effect can be suppressed. .
[0201]
In addition, since the source and drain on the side surface of the gate electrode are formed with an inclination with respect to the surface of the semiconductor layer, the distance between the gate electrode and the source and the gate electrode and the drain is increased, and parasitic capacitance can be reduced.
[Brief description of the drawings]
FIG. 1 is a diagram showing an overall configuration of a semiconductor device according to a first embodiment of the present invention.
FIG. 2 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
3 is a view showing a manufacturing process of the semiconductor device according to the embodiment; FIG.
FIG. 4 is an exemplary cross-sectional view showing the main part of the semiconductor device according to the embodiment;
FIG. 5 is a diagram showing an overall configuration of a semiconductor device according to a second embodiment of the present invention.
FIG. 6 is a cross-sectional view showing an overall configuration of a semiconductor device according to a third embodiment of the present invention.
FIG. 7 is a view showing a manufacturing process of the semiconductor device according to the fourth embodiment of the invention.
FIG. 8 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 9 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 10 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 11 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 12 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 13 is a view showing a manufacturing process of the semiconductor device according to the fifth embodiment of the invention.
FIG. 14 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 15 is a view showing a manufacturing process of the semiconductor device according to the sixth embodiment of the invention.
FIG. 16 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 17 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 18 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 19 is a view showing a manufacturing process of the semiconductor device according to the embodiment;
FIG. 20 is a diagram showing an overall configuration of a semiconductor device according to a modification of the embodiment.
FIG. 21 is a diagram showing an overall configuration of a semiconductor device according to a seventh embodiment of the present invention.
FIG. 22 is a diagram showing an overall configuration of a semiconductor device according to an eighth embodiment of the present invention.
FIG. 23 is a diagram showing an overall configuration of a semiconductor device according to a ninth embodiment of the present invention.
FIG. 24 is a view showing a manufacturing process of the semiconductor device in the embodiment;
FIG. 25 is a diagram showing an overall configuration of a semiconductor device according to a tenth embodiment of the present invention.
FIG. 26 is a cross-sectional view showing the overall structure of a conventional planar transistor.
FIG. 27 is a diagram showing an electron concentration distribution during operation of a conventional planar transistor.
FIG. 28 is a diagram showing a current density distribution of a conventional planar transistor.
FIG. 29 is a diagram showing an overall configuration of a conventional concave transistor.
FIG. 30 is a cross-sectional view illustrating the entire structure of a conventional transistor including a source region and a drain region having an oblique substrate surface.
[Explanation of symbols]
61 ... Silicon substrate
62 ... Active region
63: Element isolation region
64: Element isolation insulating film
65: Channel surface
66 ... substrate surface
67, 105, 171, 263 ... Gate insulating film
68 ... Gate electrode
69 ... interlayer insulating film
70a-70c, 110a, 110b, 203a, 203b ... wiring
71a, 71b, 106a, 106b, 151a, 151b, 261a, 261b ... first source and drain diffusion layers
72a, 72b, 108a, 108b, 152a, 152b, 262a, 262b ... second source and drain diffusion layers
73a to 73c, 210a, 201b ... contact holes
91,103,131,141 ... SiO 2 film
92,102,104,161,221 ... recess
93, 142, 162 ... ion implantation
101 ... Si Three N Four film
107: Side wall nitride film
109, 192 ... Protective nitride film
94a, 94b, 212, 301 ... impurity regions
132 ... dummy gate
133, 281a, 281b ... epitaxial region
134 ... epitaxial layer surface
171 ... Insulating film
172 ... Gate edge
153 ... CMP
154 ... polysilicon film
163 ... Ion implantation layer
191 ... sidewall
111a, 111b, 212a, 212b ... source and drain diffusion layers
271: Embedded channel

Claims (7)

半導体層の表面に平行な底面と直角よりも緩やかな角度で前記底面と接続する側壁を持つ凹部を有する半導体層と、前記凹部内表面に形成された絶縁膜と、前記絶縁膜上に形成されエッジが前記凹部の側壁上にあるゲート電極と、前記ゲート電極と前記絶縁膜を挟んで相対し且つ底部が前記凹部の底面であるチャネル面よりも上に位置するように前記凹部の側壁に沿って前記半導体層中に形成された第1のソース・ドレイン領域と、前記ゲート電極に対して前記第1のソース・ドレイン領域よりも外側に形成され、且つ前記第1のソース・ドレイン領域に接触するように前記半導体層の表面に形成された第2のソース・ドレイン領域と、前記第2のソース・ドレイン領域に接続する配線コンタクトとを具備してなり、
前記第1のソース・ドレイン領域の少なくとも一方と前記ゲート電極とが前記絶縁膜を挟んで相対する領域が蓄積層として動作するものであることを特徴とするMIS型半導体装置。
A semiconductor layer having a recess having a side wall connected to the bottom surface at a gentler angle than a right angle with a bottom surface parallel to the surface of the semiconductor layer, an insulating film formed on the inner surface of the recess, and formed on the insulating film Along the side wall of the recess, the edge is on the side wall of the recess, the gate electrode is opposite to the insulating film, and the bottom is above the channel surface that is the bottom surface of the recess. A first source / drain region formed in the semiconductor layer, and formed outside the first source / drain region with respect to the gate electrode and in contact with the first source / drain region. A second source / drain region formed on the surface of the semiconductor layer, and a wiring contact connected to the second source / drain region,
A MIS type semiconductor device, wherein a region where at least one of the first source / drain regions and the gate electrode face each other with the insulating film interposed therebetween operates as a storage layer.
前記第1のソース・ドレイン領域と前記ゲート電極との間に形成された絶縁膜のうち、前記ゲート電極及び前記第1のソース・ドレイン領域が相対する領域以外の領域は、該相対する領域よりも厚く形成されてなることを特徴とする請求項1に記載のMIS型半導体装置。  Of the insulating film formed between the first source / drain region and the gate electrode, a region other than the region where the gate electrode and the first source / drain region are opposed to each other is from the opposite region. The MIS type semiconductor device according to claim 1, wherein the MIS type semiconductor device is formed thick. 前記第1のソース・ドレイン領域は、該ソース・ドレイン領域の間に形成されるチャネル領域と同一導電型の材料により形成されてなることを特徴とする請求項1に記載のMIS型半導体装置。  2. The MIS type semiconductor device according to claim 1, wherein the first source / drain region is formed of a material having the same conductivity type as a channel region formed between the source / drain regions. 前記第1のソース・ドレイン領域の底面は、チャネル長方向に沿う方向で前記半導体層の表面に対して傾斜し、且つゲート側で低く、第2のソース・ドレイン領域側で高くなっていることを特徴とする請求項1記載のMIS型半導体装置。The bottom surface of the first source / drain region is inclined with respect to the surface of the semiconductor layer in a direction along the channel length direction, is low on the gate side, and is high on the second source / drain region side. The MIS type semiconductor device according to claim 1. 前記第1のソース・ドレイン領域の底面は、チャネル長方向に双方向で湾曲していることを特徴とする請求項1記載のMIS型半導体装置。  2. The MIS type semiconductor device according to claim 1, wherein the bottom surface of the first source / drain region is curved in both directions in the channel length direction. 第1の半導体層上に第1の絶縁膜及びダミーゲートを選択的に積層形成する工程と、
このダミーゲートをマスクとして半導体材料を選択的に固相成長させることにより、直角よりも緩やかな側壁をもつ第2の半導体層を該ダミーゲートを挟んで形成する工程と、
前記第2の半導体層及び前記ダミーゲートの表面を覆うように第2の絶縁膜を形成する工程と、
前記第2の絶縁膜上に充填材を堆積した後、この充填材の表面を平坦化除去することにより前記ダミーゲートを露出させる工程と、
前記ダミーゲート及び第1の絶縁膜とともに該ダミーゲートの側壁に形成された第2の絶縁膜を除去することにより、底面と側壁の間に第2の半導体層の側面と同じ傾きのテーパ部を持つ凹部を形成する工程と、
前記凹部の底面及び側壁上に絶縁膜を形成する工程と、
前記絶縁膜の形成された凹部にゲート電極とすべき導電性材料をダマシンプロセスを用いて埋め込み形成する工程と、
を含むことを特徴とするMIS型半導体装置の製造方法。
Selectively stacking and forming a first insulating film and a dummy gate on the first semiconductor layer;
Forming a second semiconductor layer having sidewalls gentler than a right angle by sandwiching the dummy gate by selectively solid-phase-growing a semiconductor material using the dummy gate as a mask;
Forming a second insulating film so as to cover the surfaces of the second semiconductor layer and the dummy gate;
After depositing a filler on the second insulating film, exposing the dummy gate by planarizing and removing the surface of the filler;
By removing the second insulating film formed on the side wall of the dummy gate together with the dummy gate and the first insulating film, a tapered portion having the same inclination as the side surface of the second semiconductor layer is formed between the bottom surface and the side wall. A step of forming a recess having,
Forming an insulating film on the bottom and side walls of the recess;
A step of embedding and forming a conductive material to be a gate electrode in the recess in which the insulating film is formed using a damascene process;
A method of manufacturing a MIS type semiconductor device, comprising:
前記固相成長はエピタキシャル成長であることを特徴とする請求項6に記載のMIS型半導体装置の製造方法。  The method of manufacturing a MIS type semiconductor device according to claim 6, wherein the solid phase growth is epitaxial growth.
JP18073899A 1998-06-25 1999-06-25 MIS type semiconductor device and manufacturing method thereof Expired - Fee Related JP4047492B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP18073899A JP4047492B2 (en) 1998-06-25 1999-06-25 MIS type semiconductor device and manufacturing method thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP17865498 1998-06-25
JP10-178654 1998-06-25
JP18073899A JP4047492B2 (en) 1998-06-25 1999-06-25 MIS type semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2000082813A JP2000082813A (en) 2000-03-21
JP4047492B2 true JP4047492B2 (en) 2008-02-13

Family

ID=26498762

Family Applications (1)

Application Number Title Priority Date Filing Date
JP18073899A Expired - Fee Related JP4047492B2 (en) 1998-06-25 1999-06-25 MIS type semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4047492B2 (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100398041B1 (en) * 2000-06-30 2003-09-19 주식회사 하이닉스반도체 Method of forming a epi-channel in a semicondector device
JP4492009B2 (en) * 2001-08-31 2010-06-30 ソニー株式会社 Semiconductor device and manufacturing method thereof
US6570200B1 (en) * 2001-12-12 2003-05-27 Samsung Electronics Co., Ltd. Transistor structure using epitaxial layers and manufacturing method thereof
JP2004087960A (en) * 2002-08-28 2004-03-18 Fujitsu Ltd Manufacturing method of semiconductor device
KR100467024B1 (en) * 2002-11-14 2005-01-24 삼성전자주식회사 Semiconductor device having diffusion barrier layer at source/drain regions and method of forming the same
JP2006222101A (en) 2003-01-10 2006-08-24 Matsushita Electric Ind Co Ltd Method for manufacturing semiconductor device
KR100505113B1 (en) * 2003-04-23 2005-07-29 삼성전자주식회사 Mosfet and method of fabricating the same
JP4567969B2 (en) * 2003-10-28 2010-10-27 東部エレクトロニクス株式会社 Semiconductor device transistor manufacturing method
JP4945900B2 (en) 2005-01-06 2012-06-06 ソニー株式会社 Insulated gate field effect transistor and manufacturing method thereof
JP4770353B2 (en) * 2005-09-20 2011-09-14 ソニー株式会社 Manufacturing method of semiconductor device
JP4774882B2 (en) * 2005-09-20 2011-09-14 ソニー株式会社 Insulated gate field effect transistor and manufacturing method thereof
JP2007311376A (en) * 2006-05-16 2007-11-29 Sony Corp Manufacturing method of semiconductor device
JP4983101B2 (en) * 2006-06-02 2012-07-25 ソニー株式会社 Manufacturing method of semiconductor device
JP4631833B2 (en) 2006-09-04 2011-02-16 ソニー株式会社 Semiconductor device
JP5583077B2 (en) * 2011-06-03 2014-09-03 株式会社東芝 Semiconductor device and manufacturing method thereof
JP6178975B2 (en) * 2013-04-25 2017-08-16 パナソニックIpマネジメント株式会社 Solid-state imaging device

Also Published As

Publication number Publication date
JP2000082813A (en) 2000-03-21

Similar Documents

Publication Publication Date Title
US6465842B2 (en) MIS semiconductor device and method of fabricating the same
US6713790B2 (en) Semiconductor device and method for fabricating the same
US7081395B2 (en) Silicon strain engineering accomplished via use of specific shallow trench isolation fill materials
US5043778A (en) Oxide-isolated source/drain transistor
US7045409B2 (en) Semiconductor device having active regions connected together by interconnect layer and method of manufacture thereof
US5578508A (en) Vertical power MOSFET and process of fabricating the same
US4963502A (en) Method of making oxide-isolated source/drain transistor
JP4047492B2 (en) MIS type semiconductor device and manufacturing method thereof
US7687335B2 (en) Self aligned gate JFET structure and method
US10636883B2 (en) Semiconductor device including a gate trench and a source trench
KR20050085607A (en) Method of manufactoring a trench-gate semiconductor device
KR100526366B1 (en) Semiconductor device and method for manufacturing the same
KR20000006579A (en) Semiconductor device and method for producing the same
JPH07120796B2 (en) MOS field effect transistor and manufacturing method thereof
JP4138806B2 (en) Method for forming a bipolar transistor
KR100243954B1 (en) Method of fabricating a semiconductor structure having mos and bipolar device
JPH118387A (en) Semiconductor device and manufacture thereof
JPH09115923A (en) Semiconductor device and its manufacture
JP2000208762A (en) Insulation gate field effect transistor and its manufacture
KR101118649B1 (en) Bipolar Transistor And Method For Forming The Same
JP4088031B2 (en) Semiconductor device and manufacturing method thereof
JPH02278761A (en) Manufacture of cmos and bipolar transistor using selective epitaxial growth facilitating contraction to less than 0.5 micron
JPH01130542A (en) Semiconductor device having interelement isolation region and manufacture thereof
JP2003046082A (en) Semiconductor device and method of manufacturing the same
JPH0964359A (en) Semiconductor device and its manufacture

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060801

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061002

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070130

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070605

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101130

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101130

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111130

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121130

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees