JP2000082813A - Mis semiconductor device and manufacture thereof - Google Patents

Mis semiconductor device and manufacture thereof

Info

Publication number
JP2000082813A
JP2000082813A JP11180738A JP18073899A JP2000082813A JP 2000082813 A JP2000082813 A JP 2000082813A JP 11180738 A JP11180738 A JP 11180738A JP 18073899 A JP18073899 A JP 18073899A JP 2000082813 A JP2000082813 A JP 2000082813A
Authority
JP
Japan
Prior art keywords
region
gate electrode
insulating film
gate
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11180738A
Other languages
Japanese (ja)
Other versions
JP4047492B2 (en
Inventor
Kazumi Nishinohara
一美 西之原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP18073899A priority Critical patent/JP4047492B2/en
Publication of JP2000082813A publication Critical patent/JP2000082813A/en
Application granted granted Critical
Publication of JP4047492B2 publication Critical patent/JP4047492B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To reduce the parasitic resistance and capacity while suppressing the short channel effect. SOLUTION: A MIS semiconductor device is provided with a silicon substrate 61 having a recession with sidewall at least partly making milder angle than right angle, a gate electrode 68 holding a gate insulating film 67 formed on the upper layer of the recession bottom face, the first source and drain diffused layers 71a, 71b and the second source and drain diffused layers 72a, 72b formed holding the gate electrode 67 formed on the side of the gate insulating film 67 as well as wirings 70a, 70b. In such a constitution, the edge of the gate electrode 68 is positioned inside the recession, also the gate electrode 68 and the source diffused layer 71a as well as the gate electrode 68 and the drain diffused layer 71b have opposing regions, thereby making feasible of forming the source and drain in this opposing region filling the role of accumulation layers.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、MIS型半導体装
置およびその製造方法に関し、特にソース領域及びドレ
イン領域に使用されるものに関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a MIS type semiconductor device and a method for manufacturing the same, and more particularly to a device used for a source region and a drain region.

【0002】[0002]

【従来の技術】近年の微細化に基づく高集積化による高
性能化の要求に応えるため、半導体集積回路を作成する
際には、リソグラフィ技術の加工限界に近い領域でトラ
ンジスタのゲート電極が加工される。このため、ゲート
電極のばらつきに起因するチャネル長のばらつきがトラ
ンジスタ特性にますます大きなばらつきを与え、製品歩
留りを落としている。一方、半導体集積回路の微細化に
より微細トランジスタおよび配線は極めて稠密に配置さ
れ、また、配線距離は長くなっている。従って、微細化
に基づいてトランジスタ動作速度の高速化を図っても、
トランジスタと配線間の寄生容量および寄生抵抗によ
り、回路動作の高速化が実現できない事態が生じてい
る。
2. Description of the Related Art In order to meet the demand for higher performance due to higher integration based on recent miniaturization, when fabricating a semiconductor integrated circuit, the gate electrode of a transistor is processed in a region near the processing limit of lithography technology. You. For this reason, variations in channel length due to variations in gate electrodes give more and more variations in transistor characteristics, and lower product yield. On the other hand, with the miniaturization of semiconductor integrated circuits, fine transistors and wirings are extremely densely arranged, and wiring distances are long. Therefore, even if the transistor operation speed is increased based on miniaturization,
Due to the parasitic capacitance and the parasitic resistance between the transistor and the wiring, there has been a case where a high-speed circuit operation cannot be realized.

【0003】従来の平面型トランジスタの構造を図26
に示す。シリコン基板1上にゲート絶縁膜2を介してゲ
ート電極3が形成されている。このゲート電極3の側部
には、絶縁膜9を介して配線4a,4bが形成されてい
る。また、シリコン基板1内にはソース拡散層5a及び
ドレイン拡散層5bが形成されており、この両拡散層5
a,5b間の領域6がチャネル領域となる。また、7は
素子分離絶縁膜であり、8は層間絶縁膜である。
FIG. 26 shows the structure of a conventional planar transistor.
Shown in A gate electrode 3 is formed on a silicon substrate 1 with a gate insulating film 2 interposed therebetween. Wirings 4 a and 4 b are formed on the side of the gate electrode 3 via an insulating film 9. In the silicon substrate 1, a source diffusion layer 5a and a drain diffusion layer 5b are formed.
The region 6 between a and 5b becomes a channel region. Reference numeral 7 denotes an element isolation insulating film, and reference numeral 8 denotes an interlayer insulating film.

【0004】拡散層5a,5bはチャネル領域6に隣接
してシリコン基板1内に分布するため、この分布がゲー
ト電極3によるチャネル領域6の制御を弱くし、いわゆ
る短チャネル効果が生じることにより、リソグラフィ加
工ばらつきの影響が拡大されている。
Since the diffusion layers 5a and 5b are distributed in the silicon substrate 1 adjacent to the channel region 6, the distribution weakens the control of the channel region 6 by the gate electrode 3 and causes a so-called short channel effect. The influence of lithography processing variations is increasing.

【0005】また、後に述べる凹型トランジスタおよび
他の従来のトランジスタの問題点を説明する際の比較の
ために、この平面型トランジスタを動作させた際に生ず
る電流を図26に一点鎖線で示す。配線4aから注入さ
れた電流は、ソース拡散層5aに入り、その蓄積層(ゲ
ート絶縁膜2を介してゲート電極3と相対する拡散層5
aの表面部分に相当し、拡散層活性不純物濃度の数十倍
以上のキャリア密度を有する)に入り、チャネル領域6
の反転層に入り、ドレイン拡散層5bの蓄積層とその外
の拡散層領域を経て配線4bへと流れ、電流経路を形成
している。
For comparison when explaining the problems of the recessed transistor and other conventional transistors described later, the current generated when this planar transistor is operated is shown by a dashed line in FIG. The current injected from the wiring 4a enters the source diffusion layer 5a, and its storage layer (the diffusion layer 5a opposed to the gate electrode 3 via the gate insulating film 2).
a, and has a carrier density of several tens of times or more the active impurity concentration of the diffusion layer), and enters the channel region 6.
Flows through the storage layer of the drain diffusion layer 5b and the diffusion layer region outside the drain diffusion layer 5b to the wiring 4b to form a current path.

【0006】蓄積層の外の拡散層領域では、基板1表面
の高いキャリア濃度領域が失われ、活性不純物濃度によ
って決まるキャリア濃度により電流は拡散層5a及び5
b内深くまで広がり、いわゆる広がり抵抗を生じるが、
図26の一点鎖線に示すように、おおむね直線的な電流
経路が形成される。
In the diffusion layer region outside the storage layer, the high carrier concentration region on the surface of the substrate 1 is lost, and the current flows through the diffusion layers 5a and 5a depending on the carrier concentration determined by the active impurity concentration.
Spreads deep inside b, causing so-called spreading resistance,
As shown by the dashed line in FIG. 26, a substantially linear current path is formed.

【0007】通常、ソース領域及びドレイン領域は、ゲ
ート電極をマスクとして、基板と逆導電型の不純物をイ
オン注入により導入し、この不純物が熱工程により活性
化され又は拡散することにより形成される。ソース領域
及びドレイン領域は配線への電流経路をチャネルと接続
するものであり、充分に低い抵抗値でこの接続を行うた
めに、高濃度で充分深い領域を拡散して形成する必要が
ある。
Usually, the source region and the drain region are formed by introducing an impurity of the opposite conductivity type to the substrate by ion implantation using the gate electrode as a mask and activating or diffusing the impurity by a thermal process. The source region and the drain region connect the current path to the wiring to the channel. In order to make this connection with a sufficiently low resistance value, it is necessary to diffuse a high-concentration and sufficiently deep region.

【0008】図27はゲート長0.1μmの平面型トラ
ンジスタの動作時の電子濃度分布のドレイン拡散層5b
の不純物との関係を示す図であり、図26のゲート電極
3近傍についてデバイスシミュレーションにより求めた
ものである。同図ではドレイン拡散層5b側のみ示され
ている。本シミュレーションでは、ゲート電極3に1
V、ドレイン拡散層5bに1Vを印加している。
FIG. 27 shows a drain diffusion layer 5b of the electron concentration distribution during the operation of a planar transistor having a gate length of 0.1 μm.
FIG. 27 is a diagram showing a relationship with the impurity of FIG. 26, which is obtained by device simulation for the vicinity of the gate electrode 3 in FIG. In the figure, only the drain diffusion layer 5b side is shown. In this simulation, 1 is applied to the gate electrode 3.
V, 1 V is applied to the drain diffusion layer 5b.

【0009】ソース拡散層5aに印加したバイアスは0
Vであり、このためソース拡散層5a付近では電流はゲ
ートバイアスに強く支配される。ドレイン拡散層5b付
近では、ドレイン拡散層5bに印加されたバイアスによ
り、ゲート電極3が基板1表面へ与える影響はソース拡
散層5aの場合よりも弱くなる。しかし、ゲート絶縁膜
2が極めて薄いために、ドレイン拡散層5b付近でも電
流はゲート電極3に強く支配されている。以下では主に
ドレイン拡散層5bについて説明するが、電子濃度又は
電流濃度分布と、ゲート電極3の位置又は拡散層不純物
分布との間の関係はソース拡散層5aでも基本的に同じ
である。
The bias applied to the source diffusion layer 5a is 0
V, so that the current is strongly dominated by the gate bias near the source diffusion layer 5a. In the vicinity of the drain diffusion layer 5b, the influence of the gate electrode 3 on the surface of the substrate 1 is weaker than that of the source diffusion layer 5a due to the bias applied to the drain diffusion layer 5b. However, since the gate insulating film 2 is extremely thin, the current is strongly controlled by the gate electrode 3 even near the drain diffusion layer 5b. The following mainly describes the drain diffusion layer 5b, but the relationship between the electron concentration or current concentration distribution and the position of the gate electrode 3 or the diffusion layer impurity distribution is basically the same for the source diffusion layer 5a.

【0010】ドレイン拡散層5bはチャネルとの接続を
行うためにゲート電極3のエッジの下ないし内側にまで
分布し、チャネル不純物とpn接合を形成している。こ
のpn接合位置は図27中に太線で示す。接合位置では
逆導電型の不純物が互いに打ち消し合い、正味の不純物
濃度はゼロとなる。
The drain diffusion layer 5b is distributed below or inside the edge of the gate electrode 3 for connection with the channel, and forms a pn junction with channel impurities. This pn junction position is indicated by a thick line in FIG. At the junction position, impurities of the opposite conductivity type cancel each other out, and the net impurity concentration becomes zero.

【0011】すなわち、図27の破線に示すように、ド
レイン拡散層5bに例えば1×10 20cm-3程度の高濃
度の不純物を導入しても、ゲート電極3のエッジ付近の
不純物濃度は一般に拡散により失われて低くなり、エッ
ジよりチャネル領域6の中央側では接合に近づくにつれ
て不純物濃度はさらに低くなる。接合周辺には空乏層が
形成され、キャリア濃度(電子濃度)が極めて低くな
り、ソース拡散層5a又はドレイン拡散層5bを逆導電
型の基板1から電気的に分離している。このため、図2
7において、log10(電子濃度)=18となる電子濃
度分布曲線がlog10(不純物濃度)=18となる不純
物濃度分布曲線に比較して接合面から離れていることか
らも分かるように、ドレイン拡散層5bの基板1表面か
ら遠い部分における接合付近のキャリア濃度(電子濃
度)が、不純物濃度よりも低い。
That is, as shown by the broken line in FIG.
For example, 1 × 10 20cm-3About high concentration
Even if impurities are introduced to a certain degree,
The impurity concentration is generally reduced by diffusion,
At the center side of the channel region 6 from the junction, as it approaches the junction
As a result, the impurity concentration is further reduced. Depletion layer around the junction
Formed, and the carrier concentration (electron concentration) becomes extremely low.
The source diffusion layer 5a or the drain diffusion layer 5b
It is electrically separated from the mold substrate 1. Therefore, FIG.
In 7, the logTen(Electron concentration) = electron concentration where 18
Degree distribution curve is logTen(Impurity concentration) = 18
Is it far from the joint surface compared to the material concentration distribution curve?
As can be seen from FIG.
Carrier concentration near the junction (electron concentration
Degree) is lower than the impurity concentration.

【0012】トランジスタ動作時には、ゲート電極3に
印加された電圧により、チャネル領域6の基板1表面に
は反転層が形成される。図27のチャネル領域6表面の
高い電子濃度の領域はこの反転層である。一方、基板1
表面に近い接合付近のドレイン拡散層5b側には蓄積層
が形成され、チャネル領域6側に形成された反転層と接
合付近で接合して、電流経路を形成する。
During operation of the transistor, an inversion layer is formed on the surface of the substrate 1 in the channel region 6 by the voltage applied to the gate electrode 3. The region having a high electron concentration on the surface of the channel region 6 in FIG. 27 is the inversion layer. On the other hand, substrate 1
An accumulation layer is formed on the drain diffusion layer 5b side near the junction near the surface, and is joined near the junction with the inversion layer formed on the channel region 6 side to form a current path.

【0013】図27において、基板1表面近傍であって
接合面近傍のドレイン拡散層5bの電子濃度が不純物濃
度よりも高い部分は、この蓄積層である。また、ドレイ
ン拡散層5bのうち、ゲート電極3のエッジから離れた
高い不純物濃度を有する領域では、電子濃度は不純物濃
度と一致している。
In FIG. 27, the portion where the electron concentration of the drain diffusion layer 5b near the surface of the substrate 1 and near the junction surface is higher than the impurity concentration is this accumulation layer. In a region of the drain diffusion layer 5b having a high impurity concentration away from the edge of the gate electrode 3, the electron concentration matches the impurity concentration.

【0014】図28に、図27と同じMOSトランジス
タに同じバイアスを印加した場合の電流密度分布の、ゲ
ート電極3の位置又は不純物濃度分布との関係を示す。
ゲート電極3のエッジよりも内側では、チャネル領域6
表面に形成された反転層又は不純物領域表面に形成され
た蓄積層により、基板1表面近傍に電流密度の高い領域
が分布する。しかし、ゲート電極3のエッジよりも外側
では、ゲート電極3による電界が急激に弱まるために、
基板1表面に偏った高い電流密度の領域は失われ、電流
密度はドレイン拡散層5bの電子濃度の高い領域に沿っ
て、低い値で基板1の奥側にまで分布する。
FIG. 28 shows the relationship between the current density distribution and the position of the gate electrode 3 or the impurity concentration distribution when the same bias is applied to the same MOS transistor as in FIG.
Inside the edge of the gate electrode 3, the channel region 6
Due to the inversion layer formed on the surface or the accumulation layer formed on the surface of the impurity region, a region having a high current density is distributed near the surface of the substrate 1. However, outside the edge of the gate electrode 3, the electric field by the gate electrode 3 suddenly weakens.
The region having a high current density biased on the surface of the substrate 1 is lost, and the current density is distributed at a low value to the far side of the substrate 1 along the region where the electron concentration of the drain diffusion layer 5b is high.

【0015】従って、ゲート電極3のエッジよりも外側
のゲートバイアスの影響が小さい領域では、ゲートバイ
アスによって充分な蓄積層を誘起して寄生抵抗を下げる
ことができす、これに代わって、不純物濃度によって決
まるキャリア濃度を充分に高くし、またその濃度に応じ
て基板1深くまで分布させて抵抗を下げる必要がある。
すなわち、ゲート電極3のエッジの下の不純物濃度を充
分に高くまた基板1深くまで分布させることは、エッジ
より外側の領域の寄生抵抗を小さくする上で必須であ
る。
Therefore, in a region outside the edge of the gate electrode 3 where the influence of the gate bias is small, a sufficient storage layer can be induced by the gate bias to reduce the parasitic resistance. Therefore, it is necessary to sufficiently increase the carrier concentration determined by the above and to distribute the resistance to a depth of the substrate 1 in accordance with the concentration to lower the resistance.
That is, it is essential that the impurity concentration beneath the edge of the gate electrode 3 be sufficiently high and distributed deep in the substrate 1 in order to reduce the parasitic resistance in a region outside the edge.

【0016】なお、図27及び図28の結果は典型的な
平面型のMIS型トランジスタの一例についてのもので
あり、ドレイン拡散層の不純物分布に応じて、またゲー
ト電極エッジの位置及び同エッジ近傍のゲート電極形状
等に応じて、電子濃度分布、電流密度分布は変化し、例
えばこれらのいずれか又は双方のドレイン拡散層中にお
けるピーク位置が基板表面ではなく、基板深くに位置す
ることもあるが、そのような場合でもゲート電極の電界
により拡散層領域表面に蓄積層が形成されてエッジの下
の拡散層領域の寄生抵抗を低くしている状況は同じであ
る。
The results shown in FIGS. 27 and 28 are for an example of a typical planar MIS transistor. Depending on the impurity distribution in the drain diffusion layer, the position of the edge of the gate electrode and the vicinity of the edge are shown. Depending on the shape of the gate electrode and the like, the electron concentration distribution and the current density distribution change.For example, the peak position in one or both of the drain diffusion layers may be located not on the substrate surface but deep in the substrate. Even in such a case, the situation is the same that the accumulation layer is formed on the surface of the diffusion layer region by the electric field of the gate electrode to lower the parasitic resistance of the diffusion layer region below the edge.

【0017】ゲート電極3のエッジよりも内側の不純物
領域は、このゲート電極3のエッジ直下の不純物濃度を
高くまた充分に深く分布させるために必須である。しか
し近年の微細化により、ゲート電極3の長さは極めて短
くなり、拡散層5a又は5bの不純物分布がチャネル領
域6に与える電界が、ゲート電極3がチャネル領域6に
与える電界の支配を弱め、いわゆる短チャネル効果を起
こし、製品歩留まりをますます悪化させている。
The impurity region inside the edge of the gate electrode 3 is indispensable to distribute the impurity concentration immediately below the edge of the gate electrode 3 high and sufficiently deep. However, due to recent miniaturization, the length of the gate electrode 3 has become extremely short, and the electric field given to the channel region 6 by the impurity distribution of the diffusion layer 5a or 5b weakens the dominance of the electric field given to the channel region 6 by the gate electrode 3. This causes a so-called short channel effect, which further deteriorates product yield.

【0018】この短チャネル効果を抑制するために、拡
散層5a又は5bの接合深さを浅くする努力が進められ
ている。しかし、上記のように、充分に小さな寄生抵抗
によってチャネル領域6とドレイン拡散層5bの高い不
純物濃度領域とを接続するためには、ゲート電極3のエ
ッジ下の不純物濃度を高くまた充分に深く分布させるこ
とが必要であり、これは、短チャネル効果抑制の目的達
成とは矛盾する。
In order to suppress the short channel effect, efforts have been made to reduce the junction depth of the diffusion layer 5a or 5b. However, as described above, in order to connect the channel region 6 and the high impurity concentration region of the drain diffusion layer 5b with a sufficiently small parasitic resistance, the impurity concentration below the edge of the gate electrode 3 must be increased and distributed sufficiently deep. And this is inconsistent with achieving the goal of suppressing short channel effects.

【0019】この矛盾を解決する構造として提案されて
いるものに凹型トランジスタがある。(例えば、西松
他、Groove Gate MOSFET, 8th Conf. On Solid State D
evice,pp.179-183,1976)。図29(a)に、従来の凹
型トランジスタ構造の断面図を示す。図26と共通する
部分には同一符号を付す。従来の凹型トランジスタで
は、ソース拡散層45a及びドレイン拡散層45bを凹
部底面チャネル領域の表面よりも高くすることにより、
拡散層45a,45bの不純物分布がゲート電極43に
よるチャネル領域の電気的制御能力に与える影響を抑制
している。凹型トランジスタでは、ソース及びドレイン
を凹部底面チャネル領域からの距離を保って厚く(深
く)することができ、短チャネル効果を抑制した上でソ
ース及びドレインの拡散層部分の単位長当たりの寄生抵
抗を下げることができる。
A structure proposed to solve this contradiction is a concave transistor. (For example, Nishimatsu et al., Groove Gate MOSFET, 8th Conf. On Solid State D
evice, pp. 179-183, 1976). FIG. 29A shows a sectional view of a conventional concave transistor structure. 26 are given the same reference numerals. In the conventional concave transistor, the source diffusion layer 45a and the drain diffusion layer 45b are made higher than the surface of the concave bottom channel region,
The influence of the impurity distribution of the diffusion layers 45a and 45b on the ability of the gate electrode 43 to electrically control the channel region is suppressed. In the concave transistor, the source and the drain can be made thicker (deeper) while keeping the distance from the concave bottom channel region, and the short channel effect is suppressed, and the parasitic resistance per unit length of the source and drain diffusion layers is reduced. Can be lowered.

【0020】しかし、通常の凹型トランジスタでは、以
下の問題点を生じる。図29(b)は図29(a)の凹
型トランジスタの要部を拡大した図である。シリコン基
板1の凹部底面には反転層46が、凹部側面には反転層
47が、また凹部側面であってかつ拡散層45a内のゲ
ート絶縁膜42に接する表面部分には蓄積層48が形成
される。凹部底面の反転層46は、図26の平面型トラ
ンジスタのチャネル領域46の表面部分に形成される反
転層に対応するものである。
However, the following problems occur in the ordinary concave transistor. FIG. 29B is an enlarged view of a main part of the concave transistor of FIG. An inversion layer 46 is formed on the bottom surface of the concave portion of the silicon substrate 1, an inversion layer 47 is formed on the side surface of the concave portion, and an accumulation layer 48 is formed on the side surface of the concave portion and in contact with the gate insulating film 42 in the diffusion layer 45a. You. The inversion layer 46 at the bottom of the recess corresponds to the inversion layer formed on the surface portion of the channel region 46 of the planar transistor in FIG.

【0021】前述の通り、通常の凹型トランジスタで
は、凹部底面の反転層46に加えて、凹部側面にも、側
面チャネル部とそれに繋がるソース拡散層45a及びド
レイン拡散層45bが、ゲート絶縁膜42を介してゲー
ト電極43と平行な部分を持つ。この凹部側面のゲート
電極43と平行な部分では、凹部側面反転層47または
凹部側面蓄積層48がゲート電極43の側面と平行に生
じて電流経路となり、大きな寄生容量を生じている。
As described above, in an ordinary concave transistor, in addition to the inversion layer 46 on the bottom surface of the concave portion, the side surface channel portion and the source diffusion layer 45a and the drain diffusion layer 45b connected to the side channel portion also form the gate insulating film 42 on the side surface of the concave portion. And has a portion parallel to the gate electrode 43 through the gate electrode 43. In the portion of the concave side surface parallel to the gate electrode 43, the concave side surface inversion layer 47 or the concave side surface accumulation layer 48 is formed in parallel with the side surface of the gate electrode 43, and serves as a current path, thereby generating a large parasitic capacitance.

【0022】一方、図26に示した平面型トランジスタ
と図29に示した凹型トランジスタの電流経路を比較す
ると、平面型トランジスタの電流経路が直線的であるの
に対し、凹型トランジスタの電流経路では、凹部底面と
凹部側面それぞれの電流経路がなす角は鋭角に近く、拡
散層45a,45bにつながる配線4a,4bへの電流
経路の距離を長くしている。
On the other hand, comparing the current paths of the planar transistor shown in FIG. 26 and the concave transistor shown in FIG. 29, the current path of the planar transistor is linear, while the current path of the concave transistor is The angles formed by the current paths of the bottom surface of the concave portion and the side surfaces of the concave portion are close to acute angles, and the distance of the current path to the wirings 4a and 4b connected to the diffusion layers 45a and 45b is increased.

【0023】さらに、通常、ゲート電極43またはゲー
ト電極43に接続される配線と配線4a,4bとの間の
寄生容量を抑えるため、又は、これらの間に生じるリー
ク電流を抑えるため、ゲート電極43と拡散層45a,
45bへの配線4a,4bとの間の距離を長くし、又
は、基板1上のゲート側面に接するように、非導電性の
膜領域即ち側壁絶縁膜49を設けることが多い。
Further, usually, in order to suppress the parasitic capacitance between the gate electrode 43 or the wiring connected to the gate electrode 43 and the wirings 4a and 4b, or to suppress the leak current generated between them, And the diffusion layer 45a,
In many cases, a non-conductive film region, that is, a sidewall insulating film 49 is provided so as to increase the distance between the wirings 4a and 4b to the wiring 45b or to contact the gate side surface on the substrate 1.

【0024】側壁絶縁膜49の底面が凹部底面と平行に
形成される通常の凹型トランジスタの場合、凹部側面の
反転層47または蓄積層48を経由して、側壁絶縁膜4
9の底面と、凹部底面との段差をもつ平面間を鋭角に近
い経路を介して電流経路が形成されるため、前述の平面
型トランジスタの場合に比較して電流経路は長くなり、
これによる寄生抵抗を大きくしている。
In the case of a normal concave transistor in which the bottom surface of the side wall insulating film 49 is formed parallel to the bottom surface of the concave portion, the side wall insulating film 4 passes through the inversion layer 47 or the accumulation layer 48 on the side surface of the concave portion.
Since the current path is formed through a path near an acute angle between the plane having the step between the bottom surface of the base 9 and the bottom surface of the concave portion, the current path is longer than that of the above-described planar transistor,
This increases the parasitic resistance.

【0025】また、チャネル周辺部でのキャリア分布ピ
ークは、チャネル表面に沿って0.01μm以下の非常
に薄い領域に分布する。従って、この鋭角に近い電流経
路、すなわち電子の走行経路がチャネル底面に近い領域
にある場合、キャリアがキャリア分布ピークの鋭角的分
布に追随するには余分な仕事を必要とし、電流値が低下
する。
The carrier distribution peak around the channel is distributed in a very thin region of 0.01 μm or less along the surface of the channel. Therefore, when the current path near the acute angle, that is, the traveling path of the electrons is in a region near the bottom of the channel, extra work is required for carriers to follow the sharp distribution of the carrier distribution peak, and the current value decreases. .

【0026】また一方、p型平面トランジスタの場合に
従来知られている構造として、斜めの基板表面を有する
ソース領域及びドレイン領域を備えたトランジスタ構造
が"Ultra-Shallow in-situ-doped raised source/drain
structure for sub-tenth micron CMOS",Y.Nakahara e
t al.,pp. 174-175,1996 Symposium on VLSI Technolog
y Digest of Technical Papersに開示されている。この
構造を図30に示す。図30の構造の場合、図29に示
した凹型トランジスタと異なり、チャネル領域とソース
及びドレインは平面的に形成されている。また、電流は
チャネル領域と同一平面上に形成された浅い拡散層55
a及び55b並びに濃く深い拡散層55c及び55dを
経てソース及びドレインへの配線(図示せず)に達す
る。
On the other hand, as a conventionally known structure in the case of a p-type planar transistor, a transistor structure having a source region and a drain region having an oblique substrate surface has been known as an "Ultra-Shallow in-situ-doped raised source / drain
structure for sub-tenth micron CMOS ", Y.Nakahara e
t al., pp. 174-175,1996 Symposium on VLSI Technolog
y It is disclosed in Digest of Technical Papers. This structure is shown in FIG. In the case of the structure of FIG. 30, unlike the concave transistor shown in FIG. 29, the channel region and the source and the drain are formed in a plane. Further, the current is applied to the shallow diffusion layer 55 formed on the same plane as the channel region.
a and 55b and the wiring (not shown) to the source and drain via the deep and deep diffusion layers 55c and 55d.

【0027】すなわち、図29に示す凹型トランジスタ
では、チャネル領域の反転層に連なる蓄積層48がチャ
ネル底面の深さより浅く位置し、これにより拡散層45
a及び45bをチャネル底面から離すことにより短チャ
ネル効果を低減しているのに対し、図30の構造では、
チャネル反転層に連なるソース拡散層及びドレイン拡散
層に形成される蓄積層は、浅い拡散層55a,55bの
チャネル領域に隣接する端部でチャネル領域の反転層と
同一平面上に形成されている。このため、図30の浅い
拡散層55a,55bはチャネル反転層に隣接してシリ
コン基板1内部に分布し、その厚さによっては短チャネ
ル効果を生じる。
That is, in the concave transistor shown in FIG. 29, the accumulation layer 48 connected to the inversion layer in the channel region is located at a position shallower than the depth of the channel bottom surface.
While the short channel effect is reduced by separating a and 45b from the channel bottom surface, the structure of FIG.
The storage layers formed in the source diffusion layer and the drain diffusion layer connected to the channel inversion layer are formed on the same plane as the channel region inversion layers at the ends of the shallow diffusion layers 55a and 55b adjacent to the channel region. Therefore, the shallow diffusion layers 55a and 55b in FIG. 30 are distributed inside the silicon substrate 1 adjacent to the channel inversion layer, and a short channel effect is generated depending on the thickness.

【0028】従って、短チャネル効果を抑制するため、
シリコンを用いて浅いソース拡散層55a及びドレイン
拡散層55bをチャネル領域に隣接して極めて浅く形成
する。この拡散層55a,55bの厚みを補うため、シ
リコン基板1上にエピタキシャルソース拡散層55e及
びドレイン拡散層55fを形成している。ここで、ゲー
ト電極53のエッジは、エピタキシャル拡散層55e,
55fの表面から窒化膜側壁56を介して外れた位置
で、かつ浅いソース拡散層55a及びドレイン拡散層5
5bの上に位置している。
Therefore, in order to suppress the short channel effect,
Using silicon, a shallow source diffusion layer 55a and a shallow drain diffusion layer 55b are formed to be extremely shallow adjacent to the channel region. In order to compensate for the thickness of the diffusion layers 55a and 55b, an epitaxial source diffusion layer 55e and a drain diffusion layer 55f are formed on the silicon substrate 1. Here, the edge of the gate electrode 53 corresponds to the epitaxial diffusion layer 55e,
55f and shallow source diffusion layers 55a and drain diffusion layers 5
5b.

【0029】このような構造を有するため、このトラン
ジスタの動作時におけるゲート電極53の電界がエピタ
キシャル拡散層55e,55f内に形成される電流経路
へ与える影響は小さい。従って、電流はエピタキシャル
拡散層55e,55f内の広い領域に流れて広がり抵抗
を持つが、拡散層55a,55bに並列にそれぞれ電流
経路を供給し、ソース及びドレイン全体の寄生抵抗を下
げている。
With such a structure, the influence of the electric field of the gate electrode 53 on the current path formed in the epitaxial diffusion layers 55e and 55f during the operation of the transistor is small. Therefore, although the current flows in a wide area in the epitaxial diffusion layers 55e and 55f and has spreading resistance, a current path is supplied in parallel to the diffusion layers 55a and 55b, respectively, thereby lowering the parasitic resistance of the entire source and drain.

【0030】即ち、図30の構造におけるエピタキシャ
ル拡散層55e,55fの効果は、チャネル底面と同一
平面上にある深く濃い拡散層55c,55dへ達する浅
い拡散層55a,55bの高い抵抗を、厚みを補うこと
により低減することである。
That is, the effect of the epitaxial diffusion layers 55e and 55f in the structure shown in FIG. 30 is that the high resistance of the shallow diffusion layers 55a and 55b reaching the deep and deep diffusion layers 55c and 55d on the same plane as the channel bottom surface is reduced. It is to reduce by making up.

【0031】また、このエピタキシャル拡散層55e,
55fの表面を斜めに形成することにより、ゲート電極
53とソース拡散層・ドレイン拡散層の表面との間に窒
化膜側壁56を介して厚い酸化膜側壁57を形成するこ
とができ、寄生容量を低減している。
The epitaxial diffusion layers 55e, 55e,
By forming the surface of 55f obliquely, a thick oxide film sidewall 57 can be formed between the gate electrode 53 and the surface of the source diffusion layer / drain diffusion layer via the nitride film sidewall 56, thereby reducing parasitic capacitance. Has been reduced.

【0032】しかしながら、このトランジスタ構造の場
合、平面型のトランジスタであることには変わりないた
め、浅い拡散層55a及び55bの厚さ制御のみでは短
チャネル効果を充分抑制することができない。
However, in the case of this transistor structure, since it is still a planar transistor, the short channel effect cannot be sufficiently suppressed only by controlling the thickness of the shallow diffusion layers 55a and 55b.

【0033】[0033]

【発明が解決しようとする課題】以上説明したように従
来の半導体装置を用いた場合、短チャネル効果を抑制
し、寄生容量及び寄生抵抗を低減し、かつ電流経路の低
抵抗化を図ることはできなかった。
As described above, when the conventional semiconductor device is used, it is difficult to suppress the short channel effect, reduce the parasitic capacitance and the parasitic resistance, and reduce the resistance of the current path. could not.

【0034】本発明は上記課題を解決するためになされ
たもので、その目的とするところは、寄生抵抗と寄生容
量を低減し、かつ短チャネル効果を抑制する半導体装置
及びその製造方法を提供することにある。
SUMMARY OF THE INVENTION The present invention has been made to solve the above problems, and it is an object of the present invention to provide a semiconductor device which reduces a parasitic resistance and a parasitic capacitance and suppresses a short channel effect, and a method of manufacturing the same. It is in.

【0035】[0035]

【課題を解決するための手段】本発明に係るMIS型半
導体装置は、少なくとも一部に直角よりも緩やかな側壁
をもつ凹部を有する半導体層と、凹部底面の上層にゲー
ト絶縁膜を挟んで形成されたゲート電極と、ゲート電極
の側面に絶縁膜を挟んで形成され、かつ該絶縁膜との境
界面が半導体層表面に対して傾きをもって半導体層中に
形成されたソース領域及びドレイン領域と、半導体層表
面に接続する配線コンタクトとを具備してなり、ゲート
電極のエッジは、半導体層に設けられた凹部の内側に位
置し、かつ該ゲート電極及びソース領域又は該ゲート電
極及びドレイン領域の少なくとも一方が相対する領域を
有することにより、該相対する領域でのソース領域又は
ドレイン領域の少なくとも一方が蓄積層として動作する
ものであることを特徴とする。
According to the present invention, there is provided an MIS type semiconductor device having a semiconductor layer having a concave portion having at least a portion having a side wall which is gentler than a right angle, and a gate insulating film interposed between the semiconductor layer and the bottom surface of the concave portion. Gate electrode, and a source region and a drain region formed on the side surface of the gate electrode with an insulating film interposed therebetween, and a boundary surface with the insulating film is formed in the semiconductor layer with an inclination with respect to the semiconductor layer surface, A wiring contact connected to the surface of the semiconductor layer, wherein the edge of the gate electrode is located inside a concave portion provided in the semiconductor layer, and at least the gate electrode and the source region or at least the gate electrode and the drain region. By having one of the opposed regions, it is determined that at least one of the source region and the drain region in the opposed region operates as a storage layer. And butterflies.

【0036】ここで、ゲート電極のエッジとは、ゲート
絶縁膜と接していないゲート電極の側壁がゲート絶縁膜
と交わる位置をいう。また、ゲート絶縁膜とは、ゲート
電極とソース領域が相対する領域からゲート電極とドレ
イン領域が相対する領域までに挟まれた領域において、
ゲート電極とソース領域又はドレイン領域との間に形成
された絶縁膜をいうものとする。
Here, the edge of the gate electrode means a position where the side wall of the gate electrode not in contact with the gate insulating film intersects the gate insulating film. Further, the gate insulating film refers to a region between the region where the gate electrode and the source region face each other and the region between the gate electrode and the drain region where the gate electrode faces each other.
An insulating film formed between a gate electrode and a source or drain region.

【0037】また、ゲート電極のエッジが凹部の内側に
位置することは、凹部と凹部外側の領域との境界点にゲ
ート電極のエッジが位置する場合も含むものとする。ま
た、本発明にはゲート絶縁膜近傍におけるソース領域と
チャネル領域の接合位置又はドレイン領域とチャネル領
域の接合位置がゲート電極のエッジの直下に位置する場
合も含まれる。
The fact that the edge of the gate electrode is located inside the concave portion also includes the case where the edge of the gate electrode is located at the boundary point between the concave portion and the region outside the concave portion. Further, the present invention includes a case where the junction position between the source region and the channel region or the junction position between the drain region and the channel region near the gate insulating film is located immediately below the edge of the gate electrode.

【0038】望ましくは、ソース領域又はドレイン領域
とゲート電極との間に形成された絶縁膜のうち、ゲート
電極及びソース領域又は該ゲート電極及びドレイン領域
が相対する領域以外の領域は、該相対する領域よりも厚
く形成されてなる。
Preferably, in the insulating film formed between the source or drain region and the gate electrode, a region other than the region where the gate electrode and the source region or the region where the gate electrode and the drain region are opposed to each other. It is formed thicker than the region.

【0039】また、別の本発明に係るMIS型半導体装
置は、少なくとも一部に直角よりも緩やかな側壁をもつ
凹部を有する半導体層と、凹部底面の上層にゲート絶縁
膜を挟んで形成されたゲート電極と、ゲート電極の側面
に絶縁膜を挟んで形成され、かつ該絶縁膜との境界面が
半導体層表面に対して傾きをもって半導体層中に形成さ
れたソース領域及びドレイン領域と、半導体層の凹部底
面下に形成されたチャネル領域とを具備してなり、ゲー
ト絶縁膜近傍におけるソース領域及びチャネル領域の第
1の接合位置で、該ソース領域及びゲート電極が第1の
相対する領域を有し、ゲート絶縁膜近傍におけるドレイ
ン領域及びチャネル領域の第2の接合位置で、該ドレイ
ン領域及びゲート電極が第2の相対する領域を有し、第
1又は第2の相対する領域の少なくとも一方でのソース
領域又はドレイン領域が蓄積層として動作するものであ
り、かつソース領域又はドレイン領域の少なくとも一方
とゲート電極との間に形成された絶縁膜のうち、第1又
は第2の相対する領域以外の領域における絶縁膜は、該
第1又は第2の相対する領域よりも厚く形成されてなる
ことを特徴とする。
Further, another MIS type semiconductor device according to the present invention is formed so that at least a part thereof has a semiconductor layer having a concave portion having a side wall which is gentler than a right angle, and a gate insulating film interposed between the semiconductor layer and the bottom surface of the concave portion. A gate electrode, a source region and a drain region formed in the semiconductor layer with an insulating film interposed between the side surfaces of the gate electrode, and a boundary surface with the insulating film inclined with respect to the surface of the semiconductor layer; And a channel region formed under the bottom surface of the concave portion. The source region and the gate electrode have a first opposed region at a first junction position of the source region and the channel region near the gate insulating film. And at a second junction position between the drain region and the channel region near the gate insulating film, the drain region and the gate electrode have a second opposed region, and the first or the second The source region or the drain region of at least one of the regions operates as a storage layer, and the first or second insulating film formed between at least one of the source region or the drain region and the gate electrode. The insulating film in a region other than the two opposing regions is formed to be thicker than the first or second opposing region.

【0040】本発明の望ましい形態を以下に示す。Preferred embodiments of the present invention will be described below.

【0041】(1)ゲート絶縁膜の高さは、チャネル領
域の中心部近傍からソース領域又はドレイン領域の少な
くとも一方にかけて連続的に高くなる部分を有する。
(1) The height of the gate insulating film continuously increases from the vicinity of the center of the channel region to at least one of the source region and the drain region.

【0042】(2)チャネル領域とゲート電極との間の
ゲート絶縁膜は、直線状に形成されてなる。
(2) The gate insulating film between the channel region and the gate electrode is formed in a straight line.

【0043】(3)ソース領域及びドレイン領域の表面
に、ゲート電極と離間して形成されたコンタクトを有
し、ソース領域とコンタクトとの間と、ドレイン領域と
コンタクトとの間をゲート絶縁膜との境界面に沿って電
流経路が形成されてなり、コンタクトとゲート電極との
間の距離がゲート幅の1.5倍よりも短く形成されてな
る。
(3) On the surface of the source region and the drain region, a contact formed apart from the gate electrode is provided, and a gate insulating film is formed between the source region and the contact and between the drain region and the contact. And a current path is formed along the boundary surface, and the distance between the contact and the gate electrode is formed shorter than 1.5 times the gate width.

【0044】(4)(3)において、第1及び第2の相
対する領域よりもコンタクトに近い領域のソース領域又
はドレイン領域の表面の少なくとも一方は、半導体層表
面に対して傾きを持って形成されてなる。
(4) In (3), at least one of the surfaces of the source region and the drain region in a region closer to the contact than the first and second opposing regions is formed to be inclined with respect to the semiconductor layer surface. Be done.

【0045】(5)第1又は第2の相対する領域近傍に
おけるソース領域又はドレイン領域の下面の高さの少な
くとも一方は、チャネル領域の高さよりも高く形成され
てなる (6)(3)において、ソース領域及びドレイン領域
は、該ソース領域とドレイン領域の間に形成されるチャ
ネル領域と同一導電型の材料により形成されてなる。
(5) At least one of the lower surfaces of the source region and the drain region in the vicinity of the first or second opposed region is formed higher than the height of the channel region. (6) In (3) , The source region and the drain region are formed of a material having the same conductivity type as a channel region formed between the source region and the drain region.

【0046】(7)チャネル領域とゲート電極との間の
ゲート絶縁膜は直線状に形成されてなり、かつ直線状の
該ゲート絶縁膜の両端部と直角よりも緩やかな側壁との
間にそれぞれコーナ部を有し、該コーナ部の間に第1又
は第2の接合位置の少なくとも一方が位置する。
(7) The gate insulating film between the channel region and the gate electrode is formed in a linear shape, and is provided between both ends of the linear gate insulating film and the side wall which is gentler than a right angle. It has a corner portion, and at least one of the first and second joining positions is located between the corner portions.

【0047】(8)第1又は第2の相対する領域の少な
くとも一方のゲート電極エッジの下に位置する一端での
ソース領域又はドレイン領域の不純物濃度は1×1013
cm-2以上である。
(8) The impurity concentration of the source region or the drain region at one end located under the edge of at least one of the first and second opposing regions is 1 × 10 13.
cm -2 or more.

【0048】(9)ソース領域及びドレイン領域は、チ
ャネル領域とゲート絶縁膜との界面よりも浅い位置に形
成されてなる。
(9) The source region and the drain region are formed at positions shallower than the interface between the channel region and the gate insulating film.

【0049】ここで、ソース領域又はドレイン領域とチ
ャネル領域の接合位置とは、ソース領域又はドレイン領
域とチャネル領域により形成される接合の境界位置をい
う。
Here, the junction position between the source or drain region and the channel region refers to the boundary position of the junction formed by the source or drain region and the channel region.

【0050】また、本発明に係るMIS型半導体装置の
製造方法は、半導体層に、直角よりも緩やかな側壁をも
つ凹部をRIE法により形成する工程と、半導体層表面
を覆うようにゲート絶縁膜を形成する工程と、凹部を含
んでゲート絶縁膜上に導電膜を形成する工程と、凹部の
側面に側壁が位置するように導電膜をリソグラフィ法を
用いてパターニングすることによりゲート電極を形成す
る工程とを有することを特徴とする。
In the method of manufacturing a MIS type semiconductor device according to the present invention, a step of forming a concave portion having a gentler side wall than a right angle in a semiconductor layer by an RIE method, and a step of forming a gate insulating film so as to cover the surface of the semiconductor layer Forming a conductive film on the gate insulating film including the concave portion, and forming a gate electrode by patterning the conductive film using lithography so that the side wall is positioned on the side surface of the concave portion. And a process.

【0051】本発明の望ましい形態を以下に示す。Preferred embodiments of the present invention will be described below.

【0052】(1)凹部の側面は、前記半導体層表面に
対してほぼ45度の角度をもつ。
(1) The side surface of the recess has an angle of about 45 degrees with respect to the surface of the semiconductor layer.

【0053】(2)ゲート電極を挟んで半導体層中にソ
ース領域及びドレイン領域を形成する工程と、ゲート電
極、ソース領域及びドレイン領域を覆うように半導体層
上に層間絶縁膜を形成する工程と、層間絶縁膜を反応性
イオンエッチングを用いて選択的に除去してソース領域
又はドレイン領域の少なくとも一方の表面へ配線を接続
するコンタクトホールを形成する工程とを有し、コンタ
クトホールを形成する工程は、ゲート電極の側壁及び表
面を保護する絶縁膜をマスクとして用いることにより、
ゲート電極に自己整合的に形成する。
(2) forming a source region and a drain region in the semiconductor layer with the gate electrode interposed therebetween, and forming an interlayer insulating film on the semiconductor layer so as to cover the gate electrode, the source region and the drain region; Forming a contact hole for connecting a wiring to at least one surface of the source region or the drain region by selectively removing the interlayer insulating film using reactive ion etching, and forming a contact hole. By using an insulating film that protects the side wall and surface of the gate electrode as a mask,
The gate electrode is formed in a self-aligned manner.

【0054】また、別の本発明に係るMIS型半導体装
置の製造方法は、第1の半導体層上に第1の絶縁膜及び
ダミーゲートを選択的に積層形成する工程と、このダミ
ーゲートをマスクとして半導体材料を選択的に固相成長
させることにより、直角よりも緩やかな側壁をもつ第2
の半導体層を該ダミーゲートを挟んで形成する工程と、
第1の絶縁膜及びダミーゲートを除去する工程と、第1
の絶縁膜及びダミーゲートが形成されていた領域に選択
的にゲート絶縁膜、ゲート電極を順次形成する工程とを
有することを特徴とする。
In another method of manufacturing a MIS semiconductor device according to the present invention, a step of selectively laminating a first insulating film and a dummy gate on a first semiconductor layer, and using the dummy gate as a mask By selectively growing the semiconductor material in a solid phase, the second
Forming a semiconductor layer with the dummy gate interposed therebetween;
Removing the first insulating film and the dummy gate;
Selectively forming a gate insulating film and a gate electrode sequentially in a region where the insulating film and the dummy gate have been formed.

【0055】本発明の望ましい形態を以下に示す。Preferred embodiments of the present invention will be described below.

【0056】(1)第2の半導体層の形成後、該第2の
半導体層及びダミーゲートの表面を覆うように第2の絶
縁膜を形成する工程と、第2の絶縁膜上に充填材を堆積
し、この充填材表面を平坦化除去してダミーゲートを露
出させる工程と、ダミーゲートとともに該ダミーゲート
の側壁に形成された第1及び第2の絶縁膜を除去するこ
とにより、底面と側壁の間に第2の半導体層の側面と同
じ傾きのテーパ部を持つ凹部を形成する工程と、前記形
成された凹部の底面にゲート絶縁膜を形成する工程と、
ゲート絶縁膜の形成された凹部に導電性材料をダマシン
プロセスを用いて埋め込み形成する工程とを含む。
(1) After the formation of the second semiconductor layer, a step of forming a second insulating film so as to cover the surfaces of the second semiconductor layer and the dummy gate, and a step of forming a filler on the second insulating film. A step of exposing the dummy gate by flattening and removing the surface of the filler, and removing the first and second insulating films formed on the side walls of the dummy gate together with the dummy gate to form a bottom surface Forming a concave portion having a tapered portion having the same inclination as the side surface of the second semiconductor layer between the side walls, and forming a gate insulating film on the bottom surface of the formed concave portion;
Embedding and forming a conductive material in a recess in which the gate insulating film is formed by using a damascene process.

【0057】(2)第2の半導体層の少なくとも一方の
側壁の角度は、第1の半導体層の表面に対してほぼ50
度又は30度の角度をもつ。
(2) The angle of at least one side wall of the second semiconductor layer is approximately 50 degrees with respect to the surface of the first semiconductor layer.
It has an angle of degrees or 30 degrees.

【0058】(3)固相成長はエピタキシャル成長であ
る。
(3) Solid phase growth is epitaxial growth.

【0059】(4)第2の半導体層表面にソース領域及
びドレイン領域を形成する工程と、ゲート電極を形成
後、該ゲート電極の側壁及び表面に該ゲート電極を保護
する絶縁膜を形成する工程と、絶縁膜を覆うように第1
の半導体層上に層間絶縁膜を形成する工程と、該絶縁膜
をマスクとして、反応性イオンエッチングにより層間絶
縁膜を選択的に除去することにより、ソース領域又はド
レイン領域の少なくとも一方の表面へ、配線と接続する
コンタクトホールをゲート電極に自己整合的に形成す
る。
(4) A step of forming a source region and a drain region on the surface of the second semiconductor layer, and a step of forming an insulating film for protecting the gate electrode on the side wall and the surface of the gate electrode after forming the gate electrode And the first so as to cover the insulating film.
A step of forming an interlayer insulating film on the semiconductor layer, and using the insulating film as a mask, by selectively removing the interlayer insulating film by reactive ion etching, to at least one surface of the source region or the drain region, A contact hole connected to the wiring is formed in the gate electrode in a self-aligned manner.

【0060】(5)半導体層形成の後、該半導体層表面
の所望の膜厚のみ不純物を拡散させる。
(5) After the formation of the semiconductor layer, impurities are diffused only to a desired thickness on the surface of the semiconductor layer.

【0061】(6)ゲート絶縁膜及びゲート電極を形成
した後、ゲート電極をマスクとしてイオン注入を行い不
純物を拡散させ、ゲート電極の側面であって半導体層中
にソース領域及びドレイン領域を形成する。
(6) After forming the gate insulating film and the gate electrode, ions are implanted using the gate electrode as a mask to diffuse impurities, and a source region and a drain region are formed in the semiconductor layer on the side surfaces of the gate electrode. .

【0062】(作用)本発明のMIS型半導体装置で
は、ゲート電極のエッジがソース領域及びドレイン領域
の傾きをもつ領域を含む凹部の側壁に位置し、ゲート電
極及びソース領域又はゲート電極及びドレイン領域の少
なくとも一方が、ゲート絶縁膜を介して相対する領域を
有する。この相対する領域におけるソース領域及びドレ
イン領域の表面に動作時に蓄積層が形成される。この蓄
積層の一端(以下、第1の端部と称する)は、ソース領
域又はドレイン領域がチャネル領域と接合を形成する部
分であり、正味の不純物濃度は低い。従って、不純物濃
度で決定されるキャリア密度は低いが、この蓄積層に
は、それが形成されない場合のキャリア密度の数十倍以
上の濃度のキャリアが蓄積されるため、ソース領域及び
ドレイン領域がチャネル領域と接合を形成する部分に近
い領域の抵抗を低くしている。
(Operation) In the MIS type semiconductor device of the present invention, the edge of the gate electrode is located on the side wall of the concave portion including the inclined region of the source region and the drain region, and the gate electrode and the source region or the gate electrode and the drain region Has a region facing each other via the gate insulating film. An accumulation layer is formed on the surfaces of the source region and the drain region in the opposing regions during operation. One end (hereinafter, referred to as a first end) of the accumulation layer is a portion where the source region or the drain region forms a junction with the channel region, and has a low net impurity concentration. Therefore, although the carrier density determined by the impurity concentration is low, carriers having a concentration of several tens of times or more the carrier density in a case where the carrier region is not formed are accumulated in the accumulation layer, and the source region and the drain region are formed in the channel region. The resistance in a region close to a region where a junction is formed with the region is reduced.

【0063】また、蓄積層の他端(以下、第2の端部と
称する)は、ソース領域又はドレイン領域のチャネル領
域との接合位置から離れて位置し、充分に高い不純物濃
度をもつ点に位置することができる。また、第2の端部
は、チャネル面よりも浅く位置するため、この位置から
深くまで不純物を分布させ、ゲートエッジよりも外側の
領域の抵抗を低くしても、短チャネル効果を生じない。
The other end of the accumulation layer (hereinafter, referred to as a second end) is located away from the junction of the source region or the drain region with the channel region and has a sufficiently high impurity concentration. Can be located. Further, since the second end is located shallower than the channel surface, even if impurities are distributed from this position to a deeper position and the resistance outside the gate edge is reduced, the short channel effect does not occur.

【0064】さらに、この蓄積層は上記傾きをもつ側壁
に沿って形成されるため、チャネル面から配線へ至る電
流経路を直線に近いものにして距離を短縮している。こ
のようにしてチャネル面と配線との間を低抵抗率でかつ
短い電流経路により結ぶため、寄生抵抗を低減できる。
Further, since this accumulation layer is formed along the inclined side wall, the current path from the channel surface to the wiring is made close to a straight line to shorten the distance. In this manner, the channel surface and the wiring are connected by a low-resistance and short current path, so that the parasitic resistance can be reduced.

【0065】また、ゲート電極の側面のソース領域及び
ドレイン領域が半導体層表面に対して傾きをもって形成
されている。これにより、ゲート電極及びソース領域又
はゲート電極及びドレイン領域の間隔が広がり、寄生容
量の低減を図れる。
Further, the source region and the drain region on the side surface of the gate electrode are formed to be inclined with respect to the surface of the semiconductor layer. Accordingly, the distance between the gate electrode and the source region or the distance between the gate electrode and the drain region is widened, and the parasitic capacitance can be reduced.

【0066】また、平面型トランジスタとは異なり、上
記ゲート電極及びソース領域又はゲート電極及びドレイ
ン領域がゲート電極と相対する領域がチャネル領域とゲ
ート絶縁膜との界面よりも浅い位置に形成されているた
め、チャネル領域近傍に拡散層を形成する必要がなく、
短チャネル効果を抑制することができる。
Also, unlike the planar transistor, the gate electrode and the source region or the region where the gate electrode and the drain region face the gate electrode are formed at a position shallower than the interface between the channel region and the gate insulating film. Therefore, there is no need to form a diffusion layer near the channel region,
The short channel effect can be suppressed.

【0067】なお、ソース領域及びドレイン領域がチャ
ネル領域とゲート絶縁膜との界面より下側まではみ出し
た領域をもつ場合でも、そのはみ出し領域を反転層とし
て動作する程度の厚さに制御することにより、上記と同
様の効果を奏する。
Even when the source region and the drain region have a region protruding below the interface between the channel region and the gate insulating film, by controlling the protruding region to a thickness enough to operate as an inversion layer. The same effect as described above is achieved.

【0068】また、本発明の半導体装置の製造方法で
は、半導体層に、直角よりも緩やかな側壁の凹部をRI
E法により形成し、この凹部を含んで半導体層上に導電
膜を形成し、凹部の側面に側壁が位置するように前記導
電膜をリソグラフィを用いてパターニングする。これに
より、短チャネル効果を抑制し、かつ寄生容量及び寄生
抵抗を低減するMIS型半導体装置を実現できる。
In the method of manufacturing a semiconductor device according to the present invention, the semiconductor layer is provided with a recess in the side wall which is gentler than a right angle.
The conductive film is formed on the semiconductor layer including the concave portion by the method E, and the conductive film is patterned by lithography so that the side wall is located on the side surface of the concave portion. This makes it possible to realize a MIS semiconductor device in which the short channel effect is suppressed and the parasitic capacitance and the parasitic resistance are reduced.

【0069】また、上記MIS型半導体装置を固相成長
によりダミーゲートをマスクとしてソース領域及びドレ
イン領域を形成し、このダミーゲートを除去した凹部に
ゲート電極を形成することにより、ソース領域及びドレ
イン領域に対してゲート電極を自己整合的に形成するこ
とができ、位置ずれが生じない。
Further, a source region and a drain region are formed by solid phase growth of the MIS type semiconductor device using a dummy gate as a mask, and a gate electrode is formed in a concave portion from which the dummy gate has been removed. , The gate electrode can be formed in a self-aligned manner, and no displacement occurs.

【0070】さらに、ダミーゲートの除去とともに、予
めダミーゲートの側壁に形成された絶縁膜を除去するこ
とにより、底面と側壁の間に第2の半導体層の側面と同
じ傾きのテーパ部を持つ凹部を形成することができるの
で、ゲート電極とソース領域又はドレイン領域として動
作する第2の半導体層が相対する領域を形成することが
できる。
Further, by removing the insulating film previously formed on the side wall of the dummy gate together with the removal of the dummy gate, a concave portion having a tapered portion between the bottom surface and the side wall having the same inclination as the side surface of the second semiconductor layer is formed. Can be formed, so that a region where the gate electrode and the second semiconductor layer operating as a source region or a drain region face each other can be formed.

【0071】また、ダマシンプロセスによりゲート電極
を形成することにより、チャネル領域として動作する凹
部底面の第1の半導体層に選択的にイオン注入を行うこ
とができる。
Further, by forming the gate electrode by the damascene process, it is possible to selectively perform ion implantation into the first semiconductor layer on the bottom surface of the concave portion that operates as a channel region.

【0072】[0072]

【発明の実施の形態】以下、図面を参照しながら本発明
の実施形態を説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0073】(第1実施形態)図1〜図4は本発明の第
1実施形態に係る半導体装置(凹型MISトランジス
タ)を説明するための図であり、図1及び図4は本実施
形態に係る半導体装置の構成を説明するための図、図2
及び図3は該半導体装置の製造方法を示す工程断面図で
ある。図1(a)は本半導体装置を上面から見た図で、
この図1(a)における6A−6A’断面図を図1
(b)に、6B−6B’断面図を図1(c)に、6C−
6C’断面図を図1(d)に示す。以下の実施形態で
は、nチャネルのMISトランジスタについて説明す
る。pチャネルのMISトランジスタの場合には各構成
の導電型を逆にすればよい。
(First Embodiment) FIGS. 1 to 4 are views for explaining a semiconductor device (recessed MIS transistor) according to a first embodiment of the present invention. FIGS. FIG. 2 illustrates a configuration of such a semiconductor device.
And FIG. 3 is a process sectional view showing a method for manufacturing the semiconductor device. FIG. 1A is a view of the present semiconductor device as viewed from above.
FIG. 1A is a sectional view taken along the line 6A-6A 'in FIG.
FIG. 1B is a cross-sectional view of 6B-6B ′, and FIG.
FIG. 1D is a cross-sectional view taken along the line 6C ′. In the following embodiments, an n-channel MIS transistor will be described. In the case of a p-channel MIS transistor, the conductivity type of each component may be reversed.

【0074】図1(b)において、61は(100)面
を用いたp型のシリコン基板であり、不純物濃度は5×
1015cm-3程度である。このシリコン基板61上は図
1(a)に示すように活性領域62と、この活性領域6
2を囲むように形成された素子分離領域63に分けら
れ、素子分離領域63には素子分離絶縁膜64が形成さ
れている。
In FIG. 1B, reference numeral 61 denotes a p-type silicon substrate using a (100) plane, which has an impurity concentration of 5.times.
It is about 10 15 cm -3 . As shown in FIG. 1A, an active region 62 and an active region 6 are formed on the silicon substrate 61.
The element isolation region 63 is formed so as to surround the element 2, and an element isolation insulating film 64 is formed in the element isolation region 63.

【0075】活性領域62は図1(a)において破線で
囲まれた部分であり、しきい電圧(Vth)を調整するた
めに、不純物濃度5×1017cm-3程度の図示しないチ
ャネルイオン注入層が形成されている。この活性領域6
2内のシリコン基板61上には、ゲート絶縁膜67を介
してゲート電極68が形成され、このゲート電極68の
両側には層間絶縁膜69を介して配線70a,70bが
設けられる。この配線70a,70bはソース領域及び
ドレイン領域と接続される。ソース領域は、第1のソー
ス拡散層71a及び第2のソース拡散層72aからな
り、ドレイン領域は、第1のドレイン拡散層71bと第
2のドレイン拡散層72bからなる。ゲート絶縁膜67
は例えば厚さ3.0nm程度の熱酸化膜である。
The active region 62 is a portion surrounded by a broken line in FIG. 1A. In order to adjust a threshold voltage (V th ), a channel ion (not shown) having an impurity concentration of about 5 × 10 17 cm −3 is used. An injection layer is formed. This active area 6
A gate electrode 68 is formed on the silicon substrate 61 in the gate electrode 2 via a gate insulating film 67, and wirings 70 a and 70 b are provided on both sides of the gate electrode 68 via an interlayer insulating film 69. These wirings 70a and 70b are connected to the source region and the drain region. The source region includes a first source diffusion layer 71a and a second source diffusion layer 72a, and the drain region includes a first drain diffusion layer 71b and a second drain diffusion layer 72b. Gate insulating film 67
Is, for example, a thermal oxide film having a thickness of about 3.0 nm.

【0076】また、図1(b)において、チャネル面6
5は基板表面66より深い位置にある。シリコン基板6
1にはこのチャネル面65を底面とする凹部が形成さ
れ、この凹部側壁はチャネル面65に対して直角より緩
やかな角度の斜面をなし、この凹部全体はゲート絶縁膜
67で覆われる。ここで、チャネル面65とは、チャネ
ル領域とゲート絶縁膜67との界面をいう。チャネル領
域はチャネル面65よりも下部の凹部底面に形成され
る。
In FIG. 1B, the channel surface 6
5 is located deeper than the substrate surface 66. Silicon substrate 6
1, a concave portion having the channel surface 65 as a bottom surface is formed, and the side wall of the concave portion forms an inclined surface having a gentler angle than a right angle to the channel surface 65, and the entire concave portion is covered with the gate insulating film 67. Here, the channel surface 65 refers to an interface between the channel region and the gate insulating film 67. The channel region is formed on the bottom of the concave portion below the channel surface 65.

【0077】さらに、このチャネル領域に相対してゲー
ト絶縁膜67を介してシリコン基板61の凹部にゲート
電極68が埋め込まれる。このようにして、基板61内
に埋め込まれたチャネル領域を有する凹型トランジスタ
構造をなしている。
Further, a gate electrode 68 is buried in the concave portion of silicon substrate 61 with a gate insulating film 67 interposed therebetween, facing the channel region. Thus, a concave transistor structure having a channel region embedded in the substrate 61 is formed.

【0078】チャネル面65と基板表面66との段差を
持つ平面間は、ゲート絶縁膜67の斜面側壁に沿って形
成され、シリコン基板61表面に対して傾きをもつ第1
のソース拡散層71a及び第1のドレイン拡散層71b
(斜線で示す)と、これらにつながるn型の第2のソー
ス拡散層72a及び第2のドレイン拡散層72bにより
接続される(以下、71a,71b,72a,72bを
合わせて単にソース及びドレインと呼ぶ)。
The first plane having a step between the channel surface 65 and the substrate surface 66 is formed along the inclined side wall of the gate insulating film 67 and has an inclination with respect to the surface of the silicon substrate 61.
Source diffusion layer 71a and first drain diffusion layer 71b
(Indicated by oblique lines), they are connected by an n-type second source diffusion layer 72a and a second drain diffusion layer 72b connected thereto (hereinafter, the source and drain are simply referred to as 71a, 71b, 72a, 72b together). Call).

【0079】第1のソース拡散層71a及びドレイン拡
散層71bはチャネル面65に向かって形成され、第2
のソース拡散層72a及びドレイン拡散層72bはチャ
ネル面65に並行に形成された厚い拡散層である。
The first source diffusion layer 71a and the drain diffusion layer 71b are formed toward the channel surface 65, and
The source diffusion layer 72a and the drain diffusion layer 72b are thick diffusion layers formed in parallel with the channel surface 65.

【0080】ここで、第2のソース拡散層72a及び第
2のドレイン拡散層72bの拡散深さ、不純物濃度は、
それぞれ0.05μm、5×1020cm-3程度である。
Here, the diffusion depth and impurity concentration of the second source diffusion layer 72a and the second drain diffusion layer 72b are
Each is about 0.05 μm and about 5 × 10 20 cm −3 .

【0081】層間絶縁膜69にはコンタクトホール73
a〜73cが開孔されており、このコンタクトホール7
3a〜73cを介して配線70a〜70cがn型の第2
のソース拡散層72a及び第2のドレイン拡散層72b
及びゲート電極68にそれぞれコンタクトしている。層
間絶縁膜69は例えばSiO2 膜からなり、配線70a
〜70cは例えばAl膜で形成されている。この配線7
0a〜70cは、配線70a,70bとゲート電極68
との距離がゲート幅の1.5倍よりも短く位置するよう
に形成される。また、シリコン基板61表面に対して傾
きを持った第1のソース拡散層71a及び第1のドレイ
ン拡散層71bは、先端部にゲート電極68と相対する
領域を有し、この領域を含んで配線70a,70b近傍
までシリコン基板61表面に対して傾きを持って形成さ
れている(削除あり)。なお、図1(a)において、7
4の×印で示したゲート電極形成領域は、傾きをもつ第
1のソース拡散層71a及びドレイン拡散層71bとゲ
ート電極68が重なる領域、75の斜線で示した領域は
第1のソース拡散層71a及びドレイン拡散層71bの
形成領域を示す。
A contact hole 73 is formed in the interlayer insulating film 69.
a to 73c are opened.
The wirings 70a to 70c are n-type second via the 3a to 73c.
Source diffusion layer 72a and second drain diffusion layer 72b
And the gate electrode 68. The interlayer insulating film 69 is made of, for example, a SiO 2 film,
70c are formed of, for example, an Al film. This wiring 7
0a to 70c are the wirings 70a and 70b and the gate electrode 68
Is shorter than 1.5 times the gate width. Further, the first source diffusion layer 71a and the first drain diffusion layer 71b inclined with respect to the surface of the silicon substrate 61 have a region opposed to the gate electrode 68 at the tip, and include a wiring including this region. It is formed so as to be inclined with respect to the surface of the silicon substrate 61 up to the vicinity of 70a and 70b (with deletion). Note that, in FIG.
The gate electrode formation region indicated by a cross “4” is a region where the first source diffusion layer 71a and the drain diffusion layer 71b having an inclination overlap with the gate electrode 68, and the region indicated by hatching 75 is the first source diffusion layer. 7 shows a region where a drain diffusion layer 71b is formed.

【0082】次に、図2及び図3を用いて、本実施形態
に係る凹型MISトランジスタの製造方法を説明する。
図2(a)は上面図を、図2(b)は図2(a)の7A
−7A’断面図を、図2(c)は図2(a)の7B−7
B’断面図を、図2(d)は図2(a)の7C−7C’
断面図を示し、図3(a)は上面図を、図3(b)は図
3(a)の8A−8A’断面図を、図3(c)は図3
(a)の8B−8B’断面図を、図3(d)は図3
(a)の8C−8C’断面図を示す。
Next, a method of manufacturing the concave MIS transistor according to the present embodiment will be described with reference to FIGS.
2A is a top view, and FIG. 2B is a view 7A of FIG. 2A.
FIG. 2 (c) is a cross-sectional view of FIG.
FIG. 2D is a sectional view taken along the line B ′, and FIG. 2D is a sectional view taken along the line 7C-7C ′ in FIG.
3 (a) is a top view, FIG. 3 (b) is a sectional view taken along the line 8A-8A 'of FIG. 3 (a), and FIG. 3 (c) is a sectional view of FIG.
FIG. 3A is a sectional view taken along the line 8B-8B ′, and FIG.
(A) is an 8C-8C ′ cross-sectional view.

【0083】まず、シリコン基板61上で活性領域62
内に、厚さ0.02μmのSiO2膜91を形成する。
次に、例えば図示しない所望のフォトレジストパターン
をマスクに用いて、チャネルおよび傾きをもつ第1のソ
ース拡散層71a及び第1のドレイン拡散層71bを形
成する領域に形成されたSiO2 膜91及びシリコン基
板61を、RIE法(Reactive Ion Etching)によりエ
ッチングすることにより、底面が基板表面66から0.
1μm程度の深さにある凹部92を形成する。この時、
RIE条件を選択することにより、図2(b)に示すよ
うに凹部側面を凹部底面に対して45度の角度を持って
斜めに形成する。
First, an active region 62 is formed on a silicon substrate 61.
Inside, a SiO 2 film 91 having a thickness of 0.02 μm is formed.
Next, for example, using a desired photoresist pattern (not shown) as a mask, the SiO 2 film 91 formed in the region where the first source diffusion layer 71a and the first drain diffusion layer 71b having the channel and the inclination are to be formed; By etching the silicon substrate 61 by RIE (Reactive Ion Etching), the bottom surface is set at 0.
A recess 92 having a depth of about 1 μm is formed. At this time,
By selecting the RIE condition, as shown in FIG. 2B, the side surface of the concave portion is formed obliquely at an angle of 45 degrees with respect to the bottom surface of the concave portion.

【0084】次に、エッチング時に生じた図示しないポ
リマー層及びSiO2 膜91を除去してシリコン基板6
1の活性領域62の表面を露出させ、例えば950℃の
水素雰囲気中で2分程度熱処理を行うことにより、RI
Eによって生じた凹部92内のダメージ回復処理を行
う。次に、露出したシリコン基板61表面に厚さ5nm
程度の図示しない犠牲SiO2 膜を例えば熱酸化法によ
り形成する。そして、素子分離絶縁膜64等または図示
しないフォトレジストをマスクとして、凹部92を含む
活性領域62の下部シリコン基板61に、しきい電圧制
御等のためのチャネルイオン注入を行う。n型トランジ
スタの場合、例えば0.2V程度のしきい電圧(Vth
を設定するには、例えば加速電圧5keV、ドーズ量2
×1012cm -2程度の条件でボロン(B+ )をイオン注入
し、チャネル領域にp型のチャネルイオン注入層を形成
する(図示せず)。
Next, a not-shown port generated at the time of etching is used.
Limmer layer and SiOTwoAfter removing the film 91, the silicon substrate 6
The surface of the active region 62 is exposed, for example, at 950 ° C.
By performing a heat treatment for about 2 minutes in a hydrogen atmosphere, the RI
The damage recovery processing in the concave portion 92 caused by E is performed.
U. Next, a thickness of 5 nm is formed on the exposed surface of the silicon substrate 61.
Not shown sacrificial SiOTwo The membrane can be
Formed. Then, an element isolation insulating film 64 or the like or illustrated
Include recesses 92 using photoresist not to be mask
A threshold voltage control is applied to the lower silicon substrate 61 of the active region 62.
Channel ion implantation for control and the like is performed. n-type transistor
In the case of a transistor, for example, a threshold voltage (Vth)
Is set, for example, at an acceleration voltage of 5 keV and a dose of 2
× 1012cm -2Boron (B+) Ion implantation
To form a p-type channel ion implanted layer in the channel region
(Not shown).

【0085】次に、図示しない犠牲SiO2 膜を剥離し
た後、図3(b)に示すように、熱酸化により、凹部9
2の底面及び傾きをもつ側面を含むシリコン基板61表
面にゲート絶縁膜67を形成する。ここで、酸化膜の表
面を熱窒化した膜をゲート絶縁膜67に用いてもよい。
また、CVD−SiO2 膜、CVD−SiON膜、CV
D−Si3 4 膜を含む積層膜をゲート絶縁膜67に用
いても良い。
Next, after the sacrificial SiO 2 film (not shown) is peeled off, as shown in FIG.
A gate insulating film 67 is formed on the surface of the silicon substrate 61 including the bottom surface of FIG. Here, a film obtained by thermally nitriding the surface of an oxide film may be used for the gate insulating film 67.
Also, a CVD-SiO 2 film, a CVD-SiON film, a CV
A stacked film including a D-Si 3 N 4 film may be used for the gate insulating film 67.

【0086】次に、凹部92の内部が充填するように、
ゲート絶縁膜67上にゲート電極68となる導電層を形
成し、CMP法(Chemical Mechanical Polishing)に
より、導電層表面を研磨平滑化する。ゲート電極68と
なる導電層は例えばn型不純物をドープしたポリシリコ
ン層を用いる。
Next, so that the inside of the concave portion 92 is filled,
A conductive layer serving as a gate electrode 68 is formed on the gate insulating film 67, and the surface of the conductive layer is polished and smoothed by a CMP method (Chemical Mechanical Polishing). As the conductive layer to be the gate electrode 68, for example, a polysilicon layer doped with an n-type impurity is used.

【0087】次に、図3(b)に示すように、リソグラ
フィの技術を用いて、導電層の両端を凹部92の内部に
含むように、かつ、導電層のソース及びドレイン側の端
部がチャネル底部よりも外側に位置するようにパターニ
ングしてゲート電極68を形成する。次に、ゲート電極
68と素子分離絶縁膜64およびフォトレジスト等をマ
スクにして、シリコン基板61に対してイオン注入93
を行い、第1のソース拡散層71a及び第1のドレイン
拡散層71b並びに第2のソース拡散層72a及び第2
のドレイン拡散層72bを形成する。イオン注入の条件
は、例えば砒素(As)のイオン注入であれば、加速電
圧30KeV程度、ドーズ量5×1015cm-2程度であ
る。
Next, as shown in FIG. 3B, by using lithography technology, both ends of the conductive layer are included in the recess 92, and the ends of the conductive layer on the source and drain sides are formed. The gate electrode 68 is formed by patterning so as to be located outside the bottom of the channel. Next, ion implantation 93 is performed on the silicon substrate 61 using the gate electrode 68, the element isolation insulating film 64, the photoresist, and the like as a mask.
Is performed, and the first source diffusion layer 71a, the first drain diffusion layer 71b, the second source diffusion layer 72a, and the second
Is formed. The conditions for ion implantation are, for example, about 30 KeV for an acceleration voltage and about 5 × 10 15 cm −2 for an arsenic (As) ion implantation.

【0088】導電層のパターニングに際してCMP法に
より導電層表面を平滑化するのは、平滑化によりリソグ
ラフィ技術のゲートパターン位置の歪みを抑制し、傾き
をもつ第1のソース拡散層71a及び第1のドレイン拡
散層71bを容易に形成するために、ゲート電極68の
エッジが凹部92の内部に正確に含まれるようにするた
めである。すなわち、ゲート電極68をマスクとして第
1のソース拡散層71a及び第1のドレイン拡散層71
b並びに第2のソース拡散層72a及び第2のドレイン
拡散層72b形成のためのイオン注入93を行うため、
マスクとなるゲート電極68の形成位置により、第2の
ソース拡散層72a及び第2のドレイン拡散層72bの
形成領域が変動するからである。なお、ゲート電極68
のエッジとは、ゲート絶縁膜67と接していないゲート
電極68の側壁がゲート絶縁膜67と交わる位置をい
う。
The reason why the surface of the conductive layer is smoothed by the CMP method at the time of patterning the conductive layer is that the distortion of the gate pattern position of the lithography technique is suppressed by the smoothing, and the first source diffusion layer 71a and the first This is because the edge of the gate electrode 68 is accurately included in the recess 92 in order to easily form the drain diffusion layer 71b. That is, using the gate electrode 68 as a mask, the first source diffusion layer 71a and the first drain diffusion layer 71a
b and ion implantation 93 for forming the second source diffusion layer 72a and the second drain diffusion layer 72b.
This is because the formation region of the second source diffusion layer 72a and the second drain diffusion layer 72b varies depending on the formation position of the gate electrode 68 serving as a mask. The gate electrode 68
Edge means a position where the side wall of the gate electrode 68 not in contact with the gate insulating film 67 intersects the gate insulating film 67.

【0089】第1のソース拡散層71a及び第1のドレ
イン拡散層71bは、短チャネル効果抑制のためにチャ
ネル面65に蓄積層が生じないように形成する必要があ
る。従って、ゲート電極68を凹部92のテーパ状の側
壁にエッジが位置するように形成することで、テーパ状
の側壁からチャネル面65の両端付近まで領域71a及
び71bが広がり、かつチャネル領域と同一の平面まで
は領域71a及び71bが広がらない構造を得ることが
できる。
The first source diffusion layer 71a and the first drain diffusion layer 71b need to be formed so that no accumulation layer is formed on the channel surface 65 in order to suppress the short channel effect. Therefore, by forming the gate electrode 68 such that the edge is located on the tapered side wall of the concave portion 92, the regions 71a and 71b extend from the tapered side wall to near both ends of the channel surface 65, and are the same as the channel region. A structure in which the regions 71a and 71b do not spread to a plane can be obtained.

【0090】また、図3(b)に示すように、ゲート電
極68のエッジを凹部92の底部よりも外側に、傾きを
もつ側面の一部のみを含むように形成することにより、
ゲート電極68のエッジ近傍のゲート絶縁膜67との接
合面を、ゲート絶縁膜67の傾きに合わせて斜めに形成
することができる。
As shown in FIG. 3B, the edge of the gate electrode 68 is formed outside the bottom of the concave portion 92 so as to include only a part of the inclined side surface.
The bonding surface with the gate insulating film 67 near the edge of the gate electrode 68 can be formed obliquely in accordance with the inclination of the gate insulating film 67.

【0091】ソース及びドレイン形成のためのイオン注
入93の後、活性化アニールとして例えばRTA(Rapi
d Thermal Anneal)を用いて900℃10秒程度の熱処
理により不純物を活性化する。ここで、ソース及びドレ
インの形成には、イオン注入93を用いずに、ゲート電
極68を形成した後にゲート電極68等をマスクとした
固相拡散を用いても良い。
After the ion implantation 93 for source and drain formation, RTA (Rapi (Rapi)
d Thermal Anneal) to activate the impurities by heat treatment at 900 ° C. for about 10 seconds. Here, the source and the drain may be formed by solid phase diffusion using the gate electrode 68 or the like as a mask after forming the gate electrode 68 without using the ion implantation 93.

【0092】次に、図1(b)に示すようにSiO2
らなる層間絶縁膜69を全面に形成した後、第2のソー
ス拡散層72a及び第2のドレイン拡散層72bの一部
とゲート電極68の一部が露出するようにコンタクトホ
ール73a〜73cを層間絶縁膜69に開孔する。次
に、Al膜またはAl−Cu膜等のメタルをコンタクト
ホール73a〜73cが充填するように全面に形成し、
このメタルをパターニングして回路設計に応じて配線7
0a〜70c(一部のみ図示)を順次形成する。次に、
図示しないパッシベーション膜を全面に堆積して、トラ
ンジスタ部分製造工程が完了する。
Next, as shown in FIG. 1B, after an interlayer insulating film 69 made of SiO 2 is formed on the entire surface, a part of the second source diffusion layer 72a and the second drain diffusion layer 72b and the gate are formed. Contact holes 73a to 73c are formed in interlayer insulating film 69 so that a part of electrode 68 is exposed. Next, a metal such as an Al film or an Al-Cu film is formed on the entire surface so as to fill the contact holes 73a to 73c,
This metal is patterned to form wiring 7 according to the circuit design.
0a to 70c (only some are shown) are sequentially formed. next,
A passivation film (not shown) is deposited on the entire surface to complete the transistor part manufacturing process.

【0093】以上の工程により形成された凹型MISト
ランジスタの傾きをもつ第1のドレイン拡散層71b付
近を拡大した断面図を電流経路とともに図4に示す。こ
のように構成された凹型チャネル構造のMISトランジ
スタによれば、凹部の傾きをもつ側面に沿って形成され
る反転層と蓄積層とを介してチャネル面65と基板表面
66との段差をもつ2つの平面を結ぶ電流経路は、チャ
ネル面65から基板表面66付近までを通る電流経路の
進行方向と鈍角をなす。
FIG. 4 is an enlarged sectional view showing the vicinity of the first drain diffusion layer 71b having the inclination of the concave MIS transistor formed by the above-described steps, together with the current path. According to the MIS transistor having the concave channel structure configured as described above, there is a step having a step between the channel surface 65 and the substrate surface 66 via the inversion layer and the storage layer formed along the side surface having the inclination of the concave portion. The current path connecting the two planes forms an obtuse angle with the traveling direction of the current path passing from the channel surface 65 to the vicinity of the substrate surface 66.

【0094】また、傾きをもつ第1のソース拡散層71
a及び第1のドレイン拡散層71bがゲート電極68と
相対する領域の表面が蓄積層として動作する。この蓄積
層の一端は、ソース又はドレインがチャネル領域と接合
を形成する部分であり、正味の不純物濃度は低い。従っ
て、不純物濃度で決定されるキャリア密度は低いが、こ
の蓄積層にはそれが形成されない場合のキャリア密度の
数十倍以上の濃度のキャリアが蓄積されるため、第1の
ソース拡散層71a又は第1のドレイン拡散層71bの
接合付近のキャリア密度を補うと共に、第1のソース拡
散層71a及び第1のドレイン拡散層71bで生じる抵
抗を除いている。また、この蓄積層は傾きをもつ第1の
ソース拡散層71a及び第1のドレイン拡散層71bの
側壁に沿って形成される。従って、図4の一点鎖線で示
すように、チャネル面65からシリコン基板61表面の
コンタクトへ至る電流経路を直線に近いものにして電流
経路を短くでき、これにより寄生抵抗を小さくできる。
The first source diffusion layer 71 having an inclination
The surface of the region where a and the first drain diffusion layer 71b face the gate electrode 68 operates as a storage layer. One end of the accumulation layer is a portion where the source or the drain forms a junction with the channel region, and has a low net impurity concentration. Therefore, although the carrier density determined by the impurity concentration is low, carriers having a concentration of several tens of times or more the carrier density in the case where the carrier is not formed are accumulated in this accumulation layer, so that the first source diffusion layer 71a or The carrier density near the junction of the first drain diffusion layer 71b is supplemented, and the resistance generated in the first source diffusion layer 71a and the first drain diffusion layer 71b is eliminated. This accumulation layer is formed along the side walls of the first source diffusion layer 71a and the first drain diffusion layer 71b having an inclination. Therefore, as shown by the dashed line in FIG. 4, the current path from the channel surface 65 to the contact on the surface of the silicon substrate 61 can be shortened by making the current path close to a straight line, thereby reducing the parasitic resistance.

【0095】また、蓄積層の一方の端部、すなわちゲー
トエッジを通り該エッジに接するゲート絶縁膜67表面
に対する法線と交わる蓄積層の端部はチャネル底面より
も浅く位置し、充分に高い不純物濃度を持つ点に位置す
ることができる。従って、短チャネル効果を生じずに、
この位置での不純物分布深さaを深く同時にゲートエッ
ジより外側の領域の不純物分布深さを深くして、この領
域の抵抗を低くできる。ここで、不純物深さとは、第1
のソース拡散層71a又は第1のドレイン拡散層71b
の表面に対する法線方向の不純物分布の深さである。ま
た、抵抗を低くするには、不純物分布深さa方向に積分
した不純物濃度が1×1013cm-2以上であることが望
ましい。また、この蓄積層の端部は、チャネル底面より
も浅く位置するため、この位置から深くまで不純物を分
布させ、ゲートエッジよりも外側の領域の抵抗を低くし
ても、短チャネル効果を生じない。
One end of the storage layer, that is, the end of the storage layer passing through the gate edge and intersecting the normal to the surface of the gate insulating film 67 in contact with the edge is located shallower than the channel bottom and has a sufficiently high impurity. It can be located at a point with density. Therefore, without causing the short channel effect,
The impurity distribution depth a at this position is made deeper, and at the same time, the impurity distribution depth of the region outside the gate edge is made deeper, so that the resistance of this region can be reduced. Here, the impurity depth is the first
Source diffusion layer 71a or first drain diffusion layer 71b
Is the depth of impurity distribution in the normal direction to the surface. In order to lower the resistance, it is desirable that the impurity concentration integrated in the direction of the impurity distribution depth a is 1 × 10 13 cm −2 or more. Further, since the end portion of the accumulation layer is located shallower than the channel bottom surface, even if the impurity is distributed deep from this position and the resistance of the region outside the gate edge is lowered, the short channel effect does not occur. .

【0096】また、蓄積層となる領域以外は、第1のソ
ース拡散層71a及びゲート電極68並びに第1のドレ
イン拡散層71b及びゲート電極68の距離を広くとれ
るので、寄生容量を小さくできる。さらに、第1のソー
ス拡散層71a及び第1のドレイン拡散層71bはチャ
ネル面65よりも浅い位置に形成される凹型トランジス
タ構造をとるため、ソース及びドレインがチャネルと同
一平面に形成されることにより生ずる短チャネル効果を
抑制することができる。また、この凹型トランジスタ構
造において、特に第1のソース拡散層71a及び第1の
ドレイン拡散層71bがゲート電極68と相対する領域
近傍におけるソース及びドレインの下面はチャネルより
もさらに高く位置するため、さらに短チャネル効果の抑
制を図ることができる。
In addition, since the distance between the first source diffusion layer 71a and the gate electrode 68 and the distance between the first drain diffusion layer 71b and the gate electrode 68 can be increased in regions other than the region serving as the storage layer, the parasitic capacitance can be reduced. Further, since the first source diffusion layer 71a and the first drain diffusion layer 71b have a concave transistor structure formed at a position shallower than the channel surface 65, the source and the drain are formed on the same plane as the channel. The resulting short channel effect can be suppressed. In addition, in this concave transistor structure, the lower surfaces of the source and the drain are located higher than the channel especially in the vicinity of the region where the first source diffusion layer 71a and the first drain diffusion layer 71b are opposed to the gate electrode 68. The short channel effect can be suppressed.

【0097】なお、ゲート電極68のソース及びドレイ
ンに隣接する下端を図4に示すように傾きをもって直線
的に、あるいは丸みをもって形成すれば、ゲートの電界
によってゲート両端のソース及びドレイン近くに生じる
反転層または蓄積層のキャリア濃度を高くすることがで
き、ゲート両端近傍のチャネル領域およびソース及びド
レイン端部における抵抗を小さくすることができる。ま
た、このキャリア分布によってチャネル面65からソー
ス及びドレインヘの電流経路が鈍角に形成されることに
より、鋭角な経路を電子が走行する場合に失われる仕事
を軽減でき、電流値の減少を低減することができる。
If the lower end of the gate electrode 68 adjacent to the source and the drain is formed linearly with a slope as shown in FIG. 4 or rounded, the inversion generated near the source and the drain at both ends of the gate due to the electric field of the gate. The carrier concentration of the layer or the storage layer can be increased, and the resistance in the channel region near both ends of the gate and the ends of the source and drain can be reduced. In addition, the current distribution from the channel surface 65 to the source and the drain is formed at an obtuse angle by the carrier distribution, so that work lost when electrons travel on an acute path can be reduced, and the decrease in the current value can be reduced. Can be.

【0098】また、傾きをもつ第1のソース拡散層71
a及び第1のドレイン拡散層71bの下端における接合
探さをチャネル面65よりも上面に保持しつつ、第2の
ソース拡散層72a及び第2のドレイン拡散層72bの
接合探さを深くすれば、ソース及びドレインのさらなる
低抵抗化を図ることができる。
The first source diffusion layer 71 having an inclination
If the depth of the junction between the second source diffusion layer 72a and the second drain diffusion layer 72b is increased while the depth of the junction between the second source diffusion layer 72a and the second drain diffusion Further, the resistance of the drain can be further reduced.

【0099】また、第1のソース拡散層71a及びゲー
ト電極68並びに第1のドレイン拡散層71b及びゲー
ト電極68が相対する領域は必ずしもシリコン基板61
に対して直角よりも緩やかな傾きをもって形成されてい
る必要はない。例えば、ゲート電極68の底部付近では
ゲート絶縁膜67とともにシリコン基板61表面に対し
てほぼ垂直に形成され、ゲート電極68の底部から所定
の距離離れた位置からゲート電極68との距離が徐々に
広がるように第1のソース拡散層71a及び第1のドレ
イン拡散層71bの表面が形成される構造であっても、
本発明と同様の効果を奏する。
The regions where the first source diffusion layer 71a and the gate electrode 68 and the first drain diffusion layer 71b and the gate electrode 68 face each other are not necessarily the silicon substrate 61.
Does not need to be formed with a gentler inclination than at right angles. For example, near the bottom of the gate electrode 68, the gate insulating film 67 and the gate insulating film 67 are formed substantially perpendicular to the surface of the silicon substrate 61, and the distance from the bottom of the gate electrode 68 to the gate electrode 68 gradually increases from a predetermined distance. As described above, even in the structure where the surfaces of the first source diffusion layer 71a and the first drain diffusion layer 71b are formed,
The same effects as those of the present invention can be obtained.

【0100】なお、本実施形態ではゲート電極68のエ
ッジが凹部92の内側に位置する場合を示したが、凹部
92と凹部92外側の領域との境界点にゲート電極68
のエッジが位置するものでもよい。また、ゲート絶縁膜
67近傍におけるソースとチャネル領域の接合位置又は
ドレインとチャネル領域の接合位置がゲート電極68の
エッジの直下に位置するものでもよい。以下の実施形態
でも同様である。
Although the present embodiment has shown the case where the edge of the gate electrode 68 is located inside the concave portion 92, the gate electrode 68 is located at the boundary between the concave portion 92 and the region outside the concave portion 92.
May be located. Further, the junction between the source and the channel region or the junction between the drain and the channel region near the gate insulating film 67 may be located immediately below the edge of the gate electrode 68. The same applies to the following embodiments.

【0101】(第2実施形態)図5は曲率を有するチャ
ネル領域を持つ本発明のトランジスタ構造を実現する実
施形態を示す工程断面図である。ここでは、マスク上で
のゲート電極とコンタクトとの距離をゼロとし、傾きを
持つソース又はドレインの上に、ゲート電極と自己整合
的にコンタクトを形成している。
(Second Embodiment) FIG. 5 is a process sectional view showing an embodiment for realizing the transistor structure of the present invention having a channel region having a curvature. Here, the distance between the gate electrode and the contact on the mask is zero, and the contact is formed on the inclined source or drain in a self-aligned manner with the gate electrode.

【0102】以下、本実施形態に係る凹型MISトラン
ジスタの製造方法を説明する。
Hereinafter, a method for manufacturing the concave MIS transistor according to this embodiment will be described.

【0103】まず、活性領域内のシリコン基板61上
に、厚さ0.02μmのSiO2膜91を形成する。次
に、Si34膜101を0.5μm堆積し、例えば図示
しない所望のフォトレジストパターンをマスクに用いて
Si34膜101の開口部を形成する。次に、図5
(a)に示すように、Si34膜101をマスクとし
て、RIEによりシリコン基板61をエッチングするこ
とにより凹部102を形成する。同図に示すような凹部
102の構成をとることにより、コンタクトへつながる
ソース領域及びドレイン領域をチャネル領域よりも高く
形成することができる。
First, a 0.02 μm thick SiO 2 film 91 is formed on the silicon substrate 61 in the active region. Next, an Si 3 N 4 film 101 is deposited to a thickness of 0.5 μm, and an opening of the Si 3 N 4 film 101 is formed using, for example, a desired photoresist pattern (not shown) as a mask. Next, FIG.
As shown in FIG. 3A, a recess 102 is formed by etching the silicon substrate 61 by RIE using the Si 3 N 4 film 101 as a mask. With the configuration of the concave portion 102 as shown in FIG. 11, the source region and the drain region connected to the contact can be formed higher than the channel region.

【0104】次に、凹部102を充填するようにSiO
2膜103を堆積し、Si34膜101をストッパとす
るCMPにより平坦化する。そして、フォトレジストを
用いたリソグラフィによりレジストパターンをマスクと
してRIEによりゲート電極を形成すべき領域のSiO
2膜103をエッチングして開口する。さらに、図5
(b)に示すように、開口底部のシリコン基板61をエ
ッチングし、チャネル領域の凹部104を形成する。こ
のとき、エッチング条件を調節することにより、凹部1
04を概ね均一な曲率を有するように形成する。次にエ
ッチング時に生じた図示しないポリマー層を除去してシ
リコン基板61の表面を露出させ、例えば800℃の水
素雰囲気中で2分程度熱処理を行うことにより、RIE
によって生じた凹部104内のダメージ回復処理を行
う。この時、例えば950℃、10Torrの水素雰囲
気中で1分程度熱処理することにより、表面エネルギー
の釣り合いにより凹部104表面のシリコン原子を移動
させ、凹部の曲率をより均一にすることも可能である。
次に、必要な場合には、露出したシリコン基板61表面
に厚さ5nm程度の図示しない犠牲SiO2膜を例えば
熱酸化法により形成し、しきい電圧制御等のためのチャ
ネルイオン注入を行う。
Next, the SiO 2 is filled so as to fill the recess 102.
2 film 103 is deposited and planarized by CMP using the Si 3 N 4 film 101 as a stopper. Then, lithography using a photoresist is used to form a gate electrode by RIE using the resist pattern as a mask.
The second film 103 is opened by etching. Further, FIG.
As shown in (b), the silicon substrate 61 at the bottom of the opening is etched to form a recess 104 in the channel region. At this time, by adjusting the etching conditions, the concave portion 1 is formed.
04 is formed to have a substantially uniform curvature. Next, the polymer layer (not shown) generated at the time of etching is removed to expose the surface of the silicon substrate 61, and a heat treatment is performed in, for example, a hydrogen atmosphere at 800 ° C. for about 2 minutes to perform RIE.
A damage recovery process in the concave portion 104 caused by this is performed. At this time, for example, by performing a heat treatment in a hydrogen atmosphere at 950 ° C. and 10 Torr for about 1 minute, silicon atoms on the surface of the concave portion 104 can be moved by the balance of surface energy, and the curvature of the concave portion can be made more uniform.
Next, if necessary, a sacrificial SiO 2 film (not shown) having a thickness of about 5 nm is formed on the exposed surface of the silicon substrate 61 by, for example, a thermal oxidation method, and channel ion implantation for controlling a threshold voltage or the like is performed.

【0105】次に、上記犠牲SiO2膜を剥離し、ゲー
ト絶縁膜105として、例えば厚さ3nm程度のSiO
2膜を熱酸化法により形成する。
Next, the sacrificial SiO 2 film is peeled off, and as the gate insulating film 105, for example, a SiO 2 film having a thickness of about 3 nm is used.
Two films are formed by a thermal oxidation method.

【0106】次に、SiO2膜103に囲まれた凹部1
04内が充填するように導電膜を堆積する。導電膜とし
ては例えばリンを高濃度にドープしたポリシリコンを用
いる。次に、Si34膜101をストッパとしてCMP
により平坦化し、図5(c)に示すようにゲート電極6
8を形成する。次に、ホットリン酸処理によりSi34
膜101を除去し、続いてSiO2膜103をフッ酸処
理により除去し、ゲート電極68に隣接するソース領域
及びドレイン領域の表面となるシリコン基板61表面を
露出する。次に、ゲート電極68をマスクとしてイオン
注入によりゲート端に自己整合的に第1のソース拡散層
106aと第1のドレイン拡散層106bを形成する。
次に、第1のソース拡散層106aと第1のドレイン拡
散層106bの不純物を活性化するためのアニールを行
う。
Next, the concave portion 1 surrounded by the SiO 2 film 103
A conductive film is deposited so as to fill the inside of the substrate. As the conductive film, for example, polysilicon doped with phosphorus at a high concentration is used. Next, CMP is performed using the Si 3 N 4 film 101 as a stopper.
And the gate electrode 6 is flattened as shown in FIG.
8 is formed. Next, by hot phosphoric acid treatment, Si 3 N 4
The film 101 is removed, and then the SiO 2 film 103 is removed by hydrofluoric acid treatment to expose the surface of the silicon substrate 61 which is the surface of the source and drain regions adjacent to the gate electrode 68. Next, using the gate electrode 68 as a mask, a first source diffusion layer 106a and a first drain diffusion layer 106b are formed in a self-aligned manner at the gate end by ion implantation.
Next, annealing for activating the impurities in the first source diffusion layer 106a and the first drain diffusion layer 106b is performed.

【0107】次に、図5(d)に示すように、表面に例
えば0.04μm程度のSi34膜を堆積した後、RI
Eによりいわゆる側壁残しのプロセスによりSi34
を部分的に除去し、ゲート電極68の側面に側壁窒化膜
107を形成する。側壁窒化膜107の厚さは、側壁の
ゲート電極68に接しない一端が凹部102の内部に含
まれるように形成する。
Next, as shown in FIG. 5D, after depositing a Si 3 N 4 film of, for example, about 0.04 μm on the surface, the RI
By E, the Si 3 N 4 film is partially removed by a so-called side wall leaving process, and a side wall nitride film 107 is formed on the side surface of the gate electrode 68. The thickness of the sidewall nitride film 107 is formed such that one end of the sidewall not in contact with the gate electrode 68 is included in the recess 102.

【0108】次に、側壁窒化膜107をマスクとしてイ
オン注入により第2のソース拡散層108a及び第2の
ドレイン拡散層108bを形成する。これにより、ソー
ス及びドレインの抵抗を下げることができる。第1のソ
ース拡散層106a及び第1のドレイン拡散層106b
の抵抗が充分に低い場合にはこの第2のソース拡散層1
08a又は第2のドレイン拡散層108bの形成は行わ
なくてもよい。
Next, using the sidewall nitride film 107 as a mask, a second source diffusion layer 108a and a second drain diffusion layer 108b are formed by ion implantation. Thereby, the resistance of the source and the drain can be reduced. First source diffusion layer 106a and first drain diffusion layer 106b
If the resistance of the second source diffusion layer 1 is sufficiently low,
08a or the second drain diffusion layer 108b may not be formed.

【0109】次に、全面にSiO2による層間絶縁膜6
9を堆積し、ゲート電極68をストッパとしてCMPを
行い平坦化する。次に、CDEにより、ゲート電極68
の上部を0.1μm程度後退させ、次に、上記後退させ
たゲート電極68上部の溝を埋めるようにSi34膜を
堆積し、CMPにより平坦化し、保護窒化膜109を形
成する。次に、第1のソース拡散層107a及び第1の
ドレイン拡散層107bへのコンタクトホールをRIE
により開口する。側壁窒化膜107及び保護窒化膜10
9が形成されているため、マスク上でのゲート電極68
との距離をゼロとしてRIEを行うことが可能である。
Next, an interlayer insulating film 6 of SiO 2 is formed on the entire surface.
9 is deposited, and is planarized by CMP using the gate electrode 68 as a stopper. Next, the gate electrode 68 is formed by CDE.
Then, a Si 3 N 4 film is deposited so as to fill the trench above the recessed gate electrode 68 and planarized by CMP to form a protective nitride film 109. Next, contact holes to the first source diffusion layer 107a and the first drain diffusion layer 107b are formed by RIE.
To open. Sidewall nitride film 107 and protective nitride film 10
9, the gate electrode 68 on the mask is formed.
It is possible to perform RIE with the distance to zero as zero.

【0110】次に、導電材料、例えばポリシリコンを開
口されたコンタクトホールへ充填して配線110a及び
110bを形成する。コンタクト抵抗を低減するため、
配線110a及び110bの底面のシリコン基板61表
面にTi等を堆積し、シリサイド化してもよい。
Next, a conductive material, for example, polysilicon is filled into the opened contact holes to form wirings 110a and 110b. To reduce contact resistance,
Ti or the like may be deposited on the surface of the silicon substrate 61 on the bottom surfaces of the wirings 110a and 110b, and may be silicided.

【0111】シリサイド化によりソース及びドレインの
コンタクト抵抗を低減する際には、シリコン基板61表
面がシリサイド化するため、シリサイド化した部分の底
面が拡散層の接合に近くなり、リーク電流が増大する問
題がある。従って、シリサイド化を行うには充分に深い
拡散層を形成する必要があり、短チャネル効果を低減さ
せるために浅い接合を形成すると一般にこの必要を満た
すことが困難であった。本発明ではソース及びドレイン
を傾きをもってチャネル領域よりも高く位置させている
ため、ソース又はドレインの拡散層を深くすることがで
き、シリサイド化によりコンタクト抵抗を低減すること
が容易である。
When the contact resistance of the source and the drain is reduced by silicidation, the surface of the silicon substrate 61 is silicided, so that the bottom surface of the silicided portion is close to the junction of the diffusion layer, and the leakage current increases. There is. Therefore, it is necessary to form a sufficiently deep diffusion layer in order to perform silicidation, and it is generally difficult to satisfy this need if a shallow junction is formed to reduce the short channel effect. In the present invention, since the source and the drain are positioned higher than the channel region with an inclination, the diffusion layer of the source or the drain can be deepened, and the contact resistance can be easily reduced by silicidation.

【0112】このように本実施形態によれば、チャネル
領域とゲート絶縁膜との界面を定義する凹部を曲線的に
形成することにより、局所的に見た場合に電流経路が直
線的になるように形成される。従って、電流経路を短く
形成することができ、かつ角をもつ電流経路を有する構
造に比較してゲート絶縁膜105の耐圧の向上と、電子
の易動度の劣化を防止することができる。
As described above, according to the present embodiment, by forming the concave portion defining the interface between the channel region and the gate insulating film in a curved line, the current path becomes linear when viewed locally. Formed. Therefore, the current path can be formed short, and the withstand voltage of the gate insulating film 105 can be improved and the mobility of electrons can be prevented from being deteriorated, as compared with a structure having a current path having corners.

【0113】また、チャネル領域に連なるソース及びド
レインの蓄積層は、チャネル領域よりも上面に傾きをも
って位置するため、短チャネル効果が抑制される。
In addition, since the source and drain accumulation layers connected to the channel region are positioned with an inclination above the channel region, the short channel effect is suppressed.

【0114】次に、凹部内部に形成されたチャネル領域
の曲率半径を均一に形成することによる利点を説明す
る。
Next, the advantage obtained by forming the radius of curvature of the channel region formed inside the concave portion to be uniform will be described.

【0115】周辺部分に比較して小さな曲率半径を持つ
部分がチャネル中にある場合、この部分では大きな曲率
半径を持つ周辺部分よりもゲート電極による電界が発散
し、反転層に誘起されるキャリアが減少し、抵抗が高く
なる。チャネル全体で均一な曲率半径を持つ場合、この
キャリアの減少がチャネル全体において起こるために、
基板濃度をチャネル全体において下げることにより、キ
ャリアを全体的に増加させることができる。すなわち均
一な曲率半径による凹型トランジスタでは、曲率半径の
小さいあるいは角の部分を持つ凹型トランジスタに比較
して抵抗を低く、しかも基板濃度を低く設定できる。低
い基板濃度は、ドレイン拡散層との接合リーク電流を抑
制することができる利点がある。
When a portion having a smaller radius of curvature in the channel than the peripheral portion is present in the channel, the electric field by the gate electrode diverges in this portion than in the peripheral portion having a large radius of curvature, and carriers induced in the inversion layer are reduced. Decreases and the resistance increases. With a uniform radius of curvature throughout the channel, this carrier loss occurs throughout the channel,
By lowering the substrate concentration throughout the channel, the carrier can be increased overall. That is, a concave transistor having a uniform radius of curvature can have a lower resistance and a lower substrate concentration than a concave transistor having a small radius of curvature or having a corner portion. A low substrate concentration has the advantage that the junction leakage current with the drain diffusion layer can be suppressed.

【0116】さらに、本実施形態では、マスク上でのゲ
ートとコンタクトの間の距離をゼロとして、自己整合的
にコンタクトを形成することにより、トランジスタ作成
のための面積を大幅に縮小することが可能となる。
Further, in the present embodiment, by making the distance between the gate and the contact on the mask zero, and forming the contact in a self-aligned manner, it is possible to greatly reduce the area for forming the transistor. Becomes

【0117】(第3実施形態)図6は本発明の第3実施
形態に係る半導体装置の全体構成を示す断面図である。
本実施形態に係る半導体装置は第1実施形態の変形例で
あり、第1実施形態の図3(b)で示したイオン注入9
3又はその後の活性化アニールの条件を調節することに
より、第1のソース拡散層71a及び第1のドレイン拡
散層71bに不純物領域94a及び94bを付加したも
のである。
(Third Embodiment) FIG. 6 is a sectional view showing an overall configuration of a semiconductor device according to a third embodiment of the present invention.
The semiconductor device according to the present embodiment is a modification of the first embodiment, and the ion implantation 9 of the first embodiment shown in FIG.
By adjusting the condition of the third or subsequent activation annealing, impurity regions 94a and 94b are added to the first source diffusion layer 71a and the first drain diffusion layer 71b.

【0118】図6に示すように、第1のソース拡散層7
1a及び第1のドレイン拡散層71bの下端がチャネル
面65の端のコーナ部分を覆って形成されており、コー
ナ部を覆う不純物領域94a及び94bが形成される。
この構造では、第1のソース拡散層71a及び第1のド
レイン拡散層71bのゲート端に相当する部分に形成さ
れる蓄積層に続いて、チャネル面65の端のコーナ部分
のキャリア密度も高くなり、トランジスタ動作時に流れ
る電流値をさらに増大させることができる。
As shown in FIG. 6, the first source diffusion layer 7
The lower ends of the drain diffusion layer 1a and the first drain diffusion layer 71b are formed so as to cover the corners at the ends of the channel surface 65, and impurity regions 94a and 94b that cover the corners are formed.
In this structure, the carrier density in the corner portion at the end of the channel surface 65 also increases after the accumulation layer formed at the portion corresponding to the gate end of the first source diffusion layer 71a and the first drain diffusion layer 71b. In addition, the value of the current flowing during the operation of the transistor can be further increased.

【0119】チャネル面65に対する不純物領域94a
及び94bの接合深さは反転層の厚さ程度、例えば0.
01μm以下に形成すればよい。傾きをもつ不純物領域
94a及び94bがゲート絶縁膜67を介してゲート電
極68に相対する部分の表面に、動作時にゲート電極6
8の電界により充分なキャリアが誘起されて蓄積層が形
成され、低抵抗による電流経路が形成される。このた
め、例えば図26に示した従来の平面型トランジスタの
場合に電流経路を形成するために基板1内へ0.02μ
m程度の接合深さが想定されているのと異なり、チャネ
ル面65に対する不純物領域94a及び94bの接合深
さを浅く形成できるので、短チャネル効果を抑制した上
で電流値を増大させることができる。
Impurity region 94a for channel surface 65
And 94b have a junction depth of about the thickness of the inversion layer, for example, 0.1 mm.
It may be formed to a thickness of 01 μm or less. The inclined impurity regions 94a and 94b are provided on the surface of the portion facing the gate electrode 68 via the gate insulating film 67 during operation.
Sufficient carriers are induced by the electric field 8 to form a storage layer, and a current path with low resistance is formed. For this reason, for example, in the case of the conventional planar transistor shown in FIG.
Unlike the case where a junction depth of about m is assumed, the junction depth of the impurity regions 94a and 94b with respect to the channel surface 65 can be formed shallow, so that the current value can be increased while suppressing the short channel effect. .

【0120】このように、チャネル領域の両端に形成さ
れた、傾きをもつ第1のソース拡散層71a及び第1の
ドレイン拡散層71bへ連なるコーナ部分を覆ってごく
浅い、反転層の厚さ程度のn型の不純物領域94a及び
94bをさらに形成することにより、第1実施形態と同
様の効果を奏するとともに、第1実施形態の場合に比較
してコーナ部分におけるキャリア密度を高くすることが
でき、さらに高い電流値を得ることができる。
As described above, a very shallow, inversion layer thickness is formed to cover the corner portions formed at both ends of the channel region and connected to the inclined first source diffusion layer 71a and first drain diffusion layer 71b. By further forming the n-type impurity regions 94a and 94b, the same effect as in the first embodiment can be obtained, and the carrier density in the corner portion can be increased as compared with the case of the first embodiment. Even higher current values can be obtained.

【0121】(第4実施形態)図7〜図12は、本発明
の第4実施形態に係る半導体装置(凹型MISトランジ
スタ)の製造工程を示す図である。本実施形態は、図1
(b)に示した第1実施形態の凹型トランジスタ構造の
特徴を備えた構造を実現するための製造方法に関する。
本実施形態が第1実施形態と異なる点は、活性領域62
のうち、ゲート電極68の底面に覆われていないシリコ
ン基板61上に、ゲート電極68の側面に対して傾斜を
もったテーパ状の表面を有して選択的にエピタキシャル
成長させた単結晶シリコンからなるエピタキシャル領域
を設け、このエピタキシャル領域の傾斜をもった表面を
持つ部分を、傾きをもつソース及びドレインとして用い
たことにある。
(Fourth Embodiment) FIGS. 7 to 12 are views showing a process of manufacturing a semiconductor device (concave MIS transistor) according to a fourth embodiment of the present invention. In the present embodiment, FIG.
The present invention relates to a manufacturing method for realizing a structure having the features of the concave transistor structure of the first embodiment shown in FIG.
This embodiment is different from the first embodiment in that the active region 62
Among them, on the silicon substrate 61 not covered with the bottom surface of the gate electrode 68, a single-crystal silicon selectively epitaxially grown with a tapered surface inclined with respect to the side surface of the gate electrode 68 is formed. An epitaxial region is provided, and a portion having an inclined surface of the epitaxial region is used as a source and a drain having an inclination.

【0122】以下、図7〜図12を用いて、本実施形態
の凹型MISトランジスタの製造方法を説明する。図7
〜図12において、(a)は半導体装置を上面から見た
図で、(a)における12A−12A’〜17A−17
A’断面図を(b)に、12B−12B’〜17B−1
7B’断面図を(c)に、12C−12C’〜17C−
17C’断面図を(d)にそれぞれ示す。
Hereinafter, a method of manufacturing the concave MIS transistor according to the present embodiment will be described with reference to FIGS. FIG.
12A to 12A are views of the semiconductor device as viewed from above, and 12A-12A ′ to 17A-17 in FIG.
The cross section taken along the line A 'is shown in FIG.
FIG. 7C is a cross-sectional view of 7B ′, showing 12C-12C ′ to 17C-
17D 'cross-sectional views are shown in FIG.

【0123】図7(b)に示すように、活性領域62の
全面にSiO2 膜131を例えば熱酸化法により形成
し、次に、リソグラフィの技術を用いて、ゲート電極6
8を形成する領域にSiN4 膜によるダミーゲート13
2を形成する。次に、活性領域62のうちダミーゲート
132に覆われていない領域の酸化膜を例えば希釈フッ
酸により除去する。図7(b)の131は、ダミーゲー
ト132下に位置することで残存したSiO2 膜であ
る。
As shown in FIG. 7B, an SiO 2 film 131 is formed on the entire surface of the active region 62 by, for example, a thermal oxidation method, and then the gate electrode 6 is formed by lithography.
The dummy gate 13 made of a SiN 4 film is formed in a region where
Form 2 Next, the oxide film in a region of the active region 62 not covered by the dummy gate 132 is removed by, for example, diluted hydrofluoric acid. In FIG. 7B, reference numeral 131 denotes an SiO 2 film remaining after being located below the dummy gate 132.

【0124】次に、活性領域62上に、ダミーゲート1
32をマスクとし、シリコン基板61を核として選択的
に結晶シリコン層をエピタキシャル成長させる。ダミー
ゲート132のように、核となるシリコン結晶の分布を
遮断する物質が配置された表面上に固相成長させる場
合、シリコン領域の端においては連続的に真上に積み上
げて成長せず、傾きをもつ表面、いわゆるファセットが
現れる。本実施形態の場合、シリコン結晶において(1
11)面が最も表面エネルギーが小さく最も成長速度が
遅いことを活用し、(111)面で、シリコン基板61
表面と約50度の角度をなすファセットを用いる。
Next, on the active region 62, the dummy gate 1
A crystalline silicon layer is selectively epitaxially grown using the silicon substrate 61 as a nucleus using the mask 32 as a mask. When solid phase growth is performed on a surface on which a substance that blocks distribution of a silicon crystal serving as a nucleus, such as the dummy gate 132, is not continuously grown directly on the edge of the silicon region but grows. A surface with so-called facets appears. In the case of the present embodiment, (1)
By utilizing the fact that the surface energy is the smallest and the growth rate is the slowest on the (11) plane, the silicon substrate 61 on the (111) plane is utilized.
Use facets that make an angle of about 50 degrees with the surface.

【0125】具体的にはまず、LPCVD装置内におい
て、露出した活性領域62のシリコン基板61表面を例
えば900℃の水素雰囲気中で180秒間アニールする
ことにより、基板表面の自然酸化膜を除去した後、連続
して同一チャンバ内において、例えば600℃、100
Torrにおいて、水素ガス10slm、SiH4 ガス
1slmの流量により、全面にアモルファスシリコンを
28秒間堆積する。
More specifically, first, in the LPCVD apparatus, the surface of the silicon substrate 61 in the exposed active region 62 is annealed for 180 seconds in a hydrogen atmosphere at 900 ° C., for example, to remove the natural oxide film on the substrate surface. Continuously in the same chamber, for example, at 600 ° C. and 100 ° C.
At Torr, amorphous silicon is deposited on the entire surface for 28 seconds at a flow rate of 10 slm of hydrogen gas and 1 slm of SiH 4 gas.

【0126】さらに、連続して同一チャンバ内におい
て、単結晶シリコンを600℃、H2雰囲気内において
80秒間アニールすることにより固相成長させると、基
板表面シリコン単結晶が核となってアモルファスシリコ
ンが単結晶シリコン化する。この時、SiO2 膜131
およびダミーゲート132の表面に接する部分は単結晶
化せず、SiO2 膜131およびダミーゲート132を
一端として、シリコン基板61表面に対し50度の角度
を持った側壁を形成する。
Further, when single-crystal silicon is continuously annealed in the same chamber at 600 ° C. in an H 2 atmosphere for 80 seconds to perform solid phase growth, the silicon single crystal on the substrate surface becomes a nucleus and amorphous silicon is formed. Convert to single crystal silicon. At this time, the SiO 2 film 131
The portion in contact with the surface of the dummy gate 132 is not monocrystallized, and a side wall having an angle of 50 degrees with respect to the surface of the silicon substrate 61 is formed using the SiO 2 film 131 and the dummy gate 132 as one end.

【0127】このようにして、ダミーゲート132の形
成領域に対して自己整合的にシリコン基板61に対して
傾きをもったエピタキシャルシリコン領域が、シリコン
基板61表面上に選択形成される。次に、単結晶化せず
にアモルファスシリコンとして残った部分をフッ硝酸に
より除去し、図7(b)に示す選択成長エピタキシャル
領域133が形成される。
In this manner, an epitaxial silicon region which is inclined with respect to the silicon substrate 61 in a self-aligned manner with respect to the formation region of the dummy gate 132 is selectively formed on the surface of the silicon substrate 61. Next, portions remaining as amorphous silicon without being single-crystallized are removed with hydrofluoric nitric acid to form a selective growth epitaxial region 133 shown in FIG. 7B.

【0128】次に、図8(b)に示すように、選択成長
エピタキシャル領域133上およびダミーゲート132
を覆って、例えば10nmのSiO2 膜141をCVD
法により形成する。エピタキシャル領域133上に形成
されたSiO2 膜141は次工程のイオン注入142の
ための保護膜として働く。一方、ダミーゲート132の
側面下端とエピタキシャル領域133の端とが接する領
域の上のSiO2 膜141は、ゲート絶縁膜171の厚
さとの差に基づいて後に形成するゲート電極68のソー
ス及びドレイン側の端部を、傾きをもつソース及びドレ
イン上に形成するための膜であり、後に図11(b)で
図示する傾きをもつゲート端部172の形状を決定す
る。このSiO2 膜141の堆積により厚みを帯びた活
性領域とゲート電極形成領域を図8(a)の143に示
す。
Next, as shown in FIG. 8 (b), on the selective growth epitaxial region 133 and on the dummy gate 132
And a 10 nm-thick SiO 2 film 141 formed by CVD, for example.
It is formed by a method. The SiO 2 film 141 formed on the epitaxial region 133 functions as a protective film for ion implantation 142 in the next step. On the other hand, the SiO 2 film 141 on the region where the lower end of the side surface of the dummy gate 132 is in contact with the end of the epitaxial region 133 is formed on the source and drain sides of the gate electrode 68 to be formed later based on the difference between the thickness of the gate insulating film 171. Are formed on the inclined source and drain, and the shape of the inclined gate end 172 shown in FIG. 11B will be determined later. The active region and the gate electrode forming region which are thickened by the deposition of the SiO 2 film 141 are shown by 143 in FIG.

【0129】次に、n型不純物のイオン注入142を行
う。このイオン注入142の後、例えば900℃30秒
RTAによる活性化を兼ねた熱拡散アニールによって、
注入した不純物を後に形成する図11(b)に示す傾き
をもつゲート端部172と相対する領域まで拡散させ、
図9(b)に示す第2のソース拡散層152a及び第2
のドレイン拡散層152bを形成する。選択成長エピタ
キシャル領域133の傾きをもつ表面上にイオン注入1
42を行うことにより、同時にエピタキシャル領域13
3の傾きをもった表面にエピタキシャル層ファセットに
よる傾きをもつ第1のソース拡散層151a及び第1の
ドレイン拡散層151bを形成する。イオン注入142
の条件は、例えば第1実施形態の場合と同じでもよい。
Next, ion implantation 142 of an n-type impurity is performed. After the ion implantation 142, for example, thermal diffusion annealing combined with activation by RTA at 900 ° C. for 30 seconds is performed.
The implanted impurity is diffused to a region opposed to a gate end 172 having a slope shown in FIG.
The second source diffusion layer 152a shown in FIG.
Is formed. Ion implantation 1 on the inclined surface of selective growth epitaxial region 133
42, the epitaxial region 13
A first source diffusion layer 151a and a first drain diffusion layer 151b having an inclination due to an epitaxial layer facet are formed on a surface having an inclination of 3. Ion implantation 142
May be the same as in the first embodiment, for example.

【0130】次に、装置全面にポリシリコンを堆積した
後、CMP153を用いて、ダミーゲート132をスト
ッパとして上層のポリシリコンを除去し、ダミーゲート
132の上端を露出したポリシリコン膜154を形成す
る。なお、装置全面に堆積する材料としてはポリシリコ
ンに限らず、例えばTEOS等、ダミーゲート132の
材料に応じて種々変更可能である。
Next, after depositing polysilicon on the entire surface of the device, the upper layer polysilicon is removed using CMP 153 with the dummy gate 132 as a stopper, and a polysilicon film 154 exposing the upper end of the dummy gate 132 is formed. . The material deposited on the entire surface of the device is not limited to polysilicon, but can be variously changed according to the material of the dummy gate 132 such as TEOS.

【0131】次に、図10(b)に示すように、ホット
燐酸を用いてダミーゲート132を除去し、ゲート電極
部分に対応する凹部161を形成する。この凹部161
はゲート絶縁膜171およびゲート電極68を埋め込み
形成する領域である。
Next, as shown in FIG. 10B, the dummy gate 132 is removed by using hot phosphoric acid to form a concave portion 161 corresponding to the gate electrode portion. This recess 161
Is a region where the gate insulating film 171 and the gate electrode 68 are buried.

【0132】ゲート電極68形成に先立って、必要に応
じてポリシリコン膜154およびフォトレジスト等をマ
スクとして、凹部に選択的にVth制御のためのチャネル
イオン注入162を行う。この段階でチャネルイオン注
入162を行うことにより、イオン注入層163をチャ
ネル領域に選択的に形成することができる。この方法に
より、非選択的なチャネルイオン注入を用いた場合と比
較して、第2のソース拡散層152a及び第2のドレイ
ン拡散層152bが形成される領域の不純物濃度を低く
することができ、ソース及びドレインの接合リーク電流
を低くすることができ、さらに、接合容量も小さくする
ことができる。
Prior to the formation of the gate electrode 68, channel ions 162 for Vth control are selectively implanted into the recesses using the polysilicon film 154 and a photoresist as a mask, if necessary. By performing the channel ion implantation 162 at this stage, the ion implantation layer 163 can be selectively formed in the channel region. According to this method, the impurity concentration in the region where the second source diffusion layer 152a and the second drain diffusion layer 152b are formed can be reduced as compared with the case where non-selective channel ion implantation is used. The junction leakage current of the source and the drain can be reduced, and the junction capacitance can be reduced.

【0133】次に、凹部161の底面及び側壁に形成さ
れているSiO2 膜131及び141を除去する。この
除去した後の凹部161は、底面と側壁の間に第2のソ
ース拡散層152a及び第2のドレイン拡散層152b
の側面と同じ傾きのテーパ部をもつ。すなわち、凹部1
61の側壁に形成されていたSiO2 膜141の厚さ分
だけ凹部161の側壁は広がるが、SiO2 膜141は
エピタキシャル領域133の傾きをもった側面に形成さ
れているため、SiO2 膜141を除去するとともに傾
きをもったエピタキシャル領域133の一部が露出する
からである。
Next, the SiO 2 films 131 and 141 formed on the bottom and side walls of the recess 161 are removed. The concave portion 161 after the removal has a second source diffusion layer 152a and a second drain diffusion layer 152b between the bottom surface and the side wall.
The tapered portion has the same inclination as that of the side surface. That is, the concave portion 1
Sidewall of by the thickness of the recess 161 of the SiO 2 film 141 was formed on the side wall 61 extends, but since the SiO 2 film 141 is formed on a side surface having a slope of epitaxial region 133, SiO 2 film 141 Is removed and a part of the inclined epitaxial region 133 is exposed.

【0134】次に、図11(b)に示すように、凹部1
61内にゲート絶縁膜171を例えば熱酸化等により形
成する。なお、ゲート絶縁膜171としては、CVD−
SiO2 膜、CVD−SiON膜、CVD−Si3 4
膜を含む積層膜を用いてもよい。このゲート絶縁膜17
1はSiO2 膜141よりも薄く、例えば3.5nmの
SiO2 膜により形成する。このように、SiO2 膜1
41よりも薄いゲート絶縁膜171を形成することによ
り、ゲート絶縁膜171及び第1のソース拡散層151
aの境界面並びにゲート絶縁膜171及び第1のドレイ
ン拡散層151bの境界面を、領域151a及び151
bの側面と同じ傾きをもつゲート端部172にすること
ができる。
Next, as shown in FIG.
A gate insulating film 171 is formed in 61 by, for example, thermal oxidation. Note that the gate insulating film 171 is formed by CVD-
SiO 2 film, CVD-SiON film, CVD-Si 3 N 4
A stacked film including a film may be used. This gate insulating film 17
1 is thinner than the SiO 2 film 141, and is formed of, for example, a 3.5 nm SiO 2 film. Thus, the SiO 2 film 1
By forming the gate insulating film 171 thinner than 41, the gate insulating film 171 and the first source diffusion layer 151 are formed.
a and the boundary surfaces of the gate insulating film 171 and the first drain diffusion layer 151b with the regions 151a and 151a.
A gate end 172 having the same inclination as the side surface of b can be obtained.

【0135】次に、ゲート電極68を形成するため、凹
部161内を充填するように導電膜を全面に堆積し、そ
の後凹部161外部の導電膜をCMP法により研磨除去
する。ゲート電極68の両端の傾きをもつゲート端部1
72に相対する第1のソース拡散層151a及び第1の
ドレイン拡散層151bが蓄積層として動作する部分と
なる。ゲート電極68を形成する導電膜としては、メタ
ル膜を用いることができる。これは、ソース及びドレイ
ンの活性化の高温アニール工程を終えているためにゲー
ト電極68が高温過程の影響を受けないためである。具
体的には例えばTiNとAlの積層構造が用いられる。
Next, in order to form the gate electrode 68, a conductive film is deposited on the entire surface so as to fill the recess 161. Thereafter, the conductive film outside the recess 161 is polished and removed by the CMP method. Gate end 1 having both ends of gate electrode 68 inclined
The first source diffusion layer 151a and the first drain diffusion layer 151b facing 72 function as a storage layer. As a conductive film for forming the gate electrode 68, a metal film can be used. This is because the gate electrode 68 is not affected by the high-temperature process because the high-temperature annealing process for activating the source and the drain has been completed. Specifically, for example, a laminated structure of TiN and Al is used.

【0136】次に、図12(b)に示すように、CDE
法によりポリシリコン膜154を除去して層間絶縁膜6
9を全面に形成する。CDE法によりポリシリコン膜1
54を除去するためには、ゲート電極68に用いた導電
性膜の種類に応じて、ゲート電極68上に絶縁膜層のキ
ャップを用いる等した上で、CMP法等によりポリシリ
コン膜154上の絶縁膜を除去し表面露出した後に行
う。層間絶縁膜69を形成した後の工程は第1実施形態
の場合と同じである。
Next, as shown in FIG.
The polysilicon film 154 is removed by the method and the interlayer insulating film 6 is removed.
9 is formed on the entire surface. Polysilicon film 1 by CDE method
In order to remove 54, a cap of an insulating film layer is used on the gate electrode 68 according to the type of the conductive film used for the gate electrode 68, and then the polysilicon film 154 is removed by a CMP method or the like. This is performed after removing the insulating film and exposing the surface. The steps after forming the interlayer insulating film 69 are the same as those in the first embodiment.

【0137】以上の工程により作成された凹型トランジ
スタにおいて、第1実施形態での基板表面66に対応す
る面は、エピタキシャル層表面134である。凹型トラ
ンジスタ構造のチャネル面65はシリコン基板61の表
面であり、第1実施形態においてRIE法によりエッチ
ングされたシリコン基板61表面をチャネル面65とし
て用いたのと異なっている。
In the concave transistor formed by the above steps, the surface corresponding to the substrate surface 66 in the first embodiment is the epitaxial layer surface 134. The channel surface 65 of the concave transistor structure is the surface of the silicon substrate 61, which is different from the case where the surface of the silicon substrate 61 etched by the RIE method is used as the channel surface 65 in the first embodiment.

【0138】なお、以上の工程では窒化膜によるダミー
ゲート132を用いて固相成長により(111)面を用
いてシリコン基板61表面とほぼ50度の傾きをもつソ
ース及びドレインのための選択的エピタキシャル領域1
33を形成したが、これに代えて、例えばより緩やかな
約30度の傾きをもつ(311)面を用いることができ
る。この場合、SiO2 膜50nm,窒化膜50nmの
積層膜によるダミーゲートを用い、気相成長により選択
的エピタキシャル領域を形成する。
In the above steps, the dummy gate 132 made of a nitride film is used to perform selective epitaxial growth for the source and drain having an inclination of about 50 degrees with the surface of the silicon substrate 61 by using the (111) plane by solid phase growth. Area 1
Although 33 is formed, a (311) plane having a gentler inclination of about 30 degrees can be used instead. In this case, a selective epitaxial region is formed by vapor phase growth using a dummy gate of a laminated film of a 50 nm SiO 2 film and a 50 nm nitride film.

【0139】本実施形態によれば、ダミーゲート132
製造時にリソグラフィで決まるゲート位置と自己整合的
に傾きをもつソース及びドレインの位置が決定されるた
めに、第1実施形態の場合に凹部形成時と内部のゲート
電極形成時に別個のリソグラフィ過程を必要としたこと
に比較して、チャネル長ばらつきの要因が少ない。
According to the present embodiment, the dummy gate 132
In the case of the first embodiment, separate lithography processes are required at the time of forming the concave portion and at the time of forming the internal gate electrode because the positions of the source and the drain having inclinations are determined in a self-alignment manner with the gate position determined by lithography at the time of manufacturing. In comparison with the above, there are fewer causes of channel length variation.

【0140】また、チャネル面65がRIE法によるエ
ッチングにさらされることなく、エッチング時のシリコ
ン基板61表面へのダメージを受けない。選択的エピタ
キシャル領域133を用いることにより、平面型トラン
ジスタと同様の良質のシリコン表面を用いたままで、凹
型トランジスタの短チャネル効果抑制の利点を活用する
ことができる。エピタキシャル領域133のゲート電極
68に隣接する部分の表面を斜めに成長させることによ
り、傾きをもつ第1のソース拡散層151a及び第1の
ドレイン拡散層151bを形成することができる。
Further, the channel surface 65 is not exposed to the etching by the RIE method, and the surface of the silicon substrate 61 is not damaged during the etching. By using the selective epitaxial region 133, the advantage of suppressing the short channel effect of the concave transistor can be utilized while using the same high quality silicon surface as the planar transistor. By growing the surface of the portion of the epitaxial region 133 adjacent to the gate electrode 68 obliquely, the first source diffusion layer 151a and the first drain diffusion layer 151b having an inclination can be formed.

【0141】また、本実施形態では、ゲート電極68下
のチャネル部分に選択的にしきい電圧制御用のイオン注
入層163を形成できる。本実施形態で用いた選択エピ
タキシャル層を実現するためには、ゲート電極68を設
ける領域を除いて選択的にシリコン層をエピタキシャル
成長させるために、Si3 4 を用いたダミーゲート1
32を用いる。即ち、ダミーゲート132を用いてトラ
ンジスタの他の部分を製造した後に、ダミーゲート13
2を除去し、いわゆるダマシン工程(象眼工程)を用い
てゲート電極68を埋め込み形成(ダマシンゲート)す
る。このダマシンゲート工程を用いることにより、チャ
ネル部分のみに選択的にイオン注入を行うことができ
る。
In this embodiment, the ion implantation layer 163 for controlling the threshold voltage can be selectively formed in the channel portion below the gate electrode 68. In order to realize the selective epitaxial layer used in the present embodiment, the dummy gate 1 using Si 3 N 4 is used to selectively epitaxially grow the silicon layer except for the region where the gate electrode 68 is provided.
32 is used. That is, after another portion of the transistor is manufactured using the dummy gate 132, the dummy gate 13
2 is removed, and the gate electrode 68 is buried (damascene gate) using a so-called damascene process (inlaid process). By using this damascene gate process, ion implantation can be selectively performed only on the channel portion.

【0142】(第5実施形態)図13〜図14は、本発
明の第5実施形態の凹型MISトランジスタを説明する
図である。本実施形態は図1(b)に示した本発明の第
1の凹型MISトランジスタ構造の特徴を備えた構造を
実現するための製造方法に関する。本実施形態はその製
造工程の途中までは第4実施形態と同じであり、本実施
形態が第4実施形態と共通する部分の詳細な説明は省略
する。
(Fifth Embodiment) FIGS. 13 and 14 are views for explaining a concave MIS transistor according to a fifth embodiment of the present invention. This embodiment relates to a manufacturing method for realizing the structure having the features of the first concave MIS transistor structure of the present invention shown in FIG. 1B. This embodiment is the same as the fourth embodiment up to the middle of the manufacturing process, and a detailed description of the parts common to the fourth embodiment is omitted.

【0143】第4実施形態では、第2のソース拡散層1
52a及び第2のドレイン拡散層152bへのコンタク
トホール73a,73bをゲート電極68から離してエ
ピタキシャル領域133上に形成している。これは、ゲ
ートと配線の間の短絡を防止するため、リソグラフィの
技術とRIE法によるエッチングにより層間絶縁膜69
にコンタクトホール73a,73bを開孔する際に、ゲ
ート電極68と配線70a,70bとの分離を図る必要
があり、距離を充分に取ることによってこれを実現して
いるためである。一方、集積回路の微細化を実現するた
めには、コンタクトホール73a,73bとゲート電極
68との距離を小さくすることが望ましい。
In the fourth embodiment, the second source diffusion layer 1
Contact holes 73a and 73b to 52a and the second drain diffusion layer 152b are formed on the epitaxial region 133 separated from the gate electrode 68. This is because, in order to prevent a short circuit between the gate and the wiring, the interlayer insulating film 69 is etched by lithography and RIE.
When the contact holes 73a and 73b are opened, it is necessary to separate the gate electrode 68 from the wirings 70a and 70b, and this is realized by providing a sufficient distance. On the other hand, in order to realize the miniaturization of the integrated circuit, it is desirable to reduce the distance between the contact holes 73a and 73b and the gate electrode 68.

【0144】そこで、本実施形態では傾きをもつ第1の
ソース拡散層151a及び第1のドレイン拡散層151
b上に窒化膜による側壁191を形成して、マスク上で
のゲートとコンタクト間の距離を実質的にゼロとして、
自己整合的にコンタクトを形成し、トランジスタ作成の
ための面積を大幅に縮小することを実現している。
Therefore, in the present embodiment, the first source diffusion layer 151a and the first drain diffusion layer 151 having an inclination are provided.
forming a side wall 191 of a nitride film on the mask b to make the distance between the gate and the contact on the mask substantially zero;
The contact is formed in a self-aligned manner, and the area for forming the transistor is significantly reduced.

【0145】図13及び図14を用いて、本実施形態の
凹型MISトランジスタの製造方法を説明する。ダミー
ゲート132を用いて第2のソース拡散層152a及び
第2のドレイン拡散層152bを形成し、ダミーゲート
132を除去して凹部161を形成し、イオン注入層1
63を形成するまでの工程は、第4実施形態に示した図
7〜図10の工程と同じである。
A method of manufacturing the concave MIS transistor according to the present embodiment will be described with reference to FIGS. A second source diffusion layer 152a and a second drain diffusion layer 152b are formed using the dummy gate 132, and the dummy gate 132 is removed to form a recess 161.
The steps up to the formation of 63 are the same as the steps of FIGS. 7 to 10 shown in the fourth embodiment.

【0146】図10〜15に示した工程の後、図13〜
18に示すように、凹部161の底面及び側壁に形成さ
れたSiO2 膜131及び141を除去し、凹部161
内にゲート絶縁膜171を例えば熱酸化等により形成す
る。このゲート絶縁膜171はSiO2 膜141よりも
薄く形成することにより、シリコン基板61表面に対し
て傾きをもつゲート端部172が形成される。
After the steps shown in FIGS.
As shown in FIG. 18, the SiO 2 films 131 and 141 formed on the bottom surface and the side wall of the concave portion 161 are removed, and the concave portion 161 is removed.
A gate insulating film 171 is formed therein by, for example, thermal oxidation or the like. By forming this gate insulating film 171 thinner than the SiO 2 film 141, a gate end 172 inclined with respect to the surface of the silicon substrate 61 is formed.

【0147】次に、凹部161内を充填するように導電
膜を全面に堆積し、その後凹部外部の導電膜をCMP法
により研磨除去する。その後、凹部161内ゲート電極
68の上部をRIE法により10nmエッチングした
後、窒化膜を堆積する。そして、この窒化膜の堆積後、
CMP法により凹部161外の窒化膜を研磨除去し、ゲ
ート電極68に対する保護窒化膜192を形成する。
Next, a conductive film is deposited on the entire surface so as to fill the inside of the concave portion 161, and then the conductive film outside the concave portion is polished and removed by the CMP method. After that, the upper portion of the gate electrode 68 in the concave portion 161 is etched by 10 nm by RIE, and then a nitride film is deposited. Then, after depositing this nitride film,
The nitride film outside the concave portion 161 is polished and removed by the CMP method, and a protective nitride film 192 for the gate electrode 68 is formed.

【0148】次に、ポリシリコン膜154の表面を例え
ばフッ酸処理により清浄化した後、ポリシリコン膜15
4をCDE法により除去する。次に、全面に例えば厚さ
20nm程度のSi3 4 膜を堆積した後、このSi3
4 膜をRIE法により全面エッチングすることによ
り、いわゆる側壁残しにより、傾きをもつ第1のソース
拡散層151a及び第1のドレイン拡散層151b上に
Si3 4 からなる側壁191を形成する。このSi3
4 膜の堆積により厚みを帯びた活性領域とゲート電極
形成領域を図13(a)の194に示す。
Next, after cleaning the surface of the polysilicon film 154 by, for example, hydrofluoric acid treatment, the polysilicon film 154 is removed.
4 is removed by CDE. Next, after depositing a the Si 3 N 4 film about the whole surface, for example a thickness of 20 nm, the Si 3
By etching the entire surface of the N 4 film by the RIE method, so-called sidewalls are left, and sidewalls 191 made of Si 3 N 4 are formed on the inclined first source diffusion layer 151a and first drain diffusion layer 151b. This Si 3
The active region and the gate electrode formation region which are thickened by the deposition of the N 4 film are shown at 194 in FIG.

【0149】次に、図14(b)に示すように、層間絶
縁膜69を全面に堆積し、RIE法によりコンタクトホ
ール201a,201bを開孔する。本実施形態のコン
タクトホール201a及び201bのマスクパターンは
ゲート電極68との距離がゼロ又はゲート電極68にオ
ーバーラップするようにデザインされており、ソース及
びドレイン上へのコンタクトを開孔するためのRIEが
ゲート電極68と近接して行われても、側壁191及び
保護窒化膜192によりゲート電極68が保護されてい
るために、ゲート電極68に短絡することなく、コンタ
クトホールに配線203a及び203bを埋め込み形成
することができる。
Next, as shown in FIG. 14B, an interlayer insulating film 69 is deposited on the entire surface, and contact holes 201a and 201b are formed by RIE. The mask pattern of the contact holes 201a and 201b of the present embodiment is designed so that the distance to the gate electrode 68 is zero or overlaps with the gate electrode 68, and RIE for opening the contact on the source and drain is performed. Is performed in the vicinity of the gate electrode 68, since the gate electrode 68 is protected by the side wall 191 and the protective nitride film 192, the wirings 203a and 203b are buried in the contact holes without being short-circuited to the gate electrode 68. Can be formed.

【0150】本実施形態では、第1のソース拡散層15
1a及び第1のドレイン拡散層151bと同じ傾きをも
つゲート端部172の外側の表面にSi3 4 側壁19
1を形成することにより、凹型チャネルMISトランジ
スタにおける短チャネル効果を抑制しつつ、ゲート電極
68とソース及びドレイン間の距離を離すことにより寄
生容量を抑制することができる。さらに、配線203
a,203bを自己整合コンタクトを用いて形成するこ
とによりトランジスタ面積を縮小し、さらに、チャネル
と配線203a,203bの間の距離を最小にして寄生
抵抗を抑制することができる。
In this embodiment, the first source diffusion layer 15
Si 3 N 4 sidewalls 19 are formed on the outer surface of the gate end 172 having the same inclination as that of the first drain diffusion layer 151 b.
By forming 1, the parasitic capacitance can be suppressed by increasing the distance between the gate electrode 68 and the source and drain while suppressing the short channel effect in the concave channel MIS transistor. Further, the wiring 203
By forming the transistors a and 203b using self-aligned contacts, the transistor area can be reduced, and the distance between the channel and the wirings 203a and 203b can be minimized to suppress the parasitic resistance.

【0151】また、本実施形態では、第1のソース拡散
層151a及び第1のドレイン拡散層151bの蓄積層
と配線203a,203bを接続する第1のソース拡散
層151a及び第1のドレイン拡散層151bの絶縁膜
171及び191との表面が直線的に形成されているた
め、電流経路を短くして寄生抵抗をさらに小さくするこ
とができ、トランジスタのさらなる低抵抗化を実現でき
る。
In the present embodiment, the first source diffusion layer 151a and the first drain diffusion layer connecting the storage layers of the first source diffusion layer 151a and the first drain diffusion layer 151b to the wirings 203a and 203b are provided. Since the surface of the insulating film 151b with the insulating films 171 and 191 is formed linearly, the current path can be shortened, the parasitic resistance can be further reduced, and the resistance of the transistor can be further reduced.

【0152】(第6実施形態)図15〜図19は本発明
の第6実施形態を説明するための図である。本実施形態
は第1実施形態と同じくRIEを用いて凹部を形成する
が、ソース及びドレインの形成方法が異なっている。
(Sixth Embodiment) FIGS. 15 to 19 are views for explaining a sixth embodiment of the present invention. In the present embodiment, the recess is formed by using RIE as in the first embodiment, but the method of forming the source and the drain is different.

【0153】具体的には、第1実施形態が凹部,ゲート
絶縁膜67及びゲート電極68形成の後に傾きをもつソ
ース拡散層71a及びドレイン拡散層71bを形成して
いるのに対し、本実施形態では、凹部形成前のシリコン
基板61表面にソース及びドレインとなる不純物領域を
形成し、このシリコン基板61表面を傾きをもつ側面を
伴ってエッチングすることにより、傾きをもつソース拡
散層及びドレイン領域を形成していることである。以
下、図15〜図19に沿って本実施形態の製造工程を説
明する。
More specifically, the first embodiment forms the inclined source diffusion layer 71a and the drain diffusion layer 71b after the formation of the recess, the gate insulating film 67, and the gate electrode 68. Then, an impurity region serving as a source and a drain is formed on the surface of the silicon substrate 61 before the concave portion is formed, and the surface of the silicon substrate 61 is etched along with the inclined side surface, thereby forming the inclined source diffusion layer and the drain region. It is forming. Hereinafter, the manufacturing process of this embodiment will be described with reference to FIGS.

【0154】まず、図15(b)に示すように、p型の
シリコン基板61上に、活性領域62の周辺部に素子分
離絶縁膜64を形成する。例えば、反応性イオンエッチ
ング(RIE)法を用いて深さ約0.35μm程度のト
レンチを掘り、このトレンチ内にSiO2 などの絶縁膜
64を埋め込むことにより、STI(Shallow TrenchIs
olation)と呼ばれる素子分離を行う。次に、活性領域
62上に厚さ10nm程度の犠牲SiO2 膜211を例
えば熱酸化法により形成した後、しきい電圧調整のため
のチャネルイオン注入層を形成し(図示せず)、次に、
ソース及びドレインの不純物領域となる濃度3×1020
cm-3程度の不純物領域212をイオン注入を用いて形
成する。
First, as shown in FIG. 15B, an element isolation insulating film 64 is formed on a p-type silicon substrate 61 at a peripheral portion of an active region 62. For example, a trench having a depth of about 0.35 μm is dug by using a reactive ion etching (RIE) method, and an insulating film 64 such as SiO 2 is buried in the trench to form an STI (Shallow TrenchIs).
olation). Next, after a sacrificial SiO 2 film 211 having a thickness of about 10 nm is formed on the active region 62 by, for example, a thermal oxidation method, a channel ion implantation layer for adjusting a threshold voltage is formed (not shown). ,
Concentration of 3 × 10 20 to be impurity regions of source and drain
An impurity region 212 of about cm -3 is formed by ion implantation.

【0155】なお、同一基板にnチャネルおよびpチャ
ネルのMISトランジスタを形成するいわゆるCMOS
(Complementary Metal-Oxide-Semiconductor )構造の
場合には、シリコン基板61のnチャネルトランジスタ
形成領域にはp型ウェルを形成した後n型不純物領域と
して212を形成する。以下、本実施形態ではnチャネ
ルトランジスタの場合について説明する。pチャネルト
ランジスタの場合には不純物の型を逆にすればよい。ま
た、ソース及びドレインとなる不純物領域212は、活
性領域62内全面に成長させた高濃度エピタキシャル領
域により形成してもよい。
A so-called CMOS for forming n-channel and p-channel MIS transistors on the same substrate
In the case of the (Complementary Metal-Oxide-Semiconductor) structure, a p-type well is formed in the n-channel transistor formation region of the silicon substrate 61, and then 212 is formed as an n-type impurity region. Hereinafter, in the present embodiment, the case of an n-channel transistor will be described. In the case of a p-channel transistor, the types of impurities may be reversed. Further, the impurity region 212 serving as a source and a drain may be formed by a high-concentration epitaxial region grown on the entire surface of the active region 62.

【0156】次に、図16(b)に示すように、例えば
第1実施形態の図2(b)において凹部92を形成した
のと同様に、例えばゲート電極を形成する場合と同じフ
ォトレジストパターンを有するマスクを用いて凹部22
1を形成し、RIEダメージ回復処理をする。この回復
処理に続いて、不純物領域212の活性化を例えば80
0℃5分程度の熱処理により行い、ソース拡散層212
a及びドレイン拡散層212bを形成する。
Next, as shown in FIG. 16B, the same photoresist pattern as that for forming the gate electrode, for example, as in the case of forming the recess 92 in FIG. 2B of the first embodiment, for example. 22 using a mask having
Then, RIE damage recovery processing is performed. Following this recovery process, the activation of the impurity region 212
The heat diffusion is performed at 0 ° C. for about 5 minutes to form the source diffusion layer 212.
a and the drain diffusion layer 212b are formed.

【0157】凹部221が形成された領域近傍は、活性
領域62中に凹型トランジスタのチャネル部及び傾きを
もつソース及びドレインを形成するための領域であり、
シリコン基板61を傾きをもって選択的にエッチングす
ることにより得られる。凹部221のテーパ状の側面が
シリコン基板61表面となす角度は、後に形成する図1
8のゲート電極68及びソース拡散層212a並びにゲ
ート電極68及びドレイン拡散層212bの間の寄生容
量が小さくなるように垂直面に対して大きく、また、ゲ
ート電極68に隣接するソース拡散層212a及びドレ
イン拡散層212bの実効的厚みを充分に与えて寄生抵
抗が小さくなるように水平面に対して小さくなるように
RIE条件を設定することにより調整し、例えばシリコ
ン基板61表面に対して垂直な面に対して60°の角度
をもって形成する。
The vicinity of the region where the concave portion 221 is formed is a region for forming a channel portion of the concave transistor and a source and a drain having an inclination in the active region 62.
It is obtained by selectively etching the silicon substrate 61 with an inclination. The angle formed by the tapered side surface of the concave portion 221 with the surface of the silicon substrate 61 is determined by the angle shown in FIG.
8 is large with respect to the vertical plane so that the parasitic capacitance between the gate electrode 68 and the source diffusion layer 212a and between the gate electrode 68 and the drain diffusion layer 212b is small, and the source diffusion layer 212a and the drain adjacent to the gate electrode 68 are small. The RIE condition is adjusted so that the effective thickness of the diffusion layer 212b is sufficiently reduced and the parasitic resistance is reduced with respect to the horizontal plane so that the parasitic resistance is reduced. Formed at an angle of 60 °.

【0158】なお、ゲート電極68のマスクを用いて凹
部221を形成する際に、素子分離絶縁膜64の充分な
厚みを残して、ゲートからコンタクトへ連なる部分の素
子分離絶縁膜64にも凹部を形成してもよい。
When forming the recess 221 using the mask of the gate electrode 68, the recess is also formed in the portion of the element isolation insulating film 64 that is continuous from the gate to the contact, leaving a sufficient thickness of the element isolation insulating film 64. It may be formed.

【0159】次に、図17(b)に示すようにゲート絶
縁膜67を形成した後、ゲート電極68を、そのエッジ
が凹部221の内部に含み、チャネル面65の領域より
も外側に位置し、かつ、ゲート電極68及びソース拡散
層212a並びにゲート電極68及びドレイン領域21
2bがゲート絶縁膜67を介して相対して重なり合う領
域を持つように形成する。
Next, as shown in FIG. 17B, after the gate insulating film 67 is formed, the edge of the gate electrode 68 is included in the recess 221 and located outside the channel surface 65 region. And the gate electrode 68 and the source diffusion layer 212a, and the gate electrode 68 and the drain region 21
2b is formed so as to have a region overlapping with the gate insulating film 67 interposed therebetween.

【0160】ゲート絶縁膜67は、低温プロセスによる
絶縁膜を含む積層膜を用いる。すなわち、ゲート絶縁膜
67は熱処理により形成するが、ソース及びドレインと
なる不純物領域212がゲート絶縁膜67よりも先に形
成されるため、第1実施形態の場合と異なり、不純物領
域212の接合面がチャネル面65よりもシリコン基板
61側に深くならないように考慮する必要があるからで
ある。具体的には、ゲート絶縁膜67の材料として、例
えばCVD−SiO2 膜、CVD−SiON膜、CVD
−Si3 4 膜を含む積層膜を用いる。
As the gate insulating film 67, a laminated film including an insulating film formed by a low-temperature process is used. That is, although the gate insulating film 67 is formed by heat treatment, the impurity region 212 serving as a source and a drain is formed earlier than the gate insulating film 67. This is because it is necessary to take into account that the depth does not become deeper than the channel surface 65 on the silicon substrate 61 side. Specifically, as the material of the gate insulating film 67, for example, a CVD-SiO 2 film, a CVD-SiON film, a CVD
A laminated film containing -Si 3 N 4 film.

【0161】また、ゲート電極68がゲート絶縁膜67
を介して不純物領域212と相対して重なり合う領域を
持つようにパターニングするのは、チャネル面65の深
さより浅く位置して、動作時にチャネル反転層に連なる
ソース及びドレインの蓄積層を形成する領域を形成する
ためである。なお、凹部221を形成した際に素子分離
絶縁膜64にも凹部を形成すれば、素子分離絶縁膜64
上のゲート配線の厚みをこの凹部の厚み分だけ厚くする
ことができ、ゲート配線の抵抗を小さくすることができ
る。
The gate electrode 68 is formed of a gate insulating film 67.
Is patterned so as to have a region that overlaps with the impurity region 212 through the region. The region that is located shallower than the depth of the channel surface 65 and that forms the source and drain accumulation layers connected to the channel inversion layer during operation is formed. It is for forming. If a recess is also formed in the element isolation insulating film 64 when the recess 221 is formed, the element isolation insulating film 64 can be formed.
The thickness of the upper gate wiring can be increased by the thickness of the recess, and the resistance of the gate wiring can be reduced.

【0162】次に、図18〜図19に示すように、全面
にSiO2 からなる層間絶縁膜69を形成した後、第1
実施形態において説明したのと同様のプロセスを用い
て、コンタクトホール73a,73bを設けて配線70
a,70bを形成し、図示しないパッシベーション膜を
全面に形成することにより、第4実施形態のトランジス
タ部分製造工程が完了する。第4実施形態における傾き
をもつソース拡散層及びドレイン領域を図18(a)に
斜線で示す。
Next, as shown in FIGS. 18 to 19, after an interlayer insulating film 69 made of SiO 2 is formed on the entire surface, the first
Using the same process as that described in the embodiment, the contact holes 73a and 73b are provided and the wiring 70 is formed.
By forming a and 70b and forming a passivation film (not shown) on the entire surface, the transistor part manufacturing process of the fourth embodiment is completed. The inclined source diffusion layer and the drain region in the fourth embodiment are shown by oblique lines in FIG.

【0163】図20は本実施形態の変形例に係る凹型M
ISトランジスタの全体構成を示す図であり、図20
(a)は上面図、図20(b)〜(d)はそれぞれ、図
20(a)における25A−25A’断面図、25B−
25B’断面図、25C−25C’断面図を示す。以下
の変形例において、第1実施形態と共通する部分には同
一の符号を付し、詳細な説明は省略する。
FIG. 20 shows a concave M according to a modification of the present embodiment.
FIG. 20 is a diagram showing the overall configuration of an IS transistor, and FIG.
20A is a top view, and FIGS. 20B to 20D are cross-sectional views of 25A-25A ′ in FIG.
25B ′ cross-sectional view and 25C-25C ′ cross-sectional view are shown. In the following modified examples, portions common to the first embodiment are denoted by the same reference numerals, and detailed description is omitted.

【0164】図1(b)に示した第1実施形態の場合で
は、ゲート電極68に隣接する第1のソース拡散層71
a及び第1のドレイン拡散層71bのゲート絶縁膜67
との境界面のみならず、シリコン基板61との境界面も
シリコン基板61表面に対して傾きをもって形成してい
るが、本変形例では図20(b)に示すように、ソース
拡散層111a及びドレイン拡散層111bのうち、主
な電流経路となるゲート絶縁膜67との境界面部分のみ
をシリコン基板61表面に対して傾きをもって形成し、
シリコン基板61との境界面部分は接合深さをチャネル
面65に対してゼロ又はマイナスに保ってシリコン基板
61表面と平行に形成したものである。
In the case of the first embodiment shown in FIG. 1B, the first source diffusion layer 71 adjacent to the gate electrode 68 is formed.
a and the gate insulating film 67 of the first drain diffusion layer 71b
Not only the interface with the silicon substrate 61 but also the interface with the silicon substrate 61 is formed with an inclination with respect to the surface of the silicon substrate 61. In this modification, as shown in FIG. Of the drain diffusion layer 111b, only the interface with the gate insulating film 67, which is the main current path, is formed with an inclination to the surface of the silicon substrate 61,
The boundary surface portion with the silicon substrate 61 is formed in parallel with the surface of the silicon substrate 61 while keeping the junction depth at zero or minus with respect to the channel surface 65.

【0165】本変形例におけるトランジスタ動作時の主
な電流経路は、本実施形態の場合と同様である。また、
チャネル面65よりも上面にソース拡散層111a及び
ドレイン拡散層111bが形成されており、またこのソ
ース拡散層111a及びドレイン拡散層111bはシリ
コン基板61表面に対して傾きをもって形成されている
ため、短チャネル効果を抑制し、かつ寄生抵抗と寄生容
量を低減できる点は、本実施形態と同様である。
The main current path at the time of the transistor operation in this modification is the same as that of the present embodiment. Also,
The source diffusion layer 111a and the drain diffusion layer 111b are formed above the channel surface 65, and the source diffusion layer 111a and the drain diffusion layer 111b are formed to be inclined with respect to the surface of the silicon substrate 61. As in the present embodiment, the channel effect can be suppressed and the parasitic resistance and the parasitic capacitance can be reduced.

【0166】本変形例が本実施形態と異なるのは、第1
実施形態と同様に、ソース及びドレインをゲート電極6
8の形成後に形成している点である。この場合でも、本
実施形態とほぼ同じ構造を実現することができる。
This modified example is different from the present embodiment in the first embodiment.
As in the embodiment, the source and the drain are connected to the gate electrode 6.
8 is formed after the formation. Even in this case, almost the same structure as the present embodiment can be realized.

【0167】(第7実施形態)図21は本発明の第7実
施形態に係る半導体装置の全体構成を示す断面図であ
る。本実施形態は第1実施形態の変形例であり、第1実
施形態と共通する部分の説明は省略する。本実施形態で
は、電流経路の曲率半径を曲線を用いて大きくすること
により、局所的に見た場合に電流経路が直線的になるよ
うに形成し、短チャネル効果を抑制しつつ、電流経路を
短く形成する。
(Seventh Embodiment) FIG. 21 is a sectional view showing the overall configuration of a semiconductor device according to a seventh embodiment of the present invention. This embodiment is a modification of the first embodiment, and the description of the parts common to the first embodiment will be omitted. In the present embodiment, by increasing the radius of curvature of the current path using a curve, the current path is formed to be linear when viewed locally, and the current path is formed while suppressing the short channel effect. Form short.

【0168】本実施形態に係る半導体装置の製造工程は
第1実施形態とほぼ同じである。本実施形態では、第1
実施形態の図2〜図7の凹部92をRIEを用いて形成
する際に、エッチング条件を調節することにより、凹部
92の傾きをもつ側面またはチャネル領域を定義する面
を丸みをもって形成する。
The manufacturing process of the semiconductor device according to this embodiment is almost the same as that of the first embodiment. In the present embodiment, the first
When the recess 92 of the embodiment shown in FIGS. 2 to 7 is formed by RIE, the etching conditions are adjusted so that the inclined side surface of the recess 92 or the surface defining the channel region is rounded.

【0169】また、ソース及びドレインは、第1のソー
ス拡散層261a及び第1のドレイン拡散層261bの
みならず、その厚みを補うため、さらに第2のソース拡
散層262a及び第2のドレイン拡散層262bを形成
する。
The source and the drain are not only the first source diffusion layer 261a and the first drain diffusion layer 261b, but also the second source diffusion layer 262a and the second drain diffusion layer 262a to supplement the thickness. 262b is formed.

【0170】ゲート絶縁膜263を形成した後、ゲート
電極68のエッジを凹部221の内部に含み、チャネル
領域よりも外側に位置するように、かつ、ゲート電極6
8がゲート絶縁膜263を介して第1のソース拡散層2
61a及び第1のドレイン拡散層261bと相対して重
なり合う領域を持つように形成する。
After the gate insulating film 263 is formed, the edge of the gate electrode 68 is included in the recess 221 so as to be located outside the channel region, and the gate electrode 6 is formed.
8 is a first source diffusion layer 2 with a gate insulating film 263 interposed therebetween.
61a and the first drain diffusion layer 261b.

【0171】本実施形態では、従来の凹型トランジスタ
に比較して、以下の点が異なる。図29(a)に示す従
来の凹型トランジスタより、電流経路が丸みを持ってお
り、短縮されている。これは、エッチング条件の調節に
よりチャネル領域からソース拡散層261a及びドレイ
ン拡散層261bにかけて丸みをもって形成されている
ためである。また、ゲート電極68の側面に形成された
ゲート絶縁膜263を丸みをもって形成することによ
り、ゲート電極68とソース及びドレインの間の絶縁膜
の実効的な膜厚を厚くして寄生容量を抑制している。ま
た、チャネル領域に連なるソース及びドレインの蓄積層
は、チャネル領域よりも上面に傾きをもって位置し、短
チャネル効果を抑制している。従って、チャネルから蓄
積層への電流経路も丸みをもって形成されているため、
電流量の減少を防止することができる。
The present embodiment differs from the conventional concave transistor in the following points. The current path is rounder and shorter than the conventional concave transistor shown in FIG. This is because, by adjusting the etching conditions, the channel region is formed to be round from the channel region to the source diffusion layer 261a and the drain diffusion layer 261b. Further, by forming the gate insulating film 263 formed on the side surface of the gate electrode 68 with roundness, the effective film thickness of the insulating film between the gate electrode 68 and the source and drain is increased, thereby suppressing the parasitic capacitance. ing. Further, the source and drain accumulation layers connected to the channel region are located at an upper surface than the channel region with an inclination, thereby suppressing the short channel effect. Therefore, since the current path from the channel to the storage layer is also formed round,
It is possible to prevent a decrease in the amount of current.

【0172】第1実施形態では、図29(a)の通常の
凹型トランジスタのチャネル面65の両端のコーナ部分
に対して、その角度を鋭角から鈍角にすることによりキ
ャリア分布を直線に近くしているが、本実施形態ではチ
ャネル領域の両端の鈍角のコーナ部分をさらに角をもた
ないなだらかな構造にすることにより、ゲート絶縁膜2
63の耐圧の向上と、コーナ部分の電子の移動度劣化を
防ぐことを実現している。
In the first embodiment, the carrier distribution is made closer to a straight line by changing the angle from the acute angle to the obtuse angle with respect to the corner portions at both ends of the channel surface 65 of the ordinary concave transistor of FIG. In the present embodiment, however, the obtuse corners at both ends of the channel region are formed to have a gentle structure with no more corners, so that the gate insulating film 2 is formed.
This realizes an improvement in the withstand voltage of 63 and prevention of electron mobility deterioration at the corners.

【0173】また、チャネルのコーナ部分をなだらかに
形成した従来の凹型トランジスタよりも曲率半径を大き
く形成することができ、より効果的に耐圧向上または移
動度劣化防止を図ることができる。
Further, the radius of curvature can be made larger than that of a conventional concave transistor in which the corner portion of the channel is formed gently, so that the withstand voltage can be improved or the mobility can be prevented more effectively.

【0174】また、凹部内部に形成されたチャネル領域
の曲率半径を均一に形成することによる利点は第2実施
形態と同様である。
The advantage of making the radius of curvature of the channel region formed inside the concave portion uniform is the same as that of the second embodiment.

【0175】(第8実施形態)図22は本発明の第8実
施形態に係る半導体装置の全体構成を示す断面図であ
る。本実施形態について第6実施形態と共通する部分の
説明は省略する。
(Eighth Embodiment) FIG. 22 is a sectional view showing the overall configuration of a semiconductor device according to an eighth embodiment of the present invention. Descriptions of the present embodiment that are common to the sixth embodiment will be omitted.

【0176】本実施形態は、平面トランジスタにおける
いわゆる埋め込みチャネル型トランジスタに本発明を適
用したものである。埋め込みチャネルトランジスタはチ
ャネル部分にソース及びドレインと同型の領域を設ける
ことにより、ゲート電極68の仕事関数に応じてしきい
電圧を所望の値に調節することができ、いわゆるCMO
S回路において特に有効である。
In the present embodiment, the present invention is applied to a so-called buried channel type transistor in a planar transistor. In the buried channel transistor, a threshold voltage can be adjusted to a desired value according to the work function of the gate electrode 68 by providing a region having the same type as the source and the drain in the channel portion.
This is particularly effective in the S circuit.

【0177】例えばn型トランジスタの場合、p型のシ
リコン基板61の上に、n型の埋め込みチャネル271
を介してゲート絶縁膜67とゲート電極68を形成して
いる。本実施形態では、第4実施形態の図16(b)の
凹部221をRIEを用いて形成する際に、エッチング
条件を調節しエッチングの深さを不純物領域212の接
合面よりも浅くすることにより、埋め込みチャネル27
1を形成している。
For example, in the case of an n-type transistor, an n-type buried channel 271 is formed on a p-type silicon substrate 61.
, A gate insulating film 67 and a gate electrode 68 are formed. In this embodiment, when the recess 221 of FIG. 16B of the fourth embodiment is formed by RIE, the etching condition is adjusted to make the etching depth shallower than the junction surface of the impurity region 212. , Buried channel 27
1 are formed.

【0178】図22の埋め込みチャネル型凹型トランジ
スタでは、ゲート電極68のエッジを、凹部221の内
部に含み、かつ凹部221の傾きをもつ側面の上に位置
するように形成する。埋め込みチャネル型であるため、
ソース及びドレインの極性と埋め込みチャネル271の
極性とが同じになる。従って、図29(b)の従来の凹
型トランジスタを埋め込みチャネルとした場合における
凹部側面の反転層47と凹部底面の反転層46はそれぞ
れ蓄積層へ置き換えられる(図示せず)。
In the buried channel type recessed transistor of FIG. 22, the edge of the gate electrode 68 is formed so as to be included in the inside of the recess 221 and to be located on the inclined side surface of the recess 221. Because it ’s an embedded channel,
The polarity of the source and the drain is the same as the polarity of the buried channel 271. Therefore, when the conventional concave transistor of FIG. 29B is used as a buried channel, the inversion layer 47 on the side surface of the recess and the inversion layer 46 on the bottom surface of the recess are respectively replaced with storage layers (not shown).

【0179】対応する電流経路は、図29(a)の従来
の凹型トランジスタ構造において矢印で示したものと同
じである。図22の本実施形態における電流経路を一点
鎖線で示す。
The corresponding current paths are the same as those indicated by arrows in the conventional concave transistor structure of FIG. The current path in the present embodiment in FIG. 22 is indicated by a chain line.

【0180】図22の構造が他の実施形態と比較して、
前述のようにしきい電圧の調節ができるということの他
に優れている点を説明する。埋め込み型チャネルでない
チャネル面65の両端のコーナ部分が露出する他の実施
形態の構造において、このコーナ部分にチャネル反転層
を形成する場合、コーナ部分で電界が発散することによ
り、コーナ部分のキャリア密度はチャネル面65でのキ
ャリア密度よりも低くなり、電流値の低下をもたらす。
The structure of FIG. 22 is different from the other embodiments in that
An advantage that the threshold voltage can be adjusted as described above, as well as advantages, will be described. In the structure of another embodiment in which the corner portions at both ends of the channel surface 65 that are not the buried channel are exposed, when the channel inversion layer is formed in this corner portion, the electric field diverges in the corner portion, and the carrier density in the corner portion is increased. Becomes lower than the carrier density at the channel surface 65, resulting in a decrease in current value.

【0181】図22の凹型トランジスタにおいては、チ
ャネル面65の両端のコーナ部分の鋭角な部分を露出さ
せず不純物領域で覆うことにより、コーナ部分における
キャリア密度を高くし、コーナ部の低いキャリア密度に
起因する電流低下を抑制している。
In the concave transistor shown in FIG. 22, the sharp corners at both ends of the channel surface 65 are covered with impurity regions without being exposed, so that the carrier density at the corners is increased and the carrier density at the corners is reduced. The resulting decrease in current is suppressed.

【0182】第6,7,8実施形態が第1実施形態と比
較して優れている点は、第1実施形態では凹部92の内
部に形成されたゲート電極68をマスクとしてソース拡
散層及びドレイン拡散層を形成するためのイオン注入9
3を行うため凹部92とゲート電極68との位置ずれが
生じる場合、第2のソース拡散層72a及び第2のドレ
イン拡散層72bが非対称的に形成されるのに対し、第
6〜第8実施形態では予め対称的に形成された不純物領
域をエッチングすることにより凹部161を形成するた
め、ゲート電極68と不純物領域の位置ずれが生じず、
ソース及びドレインの下端が常に対称に形成されること
である。
The point that the sixth, seventh, and eighth embodiments are superior to the first embodiment is that, in the first embodiment, the source diffusion layer and the drain are formed using the gate electrode 68 formed inside the concave portion 92 as a mask. Ion implantation 9 for forming diffusion layer
In the case where the misalignment between the concave portion 92 and the gate electrode 68 occurs due to the third step, the second source diffusion layer 72a and the second drain diffusion layer 72b are formed asymmetrically, whereas the sixth to eighth embodiments are performed. In the embodiment, the concave portion 161 is formed by etching the impurity region which is formed symmetrically in advance, so that there is no displacement between the gate electrode 68 and the impurity region.
The lower ends of the source and the drain are always formed symmetrically.

【0183】また、第4実施形態と比較して第6,7,
8実施形態が優れている点は、第4実施形態が選択エピ
タキシャル領域133を形成するためにダミーゲートを
用いて工程が複雑であるのに対し、本実施形態ではプロ
セスステップ数が少なく、工程が短いため低コストにで
きることである。
The sixth, seventh, and sixth embodiments are different from the fourth embodiment.
The advantage of the eighth embodiment is that the fourth embodiment uses a dummy gate to form the selective epitaxial region 133 and complicates the process, whereas the present embodiment has a small number of process steps, Because it is short, the cost can be reduced.

【0184】(第9実施形態)図23〜図24は本発明
の第9実施形態に係る半導体装置を説明するための図で
ある。本実施形態は、第6実施形態の図15〜20に示
した構造を実現するものである。
(Ninth Embodiment) FIGS. 23 to 24 are views for explaining a semiconductor device according to a ninth embodiment of the present invention. This embodiment implements the structure shown in FIGS. 15 to 20 of the sixth embodiment.

【0185】本実施形態に係る半導体装置が第2実施形
態と異なる点は、第2実施形態が選択エピタキシャル領
域133,ゲート絶縁膜67及びゲート電極68形成の
後に、エピタキシャル層ファセットによる傾きをもつ第
1のソース拡散層151a及び第1のドレイン拡散層1
51bを形成しているのに対し、本実施形態では、高濃
度の不純物を含んでエピタキシャル領域281a及び2
81bをソース及びドレインとなる不純物領域として形
成することにより、ゲート絶縁膜171との境界面が傾
きをもち、かつシリコン基板61との接合面がシリコン
基板61表面と平行な傾きをもつソース及びドレインを
形成していることである。このソース及びドレインの形
成は、第4実施形態と同様の製造工程による。
The semiconductor device according to the present embodiment is different from the semiconductor device according to the second embodiment in that the semiconductor device according to the second embodiment has a tilt due to an epitaxial layer facet after the formation of the selective epitaxial region 133, the gate insulating film 67 and the gate electrode 68. 1 source diffusion layer 151a and first drain diffusion layer 1
In the present embodiment, the epitaxial regions 281a and 281a and the epitaxial regions 281a and
By forming the impurity region 81b as an impurity region serving as a source and a drain, the source and the drain have an inclination at a boundary surface with the gate insulating film 171 and an inclination at a junction surface with the silicon substrate 61 parallel to the surface of the silicon substrate 61. Is formed. The formation of the source and the drain is performed by the same manufacturing process as in the fourth embodiment.

【0186】以下、本実施形態の製造工程を第2実施形
態と比較しながら説明する。まず、図23(a)に示す
ように、ダミーゲート132の形成されたシリコン基板
61上に、選択エピタキシャル層不純物領域281a及
び281bを形成する。この選択エピタキシャル層不純
物領域281a及び281bが形成された領域は、図7
(b)で選択エピタキシャル領域133を形成した領域
と同じ領域である。
Hereinafter, the manufacturing process of this embodiment will be described in comparison with the second embodiment. First, as shown in FIG. 23A, selective epitaxial layer impurity regions 281a and 281b are formed on a silicon substrate 61 on which a dummy gate 132 is formed. The region where the selective epitaxial layer impurity regions 281a and 281b are formed is shown in FIG.
This is the same region as the region where the selective epitaxial region 133 is formed in (b).

【0187】本実施形態では、全面にアモルファスシリ
コンを堆積する際、水素ガスおよびSiH4 ガスに加え
て、例えばアルシンAsH3 ガス20sccmを供給す
る。選択成長エピタキシャル領域133と選択成長エピ
タキシャル層不純物領域281a及び281bの異なる
点は、選択成長エピタキシャル領域133が不純物を含
まない結晶シリコン層として形成されているのに対し、
選択成長エピタキシャル層不純物領域281a及び28
1bは上記の条件により高濃度の不純物、すなわち例え
ばn型の凹型MOSFETの場合、3×1020cm-3
度のAsを含んで形成される。
In the present embodiment, when depositing amorphous silicon on the entire surface, for example, arsine AsH 3 gas of 20 sccm is supplied in addition to the hydrogen gas and the SiH 4 gas. The difference between the selective growth epitaxial region 133 and the selective growth epitaxial layer impurity regions 281a and 281b is that the selective growth epitaxial region 133 is formed as a crystalline silicon layer containing no impurities.
Selectively grown epitaxial layer impurity regions 281a and 28
Under the above conditions, 1b is formed to contain high-concentration impurities, that is, for example, about 3 × 10 20 cm −3 As in the case of an n-type concave MOSFET.

【0188】次に、装置全面にSiO2 膜141を保護
膜として形成する(図23(b))。この点、図8
(b)と同じであるが、本実施形態ではイオン注入14
2,活性化アニールは行わない。選択成長エピタキシャ
ル層不純物領域が既に高濃度の不純物を含んで形成され
ているため、不純物を注入する必要がないからである。
次に、ダミーゲート132の上層を露出したポリシリコ
ン膜154を形成する(図23(c))。この点、図1
1(b)と同じである。
Next, an SiO 2 film 141 is formed as a protective film on the entire surface of the device (FIG. 23B). In this regard, FIG.
This is the same as (b), but in this embodiment, the ion implantation 14
2. No activation annealing is performed. This is because the impurity region need not be implanted since the selectively grown epitaxial layer impurity region is already formed containing a high concentration of impurity.
Next, a polysilicon film 154 exposing the upper layer of the dummy gate 132 is formed (FIG. 23C). In this regard, FIG.
Same as 1 (b).

【0189】以降のプロセスは第2実施形態と同様に行
われ、完成した凹型トランジスタを図24〜29に示
す。図24(a)は上面図、図24〜図29の(b)〜
(d)はそれぞれ図24(a)の29A−29A’断面
図、29B−29B’断面図、29C−29C’断面図
である。
The subsequent processes are performed in the same manner as in the second embodiment, and the completed concave transistor is shown in FIGS. FIG. 24A is a top view, and FIGS.
24D is a cross-sectional view of 29A-29A ', 29B-29B', and 29C-29C 'of FIG.

【0190】本実施形態は第2実施形態と比較して、ソ
ース及びドレインの不純物領域形成のためのイオン注入
142とその活性化アニール工程が省略され、プロセス
が簡単化されている。また、傾きをもつソース及びドレ
インを形成するための不純物領域281a及び281b
が予めシリコン基板61上に形成された後に、ゲート絶
縁膜171および傾きをもつゲート端部172が形成さ
れるため、ゲート電極68のエッジを、傾きをもつソー
ス・ドレイン不純物領域の接合位置よりもチャネルに対
して外側に、かつ傾きをもつソース及びドレインを含む
凹部の側面上に自動的に形成でき、ゲート電極68がゲ
ート絶縁膜171を挟んでソース及びドレインと重なる
領域を持って自動的に形成される。
In the present embodiment, compared to the second embodiment, the ion implantation 142 for forming the source and drain impurity regions and the activation annealing step thereof are omitted, and the process is simplified. Further, impurity regions 281a and 281b for forming a source and a drain having an inclination
Is formed on the silicon substrate 61 in advance, the gate insulating film 171 and the gate end portion 172 having the inclination are formed. Therefore, the edge of the gate electrode 68 is set at a position higher than the junction position of the source / drain impurity region having the inclination. The gate electrode 68 can be automatically formed outside the channel and on the side surface of the concave portion including the source and the drain having an inclination, and the gate electrode 68 automatically has a region overlapping the source and the drain with the gate insulating film 171 interposed therebetween. It is formed.

【0191】本実施形態は第6実施形態と同様に、接合
面がシリコン基板61表面と平行な傾きをもつソース及
びドレインとなる不純物領域221a及び221bを有
している。本実施形態が第6実施形態に比較して備えて
いる利点は、凹部を形成するためにRIEを用いずチャ
ネル部上の窒化膜をマスクとした選択エピタキシャル成
長を用いているために、チャネルが形成される基板表面
がエッチング時のダメージを受けず、窒化膜で保護され
た基板表面をチャネルに用いることができる。
As in the sixth embodiment, the present embodiment has source and drain impurity regions 221a and 221b whose junction surfaces are inclined parallel to the surface of the silicon substrate 61. The present embodiment has an advantage over the sixth embodiment in that a channel is formed by using selective epitaxial growth using a nitride film on a channel portion as a mask instead of RIE to form a concave portion. The substrate surface to be etched is not damaged during etching, and the substrate surface protected by the nitride film can be used for the channel.

【0192】また、選択エピタキシャル成長を用いて自
己整合的に傾きをもつ不純物領域281a及び281b
によりソース及びドレインが形成されるために、第6実
施形態において凹部形成時と凹部内部のゲート電極68
形成時にそれぞれリソグラフィプロセスを行い、高精度
の位置合わせを必要としたことに比較して、構造ばらつ
きの要因が少ない。
Also, impurity regions 281a and 281b having inclination in a self-aligned manner by using selective epitaxial growth.
In the sixth embodiment, the source and the drain are formed.
Compared to performing a lithography process at the time of formation and requiring high-precision alignment, there are fewer factors of structural variation.

【0193】さらに、第6実施形態では図15(b)の
素子分離絶縁膜64を形成した後、図16(b)に示す
ように、凹部221を素子分離絶縁膜64の側面が露出
するようにエッチングするが、素子分離絶縁膜64の側
面の傾き等の形状により、側面が露出されずシリコン部
分が残り、素子特性に影響を与える可能性がある。本実
施形態の場合には、基板内の凹部を形成せず図23
(c)に示すように基板上に選択的に形成したエピタキ
シャル層を用いてソース及びドレインを凸部として形成
する。また、この凹部形成とともに、チャネル部および
傾きをもつソース及びドレインを凹部として形成するた
め、図23(b)の素子分離絶縁膜64の側面は露出さ
れず、第6実施形態でのこの側面の形状が素子特性に与
える影響を避けることができる。
Further, in the sixth embodiment, after forming the element isolation insulating film 64 of FIG. 15B, the recess 221 is formed so that the side surface of the element isolation insulating film 64 is exposed as shown in FIG. However, depending on the shape of the side surface of the element isolation insulating film 64, such as the inclination, the side surface is not exposed and the silicon portion remains, which may affect the device characteristics. In the case of this embodiment, no concave portion in the substrate is formed and FIG.
As shown in (c), a source and a drain are formed as projections using an epitaxial layer selectively formed on a substrate. In addition, since the channel portion and the inclined source and drain are formed as a concave portion along with the formation of the concave portion, the side surface of the element isolation insulating film 64 in FIG. The influence of the shape on the element characteristics can be avoided.

【0194】(第10実施形態)図25は本発明の第1
0実施形態に係る半導体装置の全体構成を示す断面図で
ある。本実施形態は、第9実施形態に係る半導体装置の
製造方法を埋め込みチャネル型凹型トランジスタに適用
した場合を示す。第9実施形態と共通する部分は説明を
省略し、以下第9実施形態と異なる点を説明する。
(Tenth Embodiment) FIG. 25 shows a first embodiment of the present invention.
FIG. 11 is a cross-sectional view illustrating the overall configuration of the semiconductor device according to the zeroth embodiment. This embodiment shows a case where the method of manufacturing a semiconductor device according to the ninth embodiment is applied to a buried channel type concave transistor. The description of the parts common to the ninth embodiment is omitted, and only the differences from the ninth embodiment will be described below.

【0195】本実施形態に係る埋め込みチャネル型凹型
トランジスタは、活性領域の表面にも埋め込みチャネル
不純物領域301が形成される。すなわち、ゲート電極
68の底部に、ゲート絶縁膜171を介して相対する部
分のシリコン基板61表面に、ソース及びドレインと同
じ極性を持った不純物領域301が形成される。この埋
め込みチャネル型凹型トランジスタは、第8実施形態と
同様にCMOS回路において特に有効である。
In the buried channel type recessed transistor according to this embodiment, a buried channel impurity region 301 is also formed on the surface of the active region. That is, an impurity region 301 having the same polarity as the source and the drain is formed on the bottom surface of the gate electrode 68 and on the surface of the silicon substrate 61 opposite to the gate insulating film 171. This buried channel type concave transistor is particularly effective in a CMOS circuit as in the eighth embodiment.

【0196】本実施形態は、第9実施形態と同様の製造
方法によって製造されるが、図23(b)のSiO2
131を形成する前に、活性領域62の上に、例えばイ
オン注入により、埋め込みチャネル不純物領域301を
形成した後、第9実施形態で説明したプロセスと同様の
プロセスを行う。これにより、ソース及びドレインとな
るエピタキシャル領域281a及び281b間を、同じ
極性を持った領域301で接続することができる。
The present embodiment is manufactured by the same manufacturing method as that of the ninth embodiment. However, before forming the SiO 2 film 131 of FIG. After forming the buried channel impurity region 301, a process similar to the process described in the ninth embodiment is performed. Thus, the source and drain epitaxial regions 281a and 281b can be connected by the region 301 having the same polarity.

【0197】このように本実施形態によれば、第8実施
形態と第9実施形態で示した利点をともに備えた構造を
実現することができる。
As described above, according to the present embodiment, a structure having both the advantages shown in the eighth and ninth embodiments can be realized.

【0198】なお、上記実施形態において、ソース又は
ドレインのいずれか一方について本発明に示された構造
を有し、また他方については従来構造を有するものであ
っても本発明の効果を奏することはもちろんである。
In the above embodiment, even if one of the source and the drain has the structure shown in the present invention and the other has the conventional structure, the effect of the present invention is not exerted. Of course.

【0199】[0199]

【発明の効果】以上説明したように本発明によれば、ゲ
ート電極とソース及びドレインが相対する領域を有す
る。従って、この相対する領域が蓄積層として動作する
ため、広がり抵抗が除かれ、低抵抗率かつ長さの短い電
流経路によりチャネルとコンタクトが結ばれて寄生抵抗
を低減できる。
As described above, according to the present invention, the gate electrode has a region where the source and the drain face each other. Therefore, since the opposing region operates as the storage layer, the spreading resistance is removed, and the channel and the contact are connected by the current path having a low resistivity and a short length, so that the parasitic resistance can be reduced.

【0200】また、上記蓄積層として動作させる領域が
チャネル領域よりも浅い位置に形成されており、チャネ
ル領域と同一平面に蓄積層として動作させる領域を形成
する必要がなく、短チャネル効果を抑制することができ
る。
Further, since the region operated as the storage layer is formed at a position shallower than the channel region, there is no need to form the region operated as the storage layer on the same plane as the channel region, and the short channel effect is suppressed. be able to.

【0201】また、ゲート電極の側面のソース及びドレ
インが半導体層表面に対して傾きをもって形成されるた
め、ゲート電極及びソース並びにゲート電極及びドレイ
ンの間隔が広がり、寄生容量の低減を図れる。
Further, since the source and the drain on the side surface of the gate electrode are formed to be inclined with respect to the surface of the semiconductor layer, the distance between the gate electrode and the source and the distance between the gate electrode and the drain are widened, and the parasitic capacitance can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1実施形態に係る半導体装置の全体
構成を示す図。
FIG. 1 is a diagram showing an overall configuration of a semiconductor device according to a first embodiment of the present invention.

【図2】同実施形態に係る半導体装置の製造工程を示す
図。
FIG. 2 is a view showing a manufacturing process of the semiconductor device according to the embodiment;

【図3】同実施形態に係る半導体装置の製造工程を示す
図。
FIG. 3 is a view showing a manufacturing process of the semiconductor device according to the embodiment;

【図4】同実施形態に係る半導体装置の要部を示す断面
図。
FIG. 4 is an exemplary sectional view showing a main part of the semiconductor device according to the same embodiment;

【図5】本発明の第2実施形態に係る半導体装置の全体
構成を示す図。
FIG. 5 is a diagram showing an overall configuration of a semiconductor device according to a second embodiment of the present invention.

【図6】本発明の第3実施形態に係る半導体装置の全体
構成を示す断面図。
FIG. 6 is a sectional view showing the overall configuration of a semiconductor device according to a third embodiment of the present invention.

【図7】本発明の第4実施形態に係る半導体装置の製造
工程を示す図。
FIG. 7 is a view showing a manufacturing process of a semiconductor device according to a fourth embodiment of the present invention.

【図8】同実施形態に係る半導体装置の製造工程を示す
図。
FIG. 8 is a view showing a manufacturing process of the semiconductor device according to the same embodiment.

【図9】同実施形態に係る半導体装置の製造工程を示す
図。
FIG. 9 is a view showing a manufacturing process of the semiconductor device according to the same embodiment.

【図10】同実施形態に係る半導体装置の製造工程を示
す図。
FIG. 10 is a view showing the manufacturing process of the semiconductor device according to the same embodiment.

【図11】同実施形態に係る半導体装置の製造工程を示
す図。
FIG. 11 is a view showing the manufacturing process of the semiconductor device according to the same embodiment.

【図12】同実施形態に係る半導体装置の製造工程を示
す図。
FIG. 12 is a view showing a manufacturing process of the semiconductor device according to the same embodiment.

【図13】本発明の第5実施形態に係る半導体装置の製
造工程を示す図。
FIG. 13 is a view showing a manufacturing process of a semiconductor device according to a fifth embodiment of the present invention.

【図14】同実施形態に係る半導体装置の製造工程を示
す図。
FIG. 14 is a view showing the manufacturing process of the semiconductor device according to the same embodiment.

【図15】本発明の第6実施形態に係る半導体装置の製
造工程を示す図。
FIG. 15 is a diagram showing a manufacturing process of the semiconductor device according to the sixth embodiment of the present invention.

【図16】同実施形態に係る半導体装置の製造工程を示
す図。
FIG. 16 is a view showing a manufacturing step of the semiconductor device according to the same embodiment.

【図17】同実施形態に係る半導体装置の製造工程を示
す図。
FIG. 17 is a view showing the manufacturing process of the semiconductor device according to the same embodiment.

【図18】同実施形態に係る半導体装置の製造工程を示
す図。
FIG. 18 is a view showing the manufacturing process of the semiconductor device according to the same embodiment.

【図19】同実施形態に係る半導体装置の製造工程を示
す図。
FIG. 19 is a view showing the manufacturing process of the semiconductor device according to the same embodiment.

【図20】同実施形態の変形例に係る半導体装置の全体
構成を示す図。
FIG. 20 is a diagram showing an overall configuration of a semiconductor device according to a modification of the embodiment.

【図21】本発明の第7実施形態に係る半導体装置の全
体構成を示す図。
FIG. 21 is a diagram showing an overall configuration of a semiconductor device according to a seventh embodiment of the present invention.

【図22】本発明の第8実施形態に係る半導体装置の全
体構成を示す図。
FIG. 22 is a diagram showing an overall configuration of a semiconductor device according to an eighth embodiment of the present invention.

【図23】本発明の第9実施形態に係る半導体装置の全
体構成を示す図。
FIG. 23 is a view showing an overall configuration of a semiconductor device according to a ninth embodiment of the present invention.

【図24】同実施形態における半導体装置の製造工程を
示す図。
FIG. 24 is a view showing the manufacturing process of the semiconductor device in the embodiment.

【図25】本発明の第10実施形態に係る半導体装置の
全体構成を示す図。
FIG. 25 is a diagram showing an overall configuration of a semiconductor device according to a tenth embodiment of the present invention.

【図26】従来の平面型トランジスタの全体構成を示す
断面図。
FIG. 26 is a cross-sectional view showing the overall configuration of a conventional planar transistor.

【図27】従来の平面型トランジスタの動作時の電子濃
度分布を示す図。
FIG. 27 is a diagram showing an electron concentration distribution during operation of a conventional planar transistor.

【図28】従来の平面型トランジスタの電流密度分布を
示す図。
FIG. 28 is a diagram showing a current density distribution of a conventional planar transistor.

【図29】従来の凹型トランジスタの全体構成を示す
図。
FIG. 29 is a diagram showing an overall configuration of a conventional concave transistor.

【図30】従来の斜め基板表面を有するソース領域及び
ドレイン領域を備えたトランジスタの全体構成を示す断
面図。
FIG. 30 is a cross-sectional view showing the overall configuration of a conventional transistor including a source region and a drain region having an oblique substrate surface.

【符号の説明】[Explanation of symbols]

61…シリコン基板 62…活性領域 63…素子分離領域 64…素子分離絶縁膜 65…チャネル面 66…基板表面 67,105,171,263…ゲート絶縁膜 68…ゲート電極 69…層間絶縁膜 70a〜70c,110a,110b,203a,20
3b…配線 71a,71b,106a,106b,151a,15
1b,261a,261b…第1のソース及びドレイン
拡散層 72a,72b,108a,108b,152a,15
2b,262a,262b…第2のソース及びドレイン
拡散層 73a〜73c,210a,201b…コンタクトホー
ル 91,103,131,141…SiO2膜 92,102,104,161,221…凹部 93,142,162…イオン注入 101…Si34膜 107…側壁窒化膜 109,192…保護窒化膜 94a,94b,212,301…不純物領域 132…ダミーゲート 133,281a,281b…エピタキシャル領域 134…エピタキシャル層表面 171…絶縁膜 172…ゲート端部 153…CMP 154…ポリシリコン膜 163…イオン注入層 191…側壁 111a,111b,212a,212b…ソース及び
ドレイン拡散層 271…埋め込みチャネル
Reference Signs List 61 silicon substrate 62 active region 63 element isolation region 64 element isolation insulating film 65 channel surface 66 substrate surface 67, 105, 171, 263 gate insulating film 68 gate electrode 69 interlayer insulating film 70a to 70c , 110a, 110b, 203a, 20
3b ... wiring 71a, 71b, 106a, 106b, 151a, 15
1b, 261a, 261b... First source and drain diffusion layers 72a, 72b, 108a, 108b, 152a, 15
2b, 262a, 262b ... second source and drain diffusion layers 73 a to 73 c, 210a, 201b ... contact hole 91,103,131,141 ... SiO 2 film 92,102,104,161,221 ... recess 93,142, 162: ion implantation 101: Si 3 N 4 film 107: sidewall nitride film 109, 192: protective nitride film 94a, 94b, 212, 301: impurity region 132: dummy gate 133, 281a, 281b: epitaxial region 134: epitaxial layer surface 171 ... insulating film 172 ... gate end 153 ... CMP 154 ... polysilicon film 163 ... ion implantation layer 191 ... sidewall 111a, 111b, 212a, 212b ... source and drain diffusion layer 271 ... buried channel

Claims (16)

【特許請求の範囲】[Claims] 【請求項1】 少なくとも一部に直角よりも緩やかな側
壁をもつ凹部を有する半導体層と、前記凹部底面の上層
にゲート絶縁膜を挟んで形成されたゲート電極と、前記
ゲート電極の側面に絶縁膜を挟んで形成され、かつ該絶
縁膜との境界面が前記半導体層表面に対して傾きをもっ
て前記半導体層中に形成されたソース領域及びドレイン
領域と、前記半導体層表面に接続する配線コンタクトと
を具備してなり、 前記ゲート電極のエッジは、前記半導体層に設けられた
凹部の内側に位置し、かつ該ゲート電極及び前記ソース
領域又は該ゲート電極及び前記ドレイン領域の少なくと
も一方が相対する領域を有することにより、該相対する
領域での前記ソース領域又は前記ドレイン領域の少なく
とも一方が蓄積層として動作するものであることを特徴
とするMIS型半導体装置。
A semiconductor layer having at least a concave portion having a side wall that is gentler than a right angle, a gate electrode formed above a bottom surface of the concave portion with a gate insulating film interposed therebetween, and insulating at a side surface of the gate electrode. A source region and a drain region formed in the semiconductor layer with a boundary between the insulating layer and the insulating film inclined with respect to the semiconductor layer surface; and a wiring contact connected to the semiconductor layer surface. An edge of the gate electrode is located inside a concave portion provided in the semiconductor layer, and a region where at least one of the gate electrode and the source region or the gate electrode and the drain region is opposed to each other Wherein at least one of the source region and the drain region in the opposed region operates as a storage layer. MIS-type semiconductor device.
【請求項2】 前記ソース領域又は前記ドレイン領域と
前記ゲート電極との間に形成された絶縁膜のうち、前記
ゲート電極及び前記ソース領域又は該ゲート電極及び前
記ドレイン領域が相対する領域以外の領域は、該相対す
る領域よりも厚く形成されてなることを特徴とする請求
項1に記載のMIS型半導体装置。
2. An insulating film formed between the source region or the drain region and the gate electrode, a region other than the region where the gate electrode and the source region or the region where the gate electrode and the drain region face each other. 2. The MIS semiconductor device according to claim 1, wherein the MIS semiconductor device is formed to be thicker than the opposed region.
【請求項3】 少なくとも一部に直角よりも緩やかな側
壁をもつ凹部を有する半導体層と、前記凹部底面の上層
にゲート絶縁膜を挟んで形成されたゲート電極と、前記
ゲート電極の側面に前記絶縁膜を挟んで形成され、かつ
該絶縁膜との境界面が前記半導体層表面に対して傾きを
もって前記半導体層中に形成されたソース領域及びドレ
イン領域と、前記半導体層の凹部底面下に形成されたチ
ャネル領域とを具備してなり、 前記ゲート絶縁膜近傍における前記ソース領域及び前記
チャネル領域の第1の接合位置で、該ソース領域及び前
記ゲート電極が第1の相対する領域を有し、 前記ゲート絶縁膜近傍における前記ドレイン領域及び前
記チャネル領域の第2の接合位置で、該ドレイン領域及
び前記ゲート電極が第2の相対する領域を有し、 第1又は第2の相対する領域の少なくとも一方での前記
ソース領域又はドレイン領域が蓄積層として動作するも
のであり、 かつ前記ソース領域又はドレイン領域の少なくとも一方
と前記ゲート電極との間に形成された絶縁膜のうち、第
1又は第2の相対する領域以外の領域における絶縁膜
は、該第1又は第2の相対する領域よりも厚く形成され
てなることを特徴とするMIS型半導体装置。
3. A semiconductor layer having at least a concave portion having a side wall that is gentler than a right angle, a gate electrode formed on a bottom surface of the concave portion with a gate insulating film interposed therebetween, and a side surface of the gate electrode, A source region and a drain region formed in the semiconductor layer with the boundary between the insulating film and the insulating film inclined with respect to the surface of the semiconductor layer, and formed below a bottom surface of the concave portion of the semiconductor layer; At a first junction position of the source region and the channel region in the vicinity of the gate insulating film, the source region and the gate electrode have a first opposed region, At a second junction position between the drain region and the channel region near the gate insulating film, the drain region and the gate electrode have a second opposed region; Alternatively, the source region or the drain region of at least one of the second opposed regions operates as a storage layer, and an insulating layer formed between at least one of the source region or the drain region and the gate electrode The MIS semiconductor device, wherein an insulating film in a region other than the first or second opposed region of the film is formed thicker than the first or second opposed region.
【請求項4】 前記ゲート絶縁膜の高さは、前記チャネ
ル領域の中心部近傍から前記ソース領域又はドレイン領
域の少なくとも一方にかけて連続的に高くなる部分を有
することを特徴とする請求項3に記載のMIS型半導体
装置。
4. The device according to claim 3, wherein the height of the gate insulating film has a portion that continuously increases from near the center of the channel region to at least one of the source region and the drain region. MIS type semiconductor device.
【請求項5】 前記ソース領域及びドレイン領域の表面
には、前記ゲート電極と離間して形成されたコンタクト
を有し、前記ソース領域と前記コンタクトとの間と、前
記ドレイン領域と前記コンタクトとの間を前記ゲート絶
縁膜との境界面に沿って電流経路が形成されてなり、前
記コンタクトと前記ゲート電極との間の距離がゲート幅
の1.5倍よりも短く形成されてなることを特徴とする
請求項3に記載のMIS型半導体装置。
5. The semiconductor device according to claim 1, further comprising: a contact formed on the surface of the source region and the drain region so as to be separated from the gate electrode. A current path is formed between the contact and the gate insulating film along a boundary surface with the gate insulating film, and a distance between the contact and the gate electrode is formed to be shorter than 1.5 times a gate width. The MIS type semiconductor device according to claim 3, wherein
【請求項6】 前記第1及び第2の相対する領域よりも
前記コンタクトに近い領域の前記ソース領域又はドレイ
ン領域の表面の少なくとも一方は、前記半導体層表面に
対して傾きを持って形成されてなることを特徴とする請
求項5に記載のMIS型半導体装置。
6. The semiconductor device according to claim 1, wherein at least one of the surface of the source region and the surface of the drain region in a region closer to the contact than the first and second opposed regions is formed to be inclined with respect to the surface of the semiconductor layer. The MIS type semiconductor device according to claim 5, wherein
【請求項7】 前記第1又は第2の相対する領域近傍に
おける前記ソース領域又はドレイン領域の下面の高さの
少なくとも一方は、前記チャネル領域の高さよりも高く
形成されてなることを特徴とする請求項3に記載のMI
S型半導体装置。
7. A height of at least one of lower surfaces of the source region and the drain region in the vicinity of the first or second opposed region is formed higher than a height of the channel region. MI according to claim 3
S-type semiconductor device.
【請求項8】 前記ソース領域及びドレイン領域は、該
ソース領域とドレイン領域の間に形成されるチャネル領
域と同一導電型の材料により形成されてなることを特徴
とする請求項3に記載のMIS型半導体装置。
8. The MIS according to claim 3, wherein the source region and the drain region are formed of a material having the same conductivity type as a channel region formed between the source region and the drain region. Type semiconductor device.
【請求項9】 前記チャネル領域と前記ゲート電極との
間の前記ゲート絶縁膜は直線状に形成されてなり、かつ
直線状の該ゲート絶縁膜の両端部と直角よりも緩やかな
側壁との間にそれぞれコーナ部を有し、該コーナ部の間
に第1又は第2の接合位置の少なくとも一方が位置する
ことを特徴とする請求項3に記載のMIS型半導体装
置。
9. The gate insulating film between the channel region and the gate electrode is formed linearly, and is formed between both ends of the linear gate insulating film and side walls that are gentler than a right angle. 4. The MIS semiconductor device according to claim 3, wherein each of the MIS type semiconductor devices has a corner portion, and at least one of the first and second joining positions is located between the corner portions. 5.
【請求項10】 前記第1又は第2の相対する領域の少
なくとも一方の前記ゲート電極エッジの下に位置する一
端での前記ソース領域又はドレイン領域の不純物濃度は
1×1013cm-2以上であることを特徴とする請求項3
に記載のMIS型半導体装置。
10. An impurity concentration of the source region or the drain region at one end located below the gate electrode edge of at least one of the first and second opposed regions is 1 × 10 13 cm −2 or more. 4. The method according to claim 3, wherein
2. The MIS semiconductor device according to 1.
【請求項11】 半導体層に、直角よりも緩やかな側壁
をもつ凹部をRIE法により形成する工程と、前記半導
体層表面を覆うようにゲート絶縁膜を形成する工程と、
前記凹部を含んで前記ゲート絶縁膜上に導電膜を形成す
る工程と、前記凹部の側面に側壁が位置するように前記
導電膜をリソグラフィ法を用いてパターニングすること
によりゲート電極を形成する工程とを有することを特徴
とするMIS型半導体装置の製造方法。
11. A step of forming, by RIE, a concave portion having a side wall that is gentler than a right angle in a semiconductor layer, and a step of forming a gate insulating film so as to cover the semiconductor layer surface.
Forming a conductive film on the gate insulating film including the concave portion, and forming a gate electrode by patterning the conductive film using a lithography method so that side walls are located on side surfaces of the concave portion; A method for manufacturing a MIS type semiconductor device, comprising:
【請求項12】 第1の半導体層上に第1の絶縁膜及び
ダミーゲートを選択的に積層形成する工程と、このダミ
ーゲートをマスクとして半導体材料を選択的に固相成長
させることにより、直角よりも緩やかな側壁をもつ第2
の半導体層を該ダミーゲートを挟んで形成する工程と、
第1の絶縁膜及び前記ダミーゲートを除去する工程と、
第1の絶縁膜及び前記ダミーゲートが形成されていた領
域に選択的にゲート絶縁膜、ゲート電極を順次形成する
工程とを有することを特徴とするMIS型半導体装置の
製造方法。
12. A step of selectively forming a first insulating film and a dummy gate on the first semiconductor layer and selectively solid-phase growing a semiconductor material using the dummy gate as a mask to form a right angle. Second with looser sidewalls
Forming a semiconductor layer with the dummy gate interposed therebetween;
Removing the first insulating film and the dummy gate;
Selectively forming a gate insulating film and a gate electrode sequentially in a region where the first insulating film and the dummy gate have been formed.
【請求項13】 前記第2の半導体層の形成後、該第2
の半導体層及び前記ダミーゲートの表面を覆うように第
2の絶縁膜を形成する工程と、第2の絶縁膜上に充填材
を堆積し、この充填材表面を平坦化除去して前記ダミー
ゲートを露出させる工程と、前記ダミーゲートとともに
該ダミーゲートの側壁に形成された第1及び第2の絶縁
膜を除去することにより、底面と側壁の間に第2の半導
体層の側面と同じ傾きのテーパ部を持つ凹部を形成する
工程と、前記形成された凹部の底面にゲート絶縁膜を形
成する工程と、前記ゲート絶縁膜の形成された凹部に導
電性材料をダマシンプロセスを用いて埋め込み形成する
工程とを含むことを特徴とする請求項12に記載のMI
S型半導体装置の製造方法。
13. After the formation of the second semiconductor layer, the second semiconductor layer
Forming a second insulating film so as to cover the semiconductor layer and the surface of the dummy gate; depositing a filler on the second insulating film; planarizing and removing the surface of the filler to remove the dummy gate; And removing the first and second insulating films formed on the side walls of the dummy gate together with the dummy gate, so that the same inclination as the side surface of the second semiconductor layer is formed between the bottom surface and the side wall. Forming a concave portion having a tapered portion, forming a gate insulating film on the bottom surface of the formed concave portion, and filling a conductive material in the concave portion formed with the gate insulating film by using a damascene process. 13. The MI according to claim 12, comprising:
A method for manufacturing an S-type semiconductor device.
【請求項14】 前記固相成長はエピタキシャル成長で
あることを特徴とする請求項12に記載のMIS型半導
体装置の製造方法。
14. The method according to claim 12, wherein the solid phase growth is epitaxial growth.
【請求項15】 前記ゲート電極を挟んで前記半導体層
中にソース領域及びドレイン領域を形成する工程と、 前記ゲート電極、前記ソース領域及びドレイン領域を覆
うように前記半導体層上に層間絶縁膜を形成する工程
と、 前記層間絶縁膜を反応性イオンエッチングを用いて選択
的に除去して前記ソース領域又はドレイン領域の少なく
とも一方の表面へ配線を接続するコンタクトホールを形
成する工程とを有し、 前記コンタクトホールを形成する工程は、前記ゲート電
極の側壁及び表面を保護する絶縁膜をマスクとして用い
ることにより、前記ゲート電極に自己整合的に形成する
ものであることを特徴とする請求項11に記載のMIS
型半導体装置の製造方法。
15. A step of forming a source region and a drain region in the semiconductor layer with the gate electrode interposed therebetween, and forming an interlayer insulating film on the semiconductor layer so as to cover the gate electrode, the source region, and the drain region. Forming a contact hole for connecting a wiring to at least one surface of the source region or the drain region by selectively removing the interlayer insulating film using reactive ion etching, 12. The method according to claim 11, wherein the step of forming the contact hole includes forming the contact hole in a self-aligned manner with the gate electrode by using an insulating film for protecting a side wall and a surface of the gate electrode as a mask. MIS described
Of manufacturing a semiconductor device.
【請求項16】 前記第2の半導体層表面にソース領域
及びドレイン領域を形成する工程と、 前記ゲート電極を形成後、該ゲート電極の側壁及び表面
に該ゲート電極を保護する絶縁膜を形成する工程と、 前記絶縁膜を覆うように前記第1の半導体層上に層間絶
縁膜を形成する工程と、 該絶縁膜をマスクとして、反応性イオンエッチングによ
り前記層間絶縁膜を選択的に除去することにより、前記
ソース領域又はドレイン領域の少なくとも一方の表面
へ、配線と接続するコンタクトホールを前記ゲート電極
に自己整合的に形成することを特徴とする請求項12に
記載のMIS型半導体装置の製造方法。
16. A step of forming a source region and a drain region on a surface of the second semiconductor layer, and after forming the gate electrode, forming an insulating film on a side wall and a surface of the gate electrode to protect the gate electrode. Forming an interlayer insulating film on the first semiconductor layer so as to cover the insulating film; and selectively removing the interlayer insulating film by reactive ion etching using the insulating film as a mask. 13. The method of manufacturing a MIS type semiconductor device according to claim 12, wherein a contact hole connected to a wiring is formed in at least one surface of the source region or the drain region in a self-aligned manner with the gate electrode. .
JP18073899A 1998-06-25 1999-06-25 MIS type semiconductor device and manufacturing method thereof Expired - Fee Related JP4047492B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP18073899A JP4047492B2 (en) 1998-06-25 1999-06-25 MIS type semiconductor device and manufacturing method thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10-178654 1998-06-25
JP17865498 1998-06-25
JP18073899A JP4047492B2 (en) 1998-06-25 1999-06-25 MIS type semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2000082813A true JP2000082813A (en) 2000-03-21
JP4047492B2 JP4047492B2 (en) 2008-02-13

Family

ID=26498762

Family Applications (1)

Application Number Title Priority Date Filing Date
JP18073899A Expired - Fee Related JP4047492B2 (en) 1998-06-25 1999-06-25 MIS type semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4047492B2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002100580A (en) * 2000-06-30 2002-04-05 Hynix Semiconductor Inc Epitaxial growth method of semiconductor element
JP2003078004A (en) * 2001-08-31 2003-03-14 Sony Corp Semiconductor device and method of manufacturing the same
JP2003197907A (en) * 2001-12-12 2003-07-11 Samsung Electronics Co Ltd Transistor structure utilizing epitaxial layer and its fabricating method
JP2004087960A (en) * 2002-08-28 2004-03-18 Fujitsu Ltd Manufacturing method of semiconductor device
JP2004327961A (en) * 2003-04-23 2004-11-18 Samsung Electronics Co Ltd Mos transistor and manufacturing method therefor
KR100467024B1 (en) * 2002-11-14 2005-01-24 삼성전자주식회사 Semiconductor device having diffusion barrier layer at source/drain regions and method of forming the same
JP2005136366A (en) * 2003-10-28 2005-05-26 Dongbu Electronics Co Ltd Manufacturing method of transistor for semiconductor element
US6987065B2 (en) 2003-01-10 2006-01-17 Matsushita Electric Industrial Co., Ltd. Method of manufacturing self aligned electrode with field insulation
JP2007088046A (en) * 2005-09-20 2007-04-05 Sony Corp Insulated gate field effect transistor and its fabrication process
JP2007088047A (en) * 2005-09-20 2007-04-05 Sony Corp Semiconductor device and its fabrication process
JP2007311376A (en) * 2006-05-16 2007-11-29 Sony Corp Manufacturing method of semiconductor device
JP2007324430A (en) * 2006-06-02 2007-12-13 Sony Corp Manufacturing method for semiconductor device
JP2008060497A (en) * 2006-09-04 2008-03-13 Sony Corp Semiconductor device and its manufacturing method
US8030708B2 (en) 2005-01-06 2011-10-04 Sony Corporation Insulated gate field-effect transistor
JP2012253219A (en) * 2011-06-03 2012-12-20 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2014216469A (en) * 2013-04-25 2014-11-17 パナソニック株式会社 Solid state image pickup device

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002100580A (en) * 2000-06-30 2002-04-05 Hynix Semiconductor Inc Epitaxial growth method of semiconductor element
JP4544788B2 (en) * 2000-06-30 2010-09-15 株式会社ハイニックスセミコンダクター Epichannel formation method of semiconductor device
JP2003078004A (en) * 2001-08-31 2003-03-14 Sony Corp Semiconductor device and method of manufacturing the same
JP4492009B2 (en) * 2001-08-31 2010-06-30 ソニー株式会社 Semiconductor device and manufacturing method thereof
JP2008091937A (en) * 2001-12-12 2008-04-17 Samsung Electronics Co Ltd Transistor structure using epitaxial layer and method for manufacturing the same
JP2003197907A (en) * 2001-12-12 2003-07-11 Samsung Electronics Co Ltd Transistor structure utilizing epitaxial layer and its fabricating method
JP2004087960A (en) * 2002-08-28 2004-03-18 Fujitsu Ltd Manufacturing method of semiconductor device
KR100467024B1 (en) * 2002-11-14 2005-01-24 삼성전자주식회사 Semiconductor device having diffusion barrier layer at source/drain regions and method of forming the same
US6987065B2 (en) 2003-01-10 2006-01-17 Matsushita Electric Industrial Co., Ltd. Method of manufacturing self aligned electrode with field insulation
JP2004327961A (en) * 2003-04-23 2004-11-18 Samsung Electronics Co Ltd Mos transistor and manufacturing method therefor
JP4722405B2 (en) * 2003-04-23 2011-07-13 三星電子株式会社 Transistor manufacturing method
US7883969B2 (en) 2003-04-23 2011-02-08 Samsung Electronics Co., Ltd. Metal oxide semiconductor field effect transistors (MOSFETs) including recessed channel regions and methods of fabricating the same
JP2005136366A (en) * 2003-10-28 2005-05-26 Dongbu Electronics Co Ltd Manufacturing method of transistor for semiconductor element
JP4567969B2 (en) * 2003-10-28 2010-10-27 東部エレクトロニクス株式会社 Semiconductor device transistor manufacturing method
US8030708B2 (en) 2005-01-06 2011-10-04 Sony Corporation Insulated gate field-effect transistor
JP2007088047A (en) * 2005-09-20 2007-04-05 Sony Corp Semiconductor device and its fabrication process
JP2007088046A (en) * 2005-09-20 2007-04-05 Sony Corp Insulated gate field effect transistor and its fabrication process
JP2007311376A (en) * 2006-05-16 2007-11-29 Sony Corp Manufacturing method of semiconductor device
JP2007324430A (en) * 2006-06-02 2007-12-13 Sony Corp Manufacturing method for semiconductor device
JP2008060497A (en) * 2006-09-04 2008-03-13 Sony Corp Semiconductor device and its manufacturing method
US7605424B2 (en) 2006-09-04 2009-10-20 Sony Corporation Semiconductor device and method of manufacturing semiconductor device
JP4631833B2 (en) * 2006-09-04 2011-02-16 ソニー株式会社 Semiconductor device
KR101398497B1 (en) * 2006-09-04 2014-05-23 소니 주식회사 Semiconductor device and method of manufacturing thereof
JP2012253219A (en) * 2011-06-03 2012-12-20 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2014216469A (en) * 2013-04-25 2014-11-17 パナソニック株式会社 Solid state image pickup device

Also Published As

Publication number Publication date
JP4047492B2 (en) 2008-02-13

Similar Documents

Publication Publication Date Title
US6465842B2 (en) MIS semiconductor device and method of fabricating the same
US6713790B2 (en) Semiconductor device and method for fabricating the same
US7687335B2 (en) Self aligned gate JFET structure and method
US8415210B2 (en) Field effect transistor and method for manufacturing the same
US5578508A (en) Vertical power MOSFET and process of fabricating the same
KR100882930B1 (en) CMOS semiconductor devices having source and drain regions and methods of fabricating the same
US5324673A (en) Method of formation of vertical transistor
US7045409B2 (en) Semiconductor device having active regions connected together by interconnect layer and method of manufacture thereof
CN100477264C (en) Transistor and method for manufacturing the same
US10636883B2 (en) Semiconductor device including a gate trench and a source trench
JP4047492B2 (en) MIS type semiconductor device and manufacturing method thereof
US6204137B1 (en) Method to form transistors and local interconnects using a silicon nitride dummy gate technique
KR20050085607A (en) Method of manufactoring a trench-gate semiconductor device
JPH09172173A (en) Semiconductor device and its manufacture
JPH07120796B2 (en) MOS field effect transistor and manufacturing method thereof
US6905919B2 (en) Method of forming a partially depleted silicon on insulator (PDSOI) transistor with a pad lock body extension
US6518625B1 (en) Semiconductor device
US6225663B1 (en) Semiconductor device having SOI structure and method of fabricating the same
JPH09115923A (en) Semiconductor device and its manufacture
GB2395602A (en) MOS transistor
KR19980081139A (en) Method of forming a CMOS circuit device
JPH0964359A (en) Semiconductor device and its manufacture
JP2001053276A (en) Forming method of vertical semiconductor device with increased source contact area
EP1089330A2 (en) Lateral field effect transistor
KR100487527B1 (en) Semiconductor device having elevated source/drain and method of the same

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060801

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061002

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070130

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070605

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101130

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101130

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111130

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121130

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees