JP3950290B2 - Semiconductor memory device including capacitor protective film and method of manufacturing the same - Google Patents

Semiconductor memory device including capacitor protective film and method of manufacturing the same Download PDF

Info

Publication number
JP3950290B2
JP3950290B2 JP2000270446A JP2000270446A JP3950290B2 JP 3950290 B2 JP3950290 B2 JP 3950290B2 JP 2000270446 A JP2000270446 A JP 2000270446A JP 2000270446 A JP2000270446 A JP 2000270446A JP 3950290 B2 JP3950290 B2 JP 3950290B2
Authority
JP
Japan
Prior art keywords
film
capacitor
memory device
semiconductor memory
interlayer insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000270446A
Other languages
Japanese (ja)
Other versions
JP2001111007A (en
Inventor
容 卓 李
學 柱 趙
榮 寛 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-1999-0065074A external-priority patent/KR100370235B1/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2001111007A publication Critical patent/JP2001111007A/en
Application granted granted Critical
Publication of JP3950290B2 publication Critical patent/JP3950290B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Non-Volatile Memory (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は半導体メモリ素子及びその製造方法に係り、詳細にはキャパシタ保護膜を含む半導体メモリ素子及びその製造方法に関する。
【0002】
【従来の技術】
最近になって、半導体メモリ素子の製造分野で、半導体メモリ素子のキャパシタ誘電膜を強誘電体で形成するための研究が注目をあびている。非揮発性半導体メモリ素子の場合、強誘電体の残留分極(remnant polarization、以下‘Pr’と称する)現像が、現在広く用いられるデジタル記憶素子の基本になっている二陣記憶(binary memory)概念と合致するためである。現在、広く用いられている強誘電体物質ではPZT(Pb(Zr、Ti)O3)、SBT(SrBi2Ta29)などがある。
【0003】
ところで、半導体メモリ素子のキャパシタ誘電膜を強誘電体で形成することにおいて、最も障害になる問題の一つは、キャパシタ誘電膜として採用された強誘電体の強誘電特性がキャパシタ形成工程以後に遂行される半導体メモリ素子の集積工程(integration process)で劣化するということである。半導体メモリ素子の集積過程で強誘電体からなるキャパシタ誘電膜が劣化される問題を以下で具体的に説明すると、半導体メモリ素子の製造においてキャパシタ形成工程を遂行した以後にはILD(Interlayer Dielectric)工程、IMD(InterMetal Dielectric)工程、パッシベーション(Passivation)工程などが遂行される。ところで、このような工程を遂行する途中ではキャパシタ誘電膜を劣化させ得る不純物、特に水素が誘発できる。誘発された水素は工程が進行される中で直接的にキャパシタ誘電膜に浸透したり、前記工程で形成されるILD膜、IMD膜またはパッシベーション膜内に封入されてキャパシタ誘電膜に間接的に浸透したりすることもある。その結果、キャパシタ誘電膜として使用された強誘電体の強誘電特性中の一つであるPrが減少するようになる。
【0004】
例えば、強誘電体キャパシタを半導体基板に形成した以後にシリコン酸化膜からなった層間絶縁膜を形成するためにILD工程を進行すると、キャパシタの誘電膜が劣化するという問題が生じる。すなわち、PECVD(Plasma Enhanced Chemical Vapor Deposition)方法を用いてシリコン酸化膜からなった層間絶縁膜を形成するILD工程では、シランガス(SiH4)と酸素ガス(O2)とが反応ガスとして用いられ、シランガスと酸素ガスとが反応し水素イオンが副産物として派生する。派生された水素イオンは、強誘電体キャパシタの誘電膜に直接的に拡散してキャパシタ誘電膜を劣化させたり、ILD工程で形成される層間絶縁膜内に封入されて徐々にキャパシタ誘電膜を劣化させたりする。その結果、キャパシタ誘電膜のPr値が減少されて、キャパシタ誘電膜の強誘電特性が喪失される問題まで生じることもある。このように、半導体メモリ素子の集積過程でキャパシタ誘電膜が劣化される問題は層間絶縁膜を形成するためのILD工程のみで生じることではなく、金属間絶縁膜を形成するためのIMD工程及びパッシベーション膜を形成するためのパッシベーション工程でも実質的に同一の問題が生じるようになる。
【0005】
したがって、このような問題を解決するために従来技術による半導体メモリ素子製造方法では、キャパシタを形成してから単一膜からなった絶縁膜でキャパシタをカプセル化(encapsulating)する方法を用いている。例えば、米国特許第5、822、175号は水素拡散によるキャパシタ誘電膜の劣化問題を解決するために、キャパシタをシリコン酸化膜、ドーピングされたシリコン酸化膜またはシリコン窒化膜でカプセル化する方法を開示している。
【0006】
一方、キャパシタ形成工程においてはキャパシタ誘電膜を半導体基板上に形成してからキャパシタの誘電膜を600℃ないし800℃の間の温度及び酸素雰囲気下で熱処理して結晶化させることによって誘電膜の絶縁特性を向上させる。また、キャパシタを形成した以後にもキャパシタ形成工程中に遂行した乾式エッチング工程により誘発された誘電膜損傷の回復及び製造されたキャパシタの安定化のために450℃ないし600℃の間の温度及び酸素雰囲気下で熱処理工程が遂行される。
【0007】
ところで、このような熱処理過程で半導体基板上の不純物注入領域、例えばソース領域とキャパシタとを電気的に連結するコンタクトプラグに酸素が拡散してコンタクト抵抗が増加するようになる。例えば、コンタクトプラグがドーピングされたポリシリコンからなった場合、コンタクトプラグに拡散した酸素はポリシリコンと反応してコンタクトプラグとキャパシタの界面にシリコン酸化膜を形成してコンタクト抵抗を増加させるようになる。このようなコンタクト抵抗の増加は半導体メモリ素子の動作速度を低下させる要因として作用するようになる。
【0008】
【発明が解決しようとする課題】
本発明が達成しようとする技術的課題は、不純物拡散によるキャパシタ誘電膜の劣化を防止するキャパシタ保護膜を含む半導体メモリ素子を提供することにある。
【0009】
本発明が達成しようとする他の技術的課題は、キャパシタ形成工程以後に遂行される半導体メモリ素子の集積工程でキャパシタを保護できるようにする半導体メモリ素子の製造方法を提供することにある。
【0010】
【課題を解決するための手段】
前記技術的課題を達成するための本発明の一側面による半導体メモリ素子の一実施例は、下部電極、上部電極及び前記下部電極と上部電極間に挿入されたキャパシタ誘電膜を含むキャパシタを備える。また、半導体メモリ素子に多層構造を有するカプセル化膜が提供される。カプセル化膜はキャパシタの全表面を包みそして少なくとも相異なる絶縁物質からなった少なくとも2個の物質膜を含む。誘電膜はまた、カプセル化膜上に形成されてメタルコンタクトはカプセル化膜及び誘電膜を貫通して上部電極と接触する。
【0011】
カプセル化膜は、少なくともブロックキング膜とキャパシタ保護膜とを含むが、ブロックキング膜がキャパシタ保護膜の内側に備わってブロックキング膜とキャパシタ保護膜とは相異なる物質からなることが望ましい。
【0012】
カプセル化膜が2重膜である場合には、前記ブロックキング膜はメタルコンタクトが上部電極をコンタクトする部分を除いてキャパシタの全表面を包むことが望ましく、キャパシタ保護膜はブロックキング膜の全表面を包むことが望ましい。ブロックキング膜はブロックキング膜の下部に形成された物質膜とキャパシタ保護膜間の反応を防止できる物質からなることが望ましい。望ましくは、ブロックキング膜はTiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜で有り得る。
【0013】
キャパシタ保護膜は、絶縁膜内に封入された水素がキャパシタ誘電膜に浸透することを防止できる物質及び/またはキャパシタ誘電膜の揮発を防止できる物質で形成することが望ましい。望ましくは、キャパシタ保護膜はAl23膜、TiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜であるが、ブロックキング膜をなす物質とは異なる物質からなることができる。
【0014】
本発明の一側面による半導体メモリ素子は、絶縁膜及びメタルコンタクト上に形成されたパッシベーション膜をさらに含むことができる。メタルコンタクトとパッシベーション膜間にはパッシベーション膜内に封入された水素がキャパシタ誘電膜に浸透することを防止する水素浸透防止膜が選択的に介在されることができる。水素浸透防止膜はAl23膜、TiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜であることが望ましい。
【0015】
本発明の一側面による半導体メモリ素子は、キャパシタ下部に形成された層間絶縁膜、及び層間絶縁膜内に備わった導電性プラグをさらに含む。導電性プラグはキャパシタの下部電極と電気的に連結されてキャパシタの下部電極と導電性プラグ間で伸張するがコバルトシリサイドからなった界面膜で有り得る。
【0016】
本発明の一側面による半導体メモリ素子は、キャパシタ下部に形成された層間絶縁膜、及び層間絶縁膜内に備わってキャパシタ下部電極と電気的に連結される導電性プラグをさらに含むことができる。この時、導電性プラグはコバルトシリサイド膜のみでなったり、導電膜とコバルトシリサイド膜とが順次的に積層された2重膜からなる場合もある。
【0017】
本発明の他の側面による半導体メモリ素子は、下部電極、上部電極及び下部電極と上部電極間に挿入されたキャパシタ誘電膜を含むキャパシタを備える。また本発明の他の側面による半導体メモリ素子にカプセル化膜が提供されてキャパシタの全表面を包む。カプセル化膜は相異なる絶縁物質からなったブロックキング膜とキャパシタ保護膜とを少なくとも含む多重カプセル化膜を含む。この時、ブロックキング膜はキャパシタ保護膜下部に形成される。
【0018】
本発明のまた他の側面による半導体メモリ素子は、下部電極、上部電極及び下部電極と上部電極間に挿入されたキャパシタ誘電膜を含むキャパシタを備える。所定の誘電膜がキャパシタ上に形成される。メタルコンタクトが誘電膜内に形成されて上部電極と接触してパッシベーション膜がメタルコンタクト上に形成される。この実施例では、水素拡散防止膜がメタルコンタクトとパッシベーション膜間に挿入される。
【0019】
本発明の第2の技術的課題を達成するための本発明の一側面による半導体メモリ素子製造方法は、まず下部電極、上部電極及び下部電極と上部電極間に挿入されるキャパシタ誘電膜を含む半導体メモリ素子のキャパシタを半導体基板に形成する。その後、キャパシタの全表面に多重カプセル化膜を形成する。
【0020】
多重カプセル化膜は、少なくとも相異なる絶縁物質からなったブロックキング膜とキャパシタ保護膜とを含むように形成するが、ブロックキング膜をキャパシタ保護膜下部に形成する。多重カプセル化膜が2重膜の場合に多重カプセル化膜形成段階は、まずキャパシタの全表面を包むブロックキング膜を形成する。その後、ブロックキング膜の全表面を包むキャパシタ保護膜を形成する。
【0021】
本発明の一側面による半導体メモリ素子製造方法は、ブロックキング膜を形成した以後に、400℃ないし600℃の間の温度及び酸素雰囲気下で熱処理する段階をさらに含むことができる。
【0022】
ブロックキング膜は、ブロックキング膜の下部に形成された物質膜とキャパシタ保護膜間の反応を防止及び/またはキャパシタ誘電膜の揮発を防止できる物質で形成することが望ましい。望ましくは、ブロックキング膜はTiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜で形成できる。
【0023】
キャパシタ保護膜は、水素浸透防止用物質で形成することが望ましい。望ましくは、TiO2膜、Ta25膜、Al23膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜で形成するが、ブロックキング膜をなす物質とは異なる物質膜で形成することが望ましい。
【0024】
本発明の一側面による半導体メモリ素子製造方法は、多重カプセル化膜を形成した以後に、多重カプセル化膜上に絶縁膜を形成する段階と絶縁膜を貫通して上部電極をコンタクトするメタルコンタクトを形成する段階とメタルコンタクトが形成されている半導体基板の全面にパッシベーション膜を形成する段階をさらに含むことができる。
【0025】
パッシベーション膜を形成する前に半導体基板の全面に水素浸透防止膜を形成する段階を追加して進行できる。望ましくは、水素浸透防止膜はAl23膜、TiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜で形成できる。水素防止膜は原子層蒸着方法(atomic layer deposition)工程により形成されることが望ましい。
【0026】
本発明の第2の技術的課題を達成するための本発明の他の側面による半導体メモリ素子製造方法は、半導体基板上に所定の半導体集積回路素子を形成する段階及び半導体集積回路素子が形成された前記半導体基板の全面にパッシベーション膜を形成する段階を含み、そしてパッシベーション膜を形成する前に水素浸透防止膜を半導体基板の全面に形成する段階をさらに含むことができる。
【0027】
【発明の実施の形態】
以下、添付した図面を参照して本発明によるキャパシタ保護膜を含む半導体メモリ素子及びその製造方法に対する望ましい実施例を詳細に説明する。しかし、本発明の実施例はさまざまな異なる形態で変形でき、本発明の範囲が以下に詳述する実施例に限定されることと解釈されてはならない。以下の図面を参照した説明は関連した産業技術分野で平均的知識を有する者に本発明をより完全に説明するために提供されることである。図面上での層や領域の厚さは説明の明確性のために記載されたものである。図面上で同一の符号は同一の要素を指称する。また、ある層が他の層または基板の上部にあると記載した場合、前記ある層が前記他の層または基板の上部に直接存在する場合もあり、その間に第3の層が介在される場合もある。一方、図1ないし図20を参考にして説明された本発明の実施例による半導体メモリ素子に備わるキャパシタは、COB(Capacitor Over Bit line)構造を有する。しかし、本発明による半導体メモリ素子に備わるキャパシタは、CUB(Capacitor Under Bit line)構造を有する場合もあることはもちろんである。
【0028】
図1は、本発明による半導体メモリ素子の構造に対する第1実施例を示した断面図である。
【0029】
図1を参照すると、半導体基板100上にはLOCOS工程によって形成された素子分離膜101が活性領域を定義しており、素子分離膜101によって定義される活性領域上には電界効果トランジスタTが形成されている。もちろん、前記活性領域を定義する素子分離膜はトレンチ素子分離方法によって形成される場合もある。前記電界効果トランジスタTはゲート電極102、ソース領域104及びドレーン領域106からなっている。前記ゲート電極102と半導体基板100間には酸化膜からなったゲート酸化膜108が介在される。ゲート電極102の側壁には窒化膜からなった側壁スペーサ110が形成されている。
【0030】
前記素子分離膜102及び電界効果トランジスタTが形成された半導体基板100の全面には隣接する電界効果トランジスタTを電気的に分離させる第1層間絶縁膜112が形成されており、第1層間絶縁膜112上には第2層間絶縁膜114が形成されている。第1層間絶縁膜112及び第2層間絶縁膜114はBSG(Borosilicate Glass)膜、PSG(PhosphoSilicate Glass)膜、BPSG(BoroPhosphoSilicate Glass)膜、TEOS(TetraEthlyOrthoSilicate Glass)膜、USG(UndoppedSilicate Glass)膜、オゾン−TEOS膜、PE(Plasma Enhanced)−TEOS膜またはこれらの組合せ膜で有り得る。そして、前記第1層間絶縁膜112内にはランディングプラグ116が形成されており、第2層間絶縁膜114内にはビットラインコンタクトパッド118が形成されている。第1及び第2層間絶縁膜112及び114内には導電性プラグ120が形成されている。図示はしていないが前記ビットラインコンタクトパッド118は、ビットライン(図示せず)と電気的に連結されて前記ランディングプラグ116は、半導体基板100上に形成された不純物注入領域、例えばドレーン領域106とビットラインコンタクトパッド118とを電気的に連結する。前記導電性プラグ120は第2層間絶縁膜114上に形成された半導体メモリ素子のキャパシタCと半導体基板100上に形成された不純物注入領域、例えばソース領域104とを電気的に連結する。前記半導体メモリ素子のキャパシタCは下部電極122、キャパシタ誘電膜124及び上部電極126で構成され、前記キャパシタCと第2層間絶縁膜114間には界面膜128が介在されている。
【0031】
一方、図1で導電性プラグ120、界面膜128及びキャパシタCを示すことにおいては、その具体的な構造を省略して示した。なぜなら、本発明による半導体メモリ素子の構造においては導電性プラグ120、界面膜128及びキャパシタCが多様な構造を有することができるためである。したがって、導電性プラグ120、界面膜128及びキャパシタCの多様な構造は図3及び図7を参照して以後に詳細に説明する。
【0032】
前記上部電極126の一部表面を除いたキャパシタCの全表面及び第2層間絶縁膜114上にはキャパシタCを保護するカプセル化膜(Encapsulating Layer:以下‘EL’と称する)が多重膜として形成されている。また、前記カプセル化膜EL上には第3層間絶縁膜134が形成されており、カプセル化膜ELが形成されていない上部電極126上には上部電極メタルコンタクト136が形成されている。前記第3層間絶縁膜134はBSG膜、PSG膜、BPSG膜、TEOS膜、USG膜、オゾン−TEOS膜、PE−TEOS膜またはこれらの組合せ膜で有り得る。
【0033】
多重膜で構成されたカプセル化膜ELはキャパシタCを保護するために次のような機能を遂行することが望ましい。まず、キャパシタ誘電膜124の揮発を防止しなければならない。例えば、キャパシタ誘電膜124がPZT膜、BST膜またはPLZT膜のような高誘電体膜または強誘電体膜からなった場合にキャパシタ誘電膜124内の酸素原子がキャパシタ誘電膜124から離脱することを防止しなければならない。なぜなら、キャパシタ誘電膜124が揮発するとキャパシタCが劣化されて蓄積された電荷によって情報を貯蔵する固有の機能を喪失するためである。また、カプセル化膜ELはキャパシタC周辺に形成されている物質膜、例えば第3層間絶縁膜134内に封入された水素がキャパシタ誘電膜124に拡散することを遮断し得るべきである。
【0034】
したがって、カプセル化膜ELは、少なくとも相異なる絶縁物質からなったブロックキング膜とキャパシタ保護膜とを備えることが望ましい。ここで、キャパシタ保護膜は水素がキャパシタ誘電膜124に拡散することを防止する機能を遂行する。そして、ブロックキング膜はキャパシタ保護膜下部に形成されて、ブロックキング膜の下部に形成された物質膜とキャパシタ保護膜とが相互反応することを防止する機能及び/またはキャパシタ誘電膜の揮発防止機能を主に遂行する。もちろん、主に遂行する機能においてブロックキング膜とキャパシタ保護膜とは差はあるが、前記で羅列した機能を全部遂行できることはもちろんである。
【0035】
カプセル化膜ELが多重膜として構成される場合、カプセル化膜ELは次のように構成できる。例えば、カプセル化膜ELが3重膜の場合にはブロックキング膜\緩衝膜\キャパシタ保護膜の順序で積層させた構造を有することができる。また、カプセル化膜ELが2重膜の場合にはブロックキング膜\キャパシタ保護膜の順序で積層させた構造を有する場合もある。もちろん、カプセル化膜ELが有することができる構造が上述した2重膜または3重膜のみに限らず、カプセル化膜ELを構成できる物質膜の数及びその構成は多様に決定できる。
【0036】
図1に示された本発明による半導体メモリ素子の第1実施例に備わったカプセル化膜ELは2重膜構造になっている。まず、ブロックキング膜130が上部電極126の一部表面を除いたキャパシタCの全表面及び第2層間絶縁膜114の上部表面上に直接的に形成されている。そして、前記ブロックキング膜130上にはキャパシタ保護膜132が直接的に形成されている。
【0037】
前記ブロックキング膜130を構成できる物質膜はブロックキング膜130の機能を考慮して選択する。望ましくは、ブロックキング膜130はTiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜からなることができる。前記ブロックキング膜130を構成できる物質膜の選択においては、キャパシタ誘電膜124と反応を起こさない物質膜を選択することが望ましい。したがって、ブロックキング膜130を構成する物質膜の種はキャパシタ誘電膜124として形成した物質膜の種によって決定することが望ましい。例えば、キャパシタ誘電膜124がPZT膜、BST膜またはPLZT膜のような高誘電体膜または強誘電体膜からなった場合にはブロックキング膜130はスパッタリング方法によって形成されたTiO2膜(スパッタリング−TiO2膜)からなることが望ましい。しかし、前記のようにブロックキング膜130を構成する物質としてTiO2膜を選択した場合にブロックキング膜130はCVD(Chemical Vapor Deposition)方法によって形成されたTiO2膜(CVD−TiO2膜)、LPCVD(Low Pressure Chemical Vapor Deposition)方法によって形成されたTiO2膜(LPCVD−TiO2膜)、SACVD(Sub Atmopheric Chemical Vapor Deposition)方法によって形成されたTiO2膜(SACVD−TiO2膜)、PECVD(Plasma Enhanced Chemical Vapor Deposition)方法によって形成されたTiO2膜(PECVD−TiO2膜)、ALD(Atomic Layer Deposition)方法によって形成されたTiO2膜(ALD−TiO2膜)またはLA(Laser Ablation)方法によって形成されたTiO2膜(LA−TiO2膜)の場合もある。前記ブロックキング膜130を構成する物質としてTiO2膜以外の他の物質を選択しても前記のように同一の適用ができる。前記ブロックキング膜130の厚さはブロックキング膜130が遂行する機能、ブロックキング膜130として選択した物質膜の物性などを考慮して決定される。望ましくはブロックキング膜130の厚さは50Åないし1500Åの間で有り得る。一方、前記ブロックキング膜130はその機能を考慮してみる時、400℃ないし600℃の間の温度及び酸素雰囲気下で安定化熱処理された安定化物質膜の場合もある。
【0038】
前記キャパシタ保護膜132を構成する物質膜は、キャパシタ保護膜132が遂行する機能を考慮して選択する。望ましくは、キャパシタ保護膜132は、TiO2膜、Ta25膜、Al23膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜からなることができる。ここで、キャパシタ保護膜132を構成する物質膜の種は、キャパシタ誘電膜124を構成する物質膜の種及びブロックキング膜130を構成する物質膜の種によって変わる場合もある。例えば、ブロックキング膜130と反応性がある物質膜でキャパシタ保護膜132を形成しないことが望ましい。また、ブロックキング膜130を構成する物質膜とは異なる物質膜でキャパシタ保護膜132を構成することが望ましい。例えば、キャパシタ誘電膜124がPZT膜、BST膜またはPLZT膜のような高誘電体膜または強誘電体膜からなってブロックキング膜130がスパッタリング−TiO2膜からなった場合にはキャパシタ保護膜132はALD−Al23膜であることが望ましい。しかし、キャパシタ保護膜132を構成する物質としてAl23膜を選択した場合にキャパシタ保護膜132は、CVD−Al23膜、LPCVD−Al23膜、SACVD−Al23膜、PECVD−Al23膜、スパッタリング−Al23膜またはLA−Al23膜の場合もある。前記キャパシタ保護膜132を構成する物質としてAl23膜以外の物質を選択しても前記のように同一の適用ができる。また、キャパシタ保護膜132はその機能を考慮する時400℃ないし600℃の間の温度及び酸素雰囲気下で安定化熱処理された安定化物質膜の場合もある。一方、キャパシタ保護膜132の厚さはキャパシタ保護膜132が遂行する機能、キャパシタ保護膜132として選択した物質膜の物性などを考慮して決定する。望ましくは、キャパシタ保護膜132の厚さは50Åないし5000Åの間で有り得る。より望ましくは、キャパシタ保護膜132の厚さは50Åないし1500Åの間で有り得る。
【0039】
前記上部電極メタルコンタクト136及び前記第3層間絶縁膜134上にはパッシベーション膜138が形成されている。前記パッシベーション膜138はシリコン酸化膜、シリコン窒化膜またはシリコン酸化窒化膜で有り得る。前記パッシベーション膜厚は2000Åないし20000Åの間で有り得る。
【0040】
一方、半導体メモリ素子のキャパシタCを水素からより完全に保護するために第3層間絶縁膜134とパッシベーション膜138間に水素浸透防止膜140が選択的に形成されている場合がある。前記水素浸透防止膜140はキャパシタ保護膜132と実質的に同一な機能を遂行する。換言すれば、水素浸透防止膜140はパッシベーション膜138に封入されている水素が上部電極メタルコンタクト136が形成された部分でキャパシタC方向に拡散してキャパシタ誘電膜124を劣化させることを防止する機能を遂行する。したがって、前記水素浸透防止膜140はAl23膜、TiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはこれらの組合せ膜からなることができる。ところで、前記水素浸透防止膜140は水素がキャパシタC方向に拡散することを、より効果的に防止するために水素に対する吸着力があって安定した化学的及び物理的物性を有する物質膜であることが望ましい。したがって、水素浸透防止膜140は、結晶学的構造が安定で膜質が稠密するばかりか、100%のステップカバレージを有するALD−Al23膜であることがより望ましい。しかし、水素浸透防止膜140を構成する物質膜としてAl23膜を選択した場合に、水素浸透防止膜140は、CVD−Al23膜、LPCVD−Al23膜、SACVD−Al23膜、PECVD−Al23膜、LA−Al23膜またはスパッタリング−Al23膜の場合もある。前記水素浸透防止膜140の厚さは50Åないし20000Åの間で有り得るが、200Åないし300Åの間であることが望ましい。
【0041】
場合によって、水素浸透防止膜140は400℃ないし600℃の間の温度及び酸素雰囲気下で安定化熱処理された安定化物質膜の場合もある。このように、水素浸透防止膜140が安定化熱処理された物質膜の場合にはキャパシタC方向に水素が拡散することをより完全に遮断できる。
【0042】
図示はしないが、水素浸透防止膜140と第3層間絶縁膜134間に緩衝膜が選択的に介在される場合もある。例えば、前記緩衝膜は常圧CVD方法によって形成された物質膜またはPECVD方法によって形成された酸化膜で有り得る。前記緩衝膜が常圧CVD方法によって形成された酸化膜の場合、緩衝膜はオゾン−TEOS膜、PSG膜またはBPSG膜で有り得る。前記緩衝膜がPECVD方法によって形成された酸化膜の場合、緩衝膜はPE−TEOS膜またはPE−SiH4膜で有り得る。前記緩衝膜厚は50Åないし1000Åの間で有り得る。
【0043】
図2は、本発明による半導体メモリ素子の構造に対する第2実施例を示している。半導体基板100上に形成されている素子分離膜102と電界効果トランジスタT、第1層間絶縁膜112及び第2層間絶縁膜114、第1層間絶縁膜112内に形成されたランディングプラグ116、第2層間絶縁膜114内に形成されたビットラインコンタクトパッド118、第1及び第2層間絶縁膜112及び114内に形成された導電性プラグ120の構造は本発明による半導体メモリ素子の構造に対する第1実施例の場合と実質的に同一である。
【0044】
図2を参照すると、導電性プラグ120と半導体メモリ素子のキャパシタCとが界面膜128を間に置いて電気的に連結されている。もちろん、前記キャパシタCは下部電極122、キャパシタ誘電膜124及び上部電極126で構成されている。前記キャパシタCの下部電極122及びキャパシタ誘電膜124は第3層間絶縁膜134内に形成されており、キャパシタ誘電膜124の側壁と第3層間絶縁膜134間には拡散防止スペーサ142が介在されている。
【0045】
一方、本発明による半導体メモリ素子の第2実施例に備わる導電性プラグ120、界面膜128及びキャパシタCも前記第1実施例の場合と同様に多様な構造からなることができるが、その具体的な構造は図3ないし図7を参照して以下で詳細に説明する。
【0046】
前記拡散防止スペーサ142は、第3層間絶縁膜134内に封入された水素がキャパシタ誘電膜124に拡散することを防止できる物質膜からなることが望ましい。望ましくは、拡散防止スペーサ142は、Al23膜、TiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜、PbTiO3膜またはこれらの組合せ膜で有り得る。しかし、拡散防止スペーサ142は、ALD−Al23膜からなることがより望ましい。もちろん、拡散防止スペーサ142を構成する物質膜としてAl23膜以外の他の物質膜を選択しても、ALD技術を利用して膜を形成することが望ましい。
【0047】
第3層間絶縁膜134の上部表面、キャパシタ上部電極126の上部面及び側壁、キャパシタ誘電膜124の上部面中一部面には多重膜で構成されたカプセル化膜ELが形成されている。前記カプセル化膜ELに対しては本発明による半導体メモリ素子の第1実施例を説明しながら詳細に説明した場合があってここではこれに対する説明を省略する。
【0048】
本発明による半導体メモリ素子の第2実施例の場合にも第1実施例の場合と同様にカプセル化膜ELがブロックキング膜130とキャパシタ保護膜132とが積層された2重膜構造になっている。前記カプセル化膜EL上には第4層間絶縁膜144が形成されており、第4層間絶縁膜144及びカプセル化膜ELを貫通して上部電極メタルコンタクト136が形成されている。そして、第4層間絶縁膜144及び上部電極メタルコンタクト136上にはパッシベーション膜138が形成されている。前記第3層間絶縁膜134及び第4層間絶縁膜144は第1層間絶縁膜112と実質的に同一な種の物質膜からなることができる。前記パッシベーション膜138を構成できる物質膜の種は本発明による半導体メモリ素子の第1実施例を説明しながら詳細に説明した場合があって、ここでは説明を省略する。
【0049】
一方、本発明による半導体メモリ素子の第1実施例の場合と同様に、半導体メモリ素子のキャパシタCを水素からより完全に保護するために第4層間絶縁膜144とパッシベーション膜138間に水素浸透防止膜140が選択的に形成されている場合がある。前記水素浸透防止膜140を構成できる物質膜の種及び厚さに対しては本発明による半導体メモリ素子の第1実施例を説明しながら詳細に説明した場合があって説明を省略する。
【0050】
本発明の第1実施例による半導体メモリ素子と同様に水素浸透防止膜140と第4層間絶縁膜144間に緩衝膜が選択的に介在される場合もある。前記緩衝膜を構成できる物質膜の種及び厚さに対しては本発明による半導体メモリ素子に対する第1実施例で詳細に説明したのでその説明は省略する。
【0051】
前記では本発明による半導体メモリ素子に対する第1実施例及び第2実施例を図面を参照して詳細に説明した。ところで、図1及び図2で半導体メモリ素子を示すことにおいて、導電性プラグ120、界面膜128及びキャパシタCの構造は具体的に示さずに概略的にのみ示したことに対しては既に説明したとおりである。したがって、以下では図3ないし図7を参照して本発明による半導体メモリ素子に具備できる導電性プラグ120、界面膜128及びキャパシタCの構造に対する望ましい実施例をより詳細に説明する。図3ないし図7に示した導電性プラグ120、界面膜128及びキャパシタCの構造は図1及び図2で指摘されたR区間に限定され、キャパシタCの構造を示すことにおいては、キャパシタCの側壁プロファイルは考慮せずに示した。
【0052】
もちろん、以下で説明する導電性プラグ120、界面膜128及びキャパシタCの多様な構造は図1及び図2に示された半導体メモリ素子の構造に各々適用できる。
【0053】
図3は、本発明による半導体メモリ素子に含まれることができる導電性プラグ120、界面膜128及びキャパシタCに対する第1実施例を示している。
【0054】
図3を参照すると、半導体基板100上に形成された第1及び第2層間絶縁膜112及び114内には不純物注入領域、例えばソース領域104をコンタクトする導電性プラグ120aが形成されている。前記導電性プラグ120aは下部プラグ200と上部プラグ202とで構成されている。前記下部プラグ200は導電性がある低抵抗物質からなって、前記上部プラグ202は導電性ばかりか耐酸化性があって熱的に安定した面抵抗を有する物質からなることが望ましい。したがって、下部プラグ200はドーピングされたポリシリコン膜であることが望ましく、上部プラグ202はコバルトシリサイド膜であることが望ましい。しかし、下部プラグ200はドーピングされたポリシリコン膜、タングステン膜W、タンタル膜Ta、ルテニウム膜Ru、イリジウム膜Ir、白金膜Pt、オスミウム膜Os、タングステンシリサイド膜WSi、タングステン窒化膜WNまたはこれらの組合せ膜からなる場合もある。また、上部プラグ202はニッケルシリサイド膜、チタンシリサイド膜、タンタルシリサイド膜、クロムシリサイド膜またはハフニウムシリサイド膜の場合もある。特に、上部プラグ202の厚さは50Åないし1000Åの間で有り得るが、300Åないし500Åの間であることが望ましい。
【0055】
前記第2層間絶縁膜114上には接着膜204及び拡散防止膜206が順次的に積層された界面膜128aが形成されており、界面膜128a上には金属酸化物膜208及び耐熱性金属膜210が順次的に積層されたキャパシタ下部電極122aが形成されている。また、前記キャパシタ下部電極122a上にはキャパシタ誘電膜124aが形成されており、前記キャパシタ誘電膜124a上にはキャパシタ上部電極126aが形成されている。前記接着膜204は拡散防止膜206とその下の下部膜、特に第2層間絶縁膜114との接着力を向上させることができる物質膜であることが望ましい。したがって、前記接着膜204は転移金属膜であることが望ましい。また、拡散防止膜206は金属酸化物膜208及びその上部に形成された物質膜と導電性プラグ120aとの反応を最少化させることができる物質膜であることが望ましい。したがって、前記拡散防止膜206は、転移金属の窒化膜または貴金属膜であることが望ましい。例えば、接着膜204はTi膜であることが望ましくて、接着膜204の厚さは20Åないし150Åの間、例えば50Å程度であることが望ましい。また、前記拡散防止膜206が転移金属の窒化膜の場合、拡散防止膜206はTiN膜であることが望ましく、前記拡散防止膜206が貴金属の場合、拡散防止膜206はIr膜またはRu膜であることが望ましい。前記拡散防止膜206の厚さは500Åないし1500Åの間、例えば、1000Å程度であることが望ましい。しかし、接着膜204及び拡散防止膜206を構成できる物質膜がTi膜またはTiN膜及びIr膜またはRu膜に各々限らず本発明が属する技術分野で通常の知識を有する者により接着膜204及び拡散防止膜206として用いることができる物質膜がすべて含まれることができることはもちろんである。
【0056】
前記金属酸化物膜208は、下部電極122a上に備わるキャパシタ誘電膜124aから酸素原子が離脱しても酸素を再供給してキャパシタ誘電膜124aの誘電特性劣化を緩和できる物質膜で形成することが望ましい。したがって、金属酸化物膜208はIrO2膜で形成することが望ましい。しかし、金属酸化物208膜はIrO2膜、RuO2膜、LaSrCoO3、(Ca、Sr)RuO3膜またはこれらの組合せ膜で形成する場合もある。前記金属酸化物膜208の厚さは金属酸化物膜208を構成する物質によって変わるが200Åないし800Åの間であることが望ましい。例えば、金属酸化物膜208がIrO2膜の場合には500Å程度であることが望ましい。
【0057】
前記耐熱性金属膜210は、キャパシタ誘電膜124aとの界面特性が良好な物質膜からなることが望ましい。したがって、前記耐熱性金属膜210はPt膜からなることが望ましい。しかし、耐熱性金属膜210はPt膜、Ir膜、Ru膜、Rh膜、Os膜、Pa膜またはこれらの組合せ膜からなる場合もある。耐熱性金属膜210の厚さは耐熱性金属膜210を構成する物質によって変わるが、耐熱性金属膜210の厚さは1000Åないし2000Åの間であることが望ましい。例えば、耐熱性金属膜210がPt膜の場合1500Å程度であることが望ましい。
【0058】
前記キャパシタ誘電膜124aは、キャパシタC1の高いキャパシタンスを得るためにTiO2膜、SiO2膜、Ta25膜、Al23膜、SiO2/SiN膜、BaTiO3膜、SrTiO3膜、(Ba、Sr)TiO3膜、Bi4Ti312膜、PbTiO3膜、PZT((Pb、La)(Zr、Ti)O3)膜、(SrBi2Ta29)(SBT)膜またはこれらの組合せ膜からなることが望ましい。
【0059】
前記キャパシタ上部電極126aは、耐熱性金属膜、金属酸化物膜またはこれらの組合せ膜で有り得る。しかし、キャパシタ上部電極126aは金属酸化物膜212及び耐熱性金属膜214が順次的に積層された2重膜であることが望ましい。この時、前記金属酸化物膜212はIrO2膜であることが望ましくて、前記耐熱性金属膜214はIr膜であることが望ましい。しかし、前記金属酸化物膜212はIrO2膜、RuO2膜、IrO2膜、(Ca、Sr)RuO3膜、LaSrCoO3膜またはこれらの組合せ膜の場合もあって、前記耐熱性金属膜214はPt膜、Ir膜、Ru膜、Rh膜、Os膜、Pd膜またはこれらの組合せ膜の場合もある。前記上部電極126aがIrO2膜とIr膜とが順次的に積層された2重膜の場合、IrO2膜厚は100Åないし1000Åの間であることが望ましくて、Ir膜厚は400Åないし2000Åの間であることが望ましい。
【0060】
前記のように導電性プラグ120aの上部プラグ202がコバルトシリサイド膜のような熱的に安定した面抵抗を有する物質膜からなる場合にはキャパシタC1と導電性プラグ120a間のコンタクト抵抗が緩和されて半導体メモリ素子の動作速度を向上させることができるようになる。
【0061】
図4は、本発明による半導体メモリ素子に含まれることができる導電性プラグ120、界面膜128及びキャパシタCの構造に対する第2実施例を示す。
【0062】
図4を参照すると、半導体基板100上の第1及び第2層間絶縁膜112及び114内には不純物注入領域、例えばソース領域104をコンタクトする導電性プラグ120bが形成されている。ところで、図3に示された導電性プラグ120aとは別に図4に示された導電性プラグ120bは単一物質膜からなっている。導電性プラグ120bは導電性を有するばかりか耐酸化性を有して熱的に安定した面抵抗を有する物質膜からなることが望ましい。したがって、導電性プラグ120bはコバルトシリサイド膜であることが望ましい。しかし、導電性プラグ120bはニッケルシリサイド膜、チタンシリサイド膜、タンタルシリサイド膜、ハフニウムシリサイド膜またはクロムシリサイド膜の場合もある。
【0063】
単一物質膜で構成された導電性プラグ120b上には接着膜216と拡散防止膜218とが順次的に積層された界面膜128bが形成されている。また、金属酸化物膜220及び耐熱性金属膜222が順次的に積層されたキャパシタ下部電極122bが界面膜128b上に形成されている。そして、前記キャパシタ下部電極122b上にはキャパシタ誘電膜124bとキャパシタ上部電極126bとが順次的に形成されている。前記接着膜216、拡散防止膜218、金属酸化物膜220、耐熱性金属膜222、キャパシタ誘電膜124b及びキャパシタ上部電極126bを構成できる物質膜の種、構成及び厚さは、図3に示された接着膜204、拡散防止膜206、金属酸化物膜208、耐熱性金属膜210、キャパシタ誘電膜124a及びキャパシタ上部電極126aの場合と実質的に同一である。
【0064】
前記のように導電性プラグ120bがコバルトシリサイド膜のような導電性ばかりか耐酸化性を有して熱的に安定した面抵抗を有する物質膜からなった場合には導電性プラグ120bとキャパシタC2間のコンタクト抵抗を緩和できて半導体メモリ素子の動作速度を向上させることができる。
【0065】
図5は、本発明による半導体メモリ素子に含まれることができる導電性プラグ120、界面膜128及びキャパシタCの構造に対する第3実施例を示す。
【0066】
図5を参照すると、半導体基板100上の第1及び第2層間絶縁膜112及び114内には不純物注入領域、例えばソース領域104をコンタクトして単一膜からなる導電性プラグ120cが形成されている。前記導電性プラグ120cは図3に示された下部プラグ200と実質的に同一の物質膜で形成できる。例えば、導電性プラグ120cはドーピングされたポリシリコン膜で形成することが望ましい。前記導電性プラグ120c及び第2層間絶縁膜114上には導電膜224、シリサイド膜226及び拡散防止膜228が順次的に積層された界面膜128cが形成されている。前記導電膜224は図3に示された下部プラグ200と実質的に同一の物質膜で有り得る。例えば、導電膜224は、ドーピングされたポリシリコン膜であることが望ましい。前記導電膜224の厚さは3000Åないし10000Åの間であることが望ましい。前記シリサイド膜226は図3に示された上部プラグ202と実質的に同一な物質膜で有り得る。例えば、シリサイド膜226はコバルトシリサイド膜であることが望ましい。また、前記シリサイド膜226の厚さは300Åないし500Åの間であることが望ましい。前記拡散防止膜228は図3に示された拡散防止膜206と実質的に同一の物質膜で有り得る。例えば、拡散防止膜228はIr膜であることが望ましい。前記拡散防止膜228の厚さは300Åないし1500Åの間であることが望ましい。
【0067】
前記界面膜128c上には金属酸化物膜230と耐熱性金属膜232とが順次的に積層されたキャパシタ下部電極122cが形成されている。前記キャパシタ下部電極122c上にはキャパシタ誘電膜124c及びキャパシタ上部電極126cが順次的に形成されている。前記金属酸化物膜230、耐熱性金属膜232、キャパシタ誘電膜124c及びキャパシタ上部電極126cを構成できる物質膜の種、構成及び厚さは、図3に示された金属酸化物膜208、耐熱性金属膜210、キャパシタ誘電膜124a及びキャパシタ上部電極126aと実質的に同一である。
【0068】
前記のように界面膜128c内にコバルトシリサイド膜のように導電性があるばかりか耐酸化性があり熱的に安定した面抵抗を有したシリサイド膜226が含まれると導電性プラグ120cとキャパシタC3間のコンタクト抵抗を緩和できて半導体メモリ素子の動作速度を向上させることができる。
【0069】
図6は、本発明による半導体メモリ素子に含まれることができる導電性プラグ120、界面膜128及びキャパシタCの構造に対する第4実施例を示す。
【0070】
図6を参照すると、半導体基板100上の第1及び第2層間絶縁膜112及び114内には単一膜からなって不純物注入領域、例えばソース領域104をコンタクトする導電性プラグ120dが形成されている。そして、導電性プラグ120d及び第2層間絶縁膜114上には導電膜からなった界面膜128dが形成されている。前記導電性プラグ120d及び界面膜128dは図3に示された下部プラグ200と実質的に同一な物質膜で有り得る。例えば、導電性プラグ120d及び界面膜128dはドーピングされたポリシリコン膜であることが望ましい。また、導電膜からなった前記界面膜128dの厚さは3000Åないし10000Åの間であることが望ましい。前記界面膜128d上には導電性があるばかりか、耐酸化性及び熱的に安定した面抵抗を有する物質膜で構成されたキャパシタ下部電極122dが形成されている。キャパシタ下部電極122d上にはキャパシタ誘電膜124dとキャパシタ上部電極126dとが順次的に形成されている。前記キャパシタ下部電極122dは図3に示された上部プラグ202と実質的に同一の物質膜で有り得る。例えば、キャパシタ下部電極122dはコバルトシリサイド膜であることが望ましい。また、前記キャパシタ下部電極122dの厚さは500Åないし3000Åの間であることが望ましい。前記キャパシタ誘電膜124d及びキャパシタ上部電極126dを構成できる物質膜の種、構成及び厚さは、図3に示されたキャパシタ誘電膜124a及びキャパシタ上部電極126aと実質的に同一である。
【0071】
前記のようにキャパシタ下部電極122dがコバルトシリサイド膜のような導電性ばかりか耐酸化性及び熱的に安定した面抵抗を有した物質膜で構成されると導電性プラグ120dとキャパシタC4間のコンタクト抵抗を緩和できて半導体メモリ素子の動作速度を向上させることができる。
【0072】
図7は、本発明による半導体メモリ素子に含まれることができる導電性プラグ120、界面膜128及びキャパシタCの構造に対する第5実施例を示す。
【0073】
図7を参照すると、半導体基板100上の第1及び第2層間絶縁膜112及び114内には単一膜からなって不純物注入領域、例えばソース領域104をコンタクトする導電性プラグ120eが形成されている。導電性プラグ120eは図3に示された下部プラグ200と実質的に同一の物質膜で有り得る。例えば、導電性プラグ120eはドーピングされたポリシリコン膜であることが望ましい。前記導電性プラグ120e及び第2層間絶縁膜114上にはシリサイド膜232と拡散防止膜234とが順次的に積層された界面膜128eが形成されている。前記シリサイド膜232は図3に示された上部プラグ202と実質的に同一の物質膜で有り得る。例えば、シリサイド膜232はコバルトシリサイド膜であることが望ましい。また、前記シリサイド膜232の厚さは50Åないし1000Åの間であることが望ましい。前記拡散防止膜234は図3に示された拡散防止膜206と実質的に同一な物質膜で有り得る。例えば、前記拡散防止膜234はIr膜であることが望ましい。
【0074】
前記界面膜128e上には金属酸化物膜236と耐熱性金属膜238とが順次的に積層されたキャパシタ下部電極122eが形成されている。そして、キャパシタ下部電極122e上にはキャパシタ誘電膜124eとキャパシタ上部電極126eとが順次的に形成されている。前記金属酸化物膜236、耐熱性金属膜238、キャパシタ誘電膜124e及びキャパシタ上部電極126eを構成する物質膜の種、構成及び厚さは、図3に示された金属酸化物膜208、耐熱性金属膜210、キャパシタ誘電膜124a及びキャパシタ上部電極126aと実質的に同一である。
【0075】
前記のように界面膜128e内にコバルトシリサイド膜のような導電性ばかりか耐酸化性及び熱的に安定した面抵抗を有したシリサイド膜232が具備されると導電性プラグ120eとキャパシタC5間のコンタクト抵抗を緩和できて半導体メモリ素子の動作速度を向上させることができる。
【0076】
以下では本発明による半導体メモリ素子の製造方法に対する望ましい実施例を添付した図面を参照して詳細に説明する。
【0077】
図8ないし図17は、本発明による半導体メモリ素子製造方法に対する第1実施例を示す。
【0078】
図8を参照すると、まず半導体基板300上に素子分離膜302を形成して活性領域を定義した後、活性領域上にトランジスタTを形成する。前記素子分離膜302は通常的な方法、例えばLOCOS(LOCal Oxidation of Silicon)工程を遂行して形成できる。もちろん、トレンチ素子分離方法によって活性領域を定義する素子分離膜を形成する場合もある。前記トランジスタTは、側壁スペーサ304を有してゲート絶縁膜306が介在されたゲート電極308、ドレーン領域310及びソース領域312を備えた電界効果トランジスタで有り得る。
【0079】
その後、通常的な方法を用いてランディングプラグ314とビットラインコンタクトパッド316とを形成する。すなわち、第1層間絶縁膜318を形成して、第1層間絶縁膜318内にトランジスタのドレーン領域314をコンタクトするランディングプラグ314を形成する。換言すれば、フォトエッチング工程を遂行して不純物注入領域、例えばドレーン領域310を露出させる開口315を形成した後、前記開口315の内部を導電膜、例えばドーピングされたポリシリコン膜で埋め込む。続いて、ランディングプラグ314上にビットラインコンタクトパッド316を形成する。すなわち、導電膜、例えばドーピングされたポリシリコン膜を第1層間絶縁膜318上に形成した後、フォトエッチング工程を遂行して前記導電膜をパターニングすることにより、前記ビットラインコンタクトパッド316を形成する。その後、前記ビットラインコンタクトパッド316上に第2層間絶縁膜320を形成する。
【0080】
第1層間絶縁膜318及び第2層間絶縁膜320はシリコン酸化膜、シリコン酸化窒化膜、BSG膜、PSG膜、BPSG膜、TEOS膜、オゾン−TEOS膜、PE−TEOS膜、USG膜またはこれらの組合せ膜で有り得る。そして、第1層間絶縁膜318及び第2層間絶縁膜320は通常的な方法、例えばCVD方法、LPCVD方法またはPECVD方法を用いて形成できる。
【0081】
引続き、フォトエッチング工程を遂行してトランジスタTのソース領域312を露出させるコンタクトホール322を第1層間絶縁膜318及び第2層間絶縁膜320内に形成する。この時ビットラインコンタクトパッド316と連結されるビットライン(図示せず)を形成する。
【0082】
図9を参照すると、通常的な方法でコンタクトホール322内部を導電膜で埋め込んで導電性プラグ324を形成する。例えば、導電膜をスパッタリング方法を用いて半導体基板300の全面に形成した後、化学機械的研磨方法またはエッチバック方法を用いて導電膜の上部表面を第2層間絶縁膜320の上部表面と実質的に同一化レベルに平坦化して導電性プラグ324を形成できる。前記導電性プラグ324はドーピングされたポリシリコン膜で形成することが望ましい。しかし、前記導電性プラグ324はドーピングされたポリシリコン膜、タングステン膜W、タンタル膜Ta、ルテニウム膜Ru、イリジウム膜Ir、オスミウム膜Os、白金膜Pt、タングステンシリサイド膜WSi、コバルトシリサイド膜CoSi、タングステン窒化膜WNまたはこれらの組合せ膜で形成する場合もある。
【0083】
前記のようにコンタクトホール322内に導電性プラグ324を形成した後、半導体基板300の全面をプリクリーニング(precleaning)する。その後、導電性プラグ324の上面に形成された自然酸化膜を除去する。例えば、導電性プラグ324がドーピングされたポリシリコン膜の場合には後続工程を進行するために半導体基板300を移す過程または前記プリクリーニング過程で導電性プラグ324上に自然酸化膜が形成される。したがって、前記自然酸化膜による半導体メモリ素子のコンタクト抵抗増加を防止するために前記自然酸化膜を取り除く工程を遂行してから後続工程を進行するようになる。
【0084】
具体的に、前記プリクリーニングを実施した後乾燥した状態の半導体基板全面を特定周波数、例えば13.56MHzのラジオ周波数RFを利用してクリーニングする。そうすると、前記導電性プラグ324上に形成された自然酸化膜が除去される。前記RFクリーニングはさまざまな方法で実施できるが、スパッタリング装備内で強い電界により加速されたアルゴンイオン(Ar+)を利用して実施することが望ましい。
【0085】
前記のようにクリーニング工程を遂行して導電性プラグ324上の自然酸化膜を取り除いた後、半導体基板300の全面に高融点金属膜326と表面平坦化膜328とを順次的に形成する。前記高融点金属膜326及び表面平坦化膜328は通常的な方法、例えばスパッタリング方法またはCVD方法を用いて形成できる。前記導電性プラグ324をドーピングされたポリシリコン膜で形成した場合には、前記高融点金属膜326は後続シリサイド化工程で導電性プラグ324方向への拡散特性が優秀でシリサイド化工程でシリサイド化されても高温で安定した抵抗特性、例えば低い面抵抗を有することができる物質膜であることが望ましい。したがって、前記高融点金属膜326はコバルト膜で形成することが望ましい。しかし、高融点金属膜326はニッケル膜、チタン膜、タンタル膜、ハフニウム膜またはクロム膜でも形成できる。前記導電性プラグ324がドーピングされたポリシリコン膜で形成された場合に、前記高融点金属膜326は後続シリサイド化工程でシリサイド化されるソース物質膜として作用する。したがって、前記高融点金属膜326を形成する時には後続シリサイド化工程で形成しようとするシリサイド膜厚を考慮して十分な厚さに形成することが望ましい。したがって、高融点金属膜326は50Åないし200Åの間の厚さに形成できるが、望ましくは130Å程度の厚さに形成する。
【0086】
前記表面平坦化膜328は、後続シリサイド化工程で高融点金属膜326上に表面粗さ(surface roughness)が生じることを防止するばかりか、後続シリサイド化工程で酸素が高融点金属膜326を通過して導電性プラグ324に拡散することを防止するために形成することである。したがって、表面平坦化膜328はチタン窒化膜TiNで形成することが望ましい。また、表面平坦化膜328は50Åないし150Åの間の厚さに形成できるが、100Å程度の厚さに形成することが望ましい。前記RFクリーニング工程、高融点金属膜326形成工程及び表面平坦化膜328形成工程は半導体メモリ素子の全体製造工程数を減らすために同一な装置でインサイチュ(in−situ)で進行することが望ましい。
【0087】
図10を参照すると、前記のように高融点金属膜(図9の326参照)及び表面平坦化膜(図9の328参照)を形成した後、高融点金属膜(図9の326参照)と導電性プラグ324間でシリサイド化反応を誘発する熱処理工程を進行する。前記熱処理工程は急速熱処理方式で構成されることが望ましい。例えば、導電性プラグ324をシリサイド化するために窒素雰囲気下で急速熱処理工程を進行するが400℃ないし1000℃の間の温度、望ましくは480℃程度の温度で90秒程度実施することが望ましい。もちろん、急速熱処理工程による熱処理時間は形成しようとするシリサイド膜厚によって変えることができる。このように、熱処理工程が進行されると高融点金属を構成する原子、例えばコバルト原子が導電性プラグ324を構成する原子、例えばシリコン原子と定まった比によって反応するようになる。このような反応は熱処理工程が終了される時まで続く。熱処理工程が終了されてから導電性プラグ324の上部には耐酸化性がある高融点金属のシリサイド膜が形成されるようになる。前記のようにシリサイド化工程を遂行してから、表面平坦化膜(図9の328参照)及びシリサイド化していない高融点金属膜(図9の326参照)を湿式エッチング方法を用いて除去する。例えば、表面平坦化膜(図9の328参照)及びシリサイド化していない高融点金属膜(図9の326参照)は、りん酸と窒酸との混合溶液を用いて除去できる。その後、結果物のシリサイド反応安定化のためにもう一度650℃程度で急速熱処理する。例えば、反応安定化のための急速熱処理工程は窒素雰囲気下で約30秒間遂行できる。
【0088】
その結果、コンタクトホール322は、導電性プラグ324を構成する物質を含む導電膜からなった下部プラグ330と高融点金属のシリサイド膜とからなった上部プラグ332で充填されるようになる。例えば、導電性プラグ324がドーピングされたポリシリコン膜からなる場合、ドーピングされたポリシリコン膜からなった下部プラグ330及びコバルトシリサイド膜からなった上部プラグ332がコンタクトホール330内に形成される。
【0089】
前記のような一連の工程を通して導電性プラグ324の上部にはコバルトシリサイド膜のようなシリサイド膜からなった上部プラグ332が形成されて、前記上部プラグ332はオーミックコンタクト層として用いられるようになる。上部プラグ332の厚さは30Åないし1000Åの間で有り得るが、300Åないし500Åの間であることが望ましい。
【0090】
図11を参照すると、上部プラグ332及び第2層間絶縁膜320上に界面膜334を形成する。具体的に示さなかったが、界面膜334は上部プラグ232と第2層間絶縁膜320上に接着膜と拡散防止膜とを順次的に積層して形成することが望ましい。
【0091】
前記接着膜は導電性プラグ324の上部プラグ332及び第2層間絶縁膜320と拡散防止膜間の接着力を向上させるために形成する物質膜からなる。したがって、接着膜は転移金属膜、例えばTi膜で形成することが望ましい。前記接着膜厚は接着膜として形成しようとする物質膜によって変わるだろうが、10Åないし200Å程度の厚さに形成することが望ましい。前記接着膜をTi膜で形成する場合には50Å程度の厚さに形成することが望ましい。
【0092】
前記拡散防止膜は、界面膜334上部に形成される物質膜と界面膜334下部に形成された導電性プラグ324とが後続工程を進行する過程で相互反応することを防止するばかりか、酸素雰囲気で遂行される後続工程における酸素拡散による導電性プラグ324の劣化を防止する。したがって、拡散防止膜はこのような機能を遂行できる物質膜で形成することが望ましい。例えば、拡散防止膜はIr膜で形成することが望ましい。もちろん、拡散防止膜はTi膜、Ta膜、W膜、Ni膜、Cr膜、Ir膜、Ru膜、これら(Ti、Ta、W、Ni、Cr、IrまたはRu)の窒化膜(Nitride)、ブロム化膜(Boride)、炭化膜(Carbide)、シリサイド膜(Silicide)またはこれらの組合せ膜で形成する場合もある。また、拡散防止膜はTi−Si−N系化合物膜、Ti−B−N系化合物膜、Ta−Si−N系化合物膜、Ta−B−N系化合物膜、Ta−Al−N系化合物膜、W−B−N系化合物膜、W−Si−N系化合物膜、Ti−Al系化合物膜またはTa−Al系化合物膜で形成する場合もある。前記拡散防止膜は形成する物質膜によってその厚さを異なるように形成できるが、40Åないし1800Åの厚さに形成することが望ましい。拡散防止膜をIr膜で形成した場合には1100Å程度の厚さに形成することが望ましい。
【0093】
界面膜334を形成した後、界面膜上に下部導電膜336を形成する。下部導電膜336は金属酸化物膜と耐熱性金属膜とを界面膜334上に順次的に積層して形成することが望ましい。
【0094】
前記金属酸化物膜は、酸化膜であっても導電性を有するばかりか後続工程で下部導電膜336上に形成される誘電膜338から酸素原子が離脱しても酸素原子を再供給できる物質膜で形成することが望ましい。したがって、金属酸化物膜はIrO2膜で形成することが望ましい。しかし、金属酸化物膜はIrO2膜、RuO2膜、(Ca、Sr)RuO3膜、LaSrCoO3膜またはこれらの組合せ膜でも形成できる。前記金属酸化物膜は化学気相蒸着方法、原子層蒸着方法、物理的蒸着方法またはレーザー溶発方法を用いて形成できる。しかし、金属酸化物膜を形成するための方法は形成しようとする物質膜によって変わることができる。金属酸化物膜をIrO2膜として形成する場合にはスパッタリング方法を用いることが望ましい。金属酸化物膜厚は形成しようとする物質膜によって変わるだろうが、金属酸化物膜は100Åないし1000Åの間の厚さに形成できる。金属酸化物膜をIrO2膜で形成した場合には500Å程度の厚さに形成することが望ましい。
【0095】
一方、金属酸化物膜を形成した次には熱処理工程を遂行して金属酸化物膜を結晶化することが望ましい。金属酸化物膜を熱処理する温度は金属酸化物膜として形成しようとする物質膜によって変わる。金属酸化物膜をIrO2膜で形成した場合には600℃程度で前記熱処理工程を遂行することが望ましい。
【0096】
前記耐熱性金属膜は、後続工程で下部導電膜336上に形成される誘電膜338の結晶成長を誘発できるばかりか、誘電膜338を均一に成長させることができる物質膜で形成することが望ましい。したがって、耐熱性金属膜はPt膜で形成することが望ましい。しかし、耐熱性金属膜はPt膜、Ir膜、Ru膜、Rh膜、Os膜、Pd膜またはこれらの組合せ膜で形成する場合もある。前記耐熱性金属膜は化学気相蒸着方法、物理的蒸着方法、原子層蒸着方法、スパッタリング方法またはレーザー溶発方法を用いて形成できる。例えば、耐熱性金属膜をPt膜として形成する場合にはスパッタリング方法を用いて形成することが望ましい。耐熱性金属膜の厚さは形成しようとする物質膜によって変わるが、耐熱性金属膜は400Åないし2500Åの間の厚さに形成できる。例えば、耐熱性金属膜をPt膜で形成した場合には1500Å程度の厚さに形成することが望ましい。
【0097】
下部導電膜336を形成した後、下部導電膜336上に誘電膜338を形成する。前記誘電膜338はTiO2膜、Ta25膜Al23膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜、PbTiO3膜、SiO2膜、SiN膜、(Ba、Sr)TiO3膜、(Pb、La)(Zr、Ti)O3膜、Pb(Zr、Ti)O3膜、SrBi2Ta29膜またはこれらの組合せ膜で形成できる。しかし、後続工程で形成されるキャパシタの停電容量をさらに向上させるために誘電膜338は高誘電体膜または強誘電体膜で形成することが望ましい。例えば、前記誘電膜338はPZT膜、BST膜、PLZT膜またはこれらの組合せ膜で形成することが望ましい。誘電膜338は通常的な方法で形成できるが、誘電膜338を形成するための具体的な方法の選択は前記で誘電膜338として羅列した物質膜の種によって変わる。誘電膜338をPZT膜で形成した場合にはゾルーゲル(sol−gel)方法を用いて形成することが望ましい。また、誘電膜338の形成厚さは誘電膜338として形成しようとする物質膜によって変わるだろうが、誘電膜338は500Åないし2000Åの厚さに形成することが望ましい。誘電膜338をPZT膜で形成した場合には2000Å程度の厚さに形成することが望ましい。
【0098】
一方、誘電膜338を形成した以後には酸素雰囲気及び600℃ないし900℃の間の温度で熱処理を遂行する。誘電膜338をPZT膜で形成した場合には750℃程度で前記熱処理工程を遂行する。そうすると、前記熱処理によって誘電膜338が稠密になりキャパシタの停電容量が向上され、キャパシタの漏れ電流特性が緩和される。一方、酸素雰囲気の熱処理が実施されるために、酸素が導電性プラグ324に拡散できる。しかし、拡散防止膜が含まれている界面膜334とコバルトシリサイド膜とからなった上部プラグ332が導電性プラグ324の上部に形成されているために、導電性プラグ324の下部膜である下部プラグ330への酸素拡散は遮断される。
【0099】
誘電膜338を形成した後、誘電膜338上に上部導電膜340を形成する。上部導電膜340は耐熱性金属膜、金属酸化物膜またはこれらの組合せ膜で形成できる。前記金属膜はPt膜、Ir膜、Ru膜、Rh膜、Os膜またはPd膜の場合もあり、前記金属酸化物膜はRuO2膜、IrO2膜、(Ca、Sr)RuO3膜またはLaSrCoO3膜で有り得る。上部導電膜340はIrO2膜とIr膜とが順次的に積層された2重膜で形成することが望ましい。IrO2膜は誘電膜338から酸素原子が離脱する場合酸素原子を再供給する。一方、上部導電膜340は形成しようとする物質膜によって形成厚さが変わるだろうが、上部導電膜340は500Åないし3000Åの間の厚さに形成することが望ましい。前記上部導電膜340を金属酸化物膜と耐熱性金属膜とが順次的に積層された2重膜として形成する場合には、金属酸化物膜は100Åないし1000Åの間の厚さに形成して、耐熱性金属膜は400Åないし2000Åの間の厚さに形成することが望ましい。上部導電膜340をIrO2膜とIr膜とが順次的に積層された2重膜として形成する場合にはIrO2膜は300Å程度の厚さに形成して、Ir膜は1200Å程度の厚さに形成することが望ましい。
【0100】
図12を参照すると、図11に示された界面膜334、下部導電膜336、誘電膜338及び上部導電膜340をパターニングして界面膜パターン334'、キャパシタ下部電極336'、キャパシタ誘電膜338'及びキャパシタ上部電極340’を各々形成する。キャパシタCを形成するための前記パターニング段階は1回のフォトエッチング工程で遂行される場合もあり、2回以上のフォトエッチング工程で遂行される場合もある。キャパシタCを2回のフォトエッチング工程で形成する場合、まず上部導電膜340をパターニングして上部電極340’を形成する。次に、誘電膜338、下部導電膜336、界面膜334をパターニングしてキャパシタ誘電膜338'、下部電極336'及び界面膜パターン334’を形成する。キャパシタCを3回のフォトエッチング工程で形成する場合には上部導電膜340/誘電膜338及び下部導電膜336/界面膜334各々に対して別個のフォトエッチング工程を遂行する場合もある。他の方法で、上部導電膜340及び誘電膜338が別途のフォトエッチング工程でパターニングされ、下部導電膜336及び界面膜334が異なる別途のフォトエッチング工程でパターニングできる。
【0101】
図13及び図14を参照すると、前記のように2回または3回のフォトエッチング工程を遂行してキャパシタCを形成すると、図12に示されたこととは別にキャパシタCの側壁プロファイルは階段型の形態を有することができる。図13は2回のフォトエッチング工程を遂行してキャパシタCを形成した場合を示し、図14は3回のフォトエッチング工程を遂行してキャパシタCを形成した場合を示す。
【0102】
前記のように、キャパシタCを形成した後にはその結果物を450℃ないし600℃の間の温度及び酸素雰囲気下で熱処理することが望ましい。このように、熱処理をするとキャパシタを安定化させることができ、前記キャパシタを形成するために遂行したエッチング工程で誘発されたキャパシタの損傷を回復させることができる。特に、導電性プラグ324の上部プラグ332を900℃まで熱的に安定した面抵抗を有したコバルトシリサイド膜で形成すると、下部導電膜336を構成する金属酸化物膜及び誘電膜338を形成した以後またはキャパシタCを形成した以後に遂行される600℃以上の高温熱処理工程でキャパシタCと下部プラグ330間のコンタクト抵抗の劣化をより効果的に防止できるようになる。
【0103】
一方、前記のようにキャパシタCを形成した後にはILD工程、IMD工程、パッシベーション工程などが進行されることが一般的である。ところで、このような工程が進行される中キャパシタ誘電膜338'の誘電特性が劣化される恐れがある。すなわち、ILD工程、IMD工程及びパッシベーション工程が進行される間に水素ソースガス(hydrogen based gas)、例えば水素ガスが生じてキャパシタ誘電膜338’を劣化させる場合がある。したがって、キャパシタCを形成した後に遂行する工程でキャパシタCを外部環境から保護するために、キャパシタCを包む機能性膜を形成する。このために本発明による半導体メモリ素子製造方法はキャパシタCを包む多重膜で構成されたカプセル化膜ELを提供する。
【0104】
ところで、多重膜で構成されたカプセル化膜ELはキャパシタCを外部環境から保護するために次のような機能を遂行できるように形成することが望ましい。第一、キャパシタ誘電膜338'の揮発を防止しなければならない。すなわち、キャパシタ誘電膜338’をPZT膜、BST膜またはPLZT膜のような高誘電体膜または強誘電体膜で形成する場合強誘電体膜が後続する集積工程で揮発することを防止しなければならない。なぜなら、強誘電体膜が揮発するとキャパシタCが劣化されて電荷蓄積によって情報を貯蔵する固有の機能が喪失されるためである。第二、カプセル化膜ELはキャパシタ誘電膜338と反応してはいけない。第三、カプセル化膜ELはキャパシタ誘電膜338'と反応を起こしてはいけない。第四、カプセル化膜ELは後続する集積工程で水素ソースガスが直接的にキャパシタ誘電膜338'に拡散することを阻止できなければならない。これだけではなく、後続集積工程で形成される層間絶縁膜ILD膜、金属間絶縁膜IMD膜またはパッシベーション膜内に封入された水素ソースガスがキャパシタ誘電膜338'に拡散することを阻止できなければならない。
【0105】
前述した要件を満足させるために、本発明はブロックキング膜とキャパシタ保護膜とを含むカプセル化膜ELを形成する。ここで、キャパシタ保護膜の主機能は後続集積工程で水素ソースガスがキャパシタ誘電膜338'に拡散することを防止することである。そして、ブロックキング膜はキャパシタ保護膜下部に形成されて、ブロックキング膜の下部に形成された物質膜とキャパシタ保護膜とが相互反応することを防止する機能及び/またはキャパシタ誘電膜338'の揮発防止機能を主に遂行する。もちろん、主に遂行する機能においてブロックキング膜とキャパシタ保護膜とは差はあるが、前記で羅列した機能を全部遂行することはもちろんである。ブロックキング膜とキャパシタ保護膜との機能はカプセル化膜ELを形成する過程またはキャパシタCを形成した後に進行される後続集積工程で主に示される。したがって、これに対しては以後に詳細に説明する。
【0106】
カプセル化膜ELを多重膜で形成する場合、次のようにカプセル化膜ELを構成してキャパシタCを包むことができる。例えば、3重膜からなったカプセル化膜ELの場合、ブロックキング膜、緩衝膜及びキャパシタ保護膜の順序で積層させたカプセル化膜ELでキャパシタCを包むことができる。そして、2重膜からなったカプセル化膜ELの場合、ブロックキング膜とキャパシタ保護膜とで積層されたカプセル化膜ELでキャパシタCを包む場合もある。このように、カプセル化膜Cの物質膜数及びその構成は多様に決定できる。しかし、少なくともブロックキング膜とキャパシタ保護膜とは含めることが望ましい。ここで積層させようとする物質膜の数はカプセル化膜EL形成工程の経済性などを考慮して決定することはもちろんである。
【0107】
図15を参照すると、本発明による半導体メモリ素子製造方法の第1実施例ではカプセル化膜ELを2重膜で形成する。まず、キャパシタCを包むブロックキング膜342を半導体基板300の全面に形成する。その後、ブロックキング膜342上にキャパシタ保護膜344を形成する。ブロックキング膜342として形成する物質膜はブロックキング膜342の機能を考慮して選択する。望ましくは、ブロックキング膜342はTiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜で形成できる。一方、ブロックキング膜342として形成しようとする物質膜の選択において、キャパシタ保護膜344と反応を起こさない物質膜を選択することが望ましい。したがって、ブロックキング膜342を形成するための物質膜の種はキャパシタ誘電膜338'として形成した物質膜の種によって決定することが望ましい。例えば、PZT膜、BST膜またはPLZT膜のような高誘電体膜または強誘電体膜でキャパシタ誘電膜338’を形成した場合には、TiO2膜でブロックキング膜342を形成することが望ましい。前記ブロックキング膜342の厚さはブロックキング膜342が遂行する機能、ブロックキング膜342として選択した物質膜の物性などを考慮して決定する。したがって、ブロックキング膜342は50Åないし1500Åの厚さに形成することが望ましい。
【0108】
一方、ブロックキング膜342を形成するための具体的な方法の選択は、前記で羅列した物質膜の種によって変わる。なぜなら、ブロックキング膜342として形成できる物質膜として羅列した各々の物質膜によって、ブロックキング膜342の形成時適用が容易な方法があるためである。望ましくは、ブロックキング膜342は化学気相蒸着(Chemical Vapor deposition)方法、物理的気相蒸着(Physical Vapor Deposition)方法、スパッタリング(Sputtering)方法、原子層蒸着(Atomic Layer Deposition)方法またはレーザー溶発方法(Laser ablation)を用いて形成できる。しかし、ブロックキング膜342をTiO2膜で形成する場合にはスパッタリング方法を用いて形成することがより望ましい。もちろん、スパッタリング方法以外の方法も用いることができることはもちろんである。
【0109】
スパッタリング方法を用いてTiO2膜をブロックキング膜342として形成する場合に、ターゲット物質、スパッタリングガス及び反応ガスでは各々チタン金属、アルゴンガス及び酸素ガスを用いることができる。そして、工程条件は次のように設定できる。例えば、ブロックキング膜342を形成するための装置としてD.Cスパッタリング装備を用いる時には1kWないし6kWの間の電力を印加できるが、6kW程度であることが望ましい。そして、チャンバの温度は25℃ないし700℃の間で有り得るが、630℃程度が望ましい。チャンバの圧力は1mtorrないし5mtorrの間に調節できるが、1mtorr程度に調節することが望ましい。また、アルゴンガスと酸素ガスとの流量は各々8sccmないし14sccmの間に調節できるが、10sccm程度に各々調節することが望ましい。
【0110】
キャパシタ保護膜344として形成する物質膜はキャパシタ保護膜344が遂行する機能を考慮して選択する。望ましくは、キャパシタ保護膜344はTiO2膜、Ta25膜、Al23膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜またはPbTiO3膜で形成できる。ここで、キャパシタ保護膜344として形成する物質膜の種は、キャパシタ誘電膜338'として形成された物質膜の種及びブロックキング膜342として形成された物質膜の種によって変わることができる。例えば、ブロックキング膜342と反応性がある物質膜とでキャパシタ保護膜344を形成しないことが望ましい。また、ブロックキング膜342とは異なる物質膜でキャパシタ保護膜344を形成することが望ましい。前記物質膜中からAl23膜でキャパシタ保護膜344を形成することがより望ましい。一方、キャパシタ保護膜344の厚さはキャパシタ保護膜344が遂行する機能、キャパシタ保護膜344として選択した物質膜の物性などを考慮して決定する。望ましくは、キャパシタ保護膜344は50Åないし5000Åの厚さに形成することが望ましい。しかし、キャパシタ保護膜344は50Åないし1500Åの厚さに形成することがより望ましい。一方、キャパシタ保護膜344が1500Å以上になると、キャパシタ保護膜344が層間絶縁膜として使用できる。したがって、後続するILD工程を実施しない場合もある。
【0111】
キャパシタ保護膜344を形成するための具体的な方法の選択は、前記で羅列した物質膜の種によって変わることができる。その理由に対してはブロックキング膜342の形成段階を説明しながら既に説明したことがある。望ましくは、キャパシタ保護膜344は化学気相蒸着(Chemical Vapor deposition)方法、物理的蒸着(Physical Vapor Deposition)方法、スパッタリング(Sputtering)方法、原子層蒸着(Atomic Layer Deposition)方法またはレーザー溶発方法を用いて形成できる。
【0112】
しかし、原子層蒸着方法を用いてキャパシタ保護膜344を形成することがより望ましい。なぜなら、原子層蒸着方法は次のような工程上の長所を有しているためである。すなわち、原子層蒸着方法は低温で工程を遂行することが可能である。そして、物理的及び化学的に非常に安定したキャパシタ保護膜344を形成できる。したがって、既に説明したことがあるキャパシタ保護膜344の機能を強化させることができる。また、キャパシタ保護膜344を形成する時、1原子層単位で反復形成するために、膜厚を正確に制御することが可能である。同時に、キャパシタ保護膜344が蒸着される被蒸着表面のトポロジーがどんなに複雑であっても、100%のステップカバレージを有するようにキャパシタ保護膜344を形成できる。
【0113】
前記原子層蒸着方法を用いてキャパシタ保護膜344としてAl23膜を形成する時には、まず原子層蒸着装置のチャンバ内にローディングされた半導体基板の上部にアルミニウムソースガスを流す。アルミニウムソースガスとしてTMA(TriMethyl Aluminum)、DMAH(DiMethylAluminum Hydride)、DMEAA(DiMethylEthylAmine Alane)、TIBA(TriIsoButy Aluminum)またはこれらの組合せガスを用いることができる。流したアルミニウムソースガスは半導体基板の全面に化学的または物理的に吸着される。その後、チャンバ内に残留するガスを除去した後、不活性ガスで半導体基板の上部をパージ(purge)して物理的に吸着されたアルミニウムソースガスを除去する。不活性ガスは、Arガス、N2ガス、N2Oガスまたはこれらの組合せガスを用いることができる。続いて、酸素ソースガスを半導体基板の上部に流す。酸素ソースガスとしてはH2Oガス、N2Oガス、O3ガスまたはこれらの組合せガスを用いることができる。アルミニウムソースガスと酸素ソースガスとの反応はアルミニウムソースガスが吸着されている半導体基板の上部表面のみで起きるために、1原子レベルの薄膜が形成される。その後、残留する酸素ソースガスをチャンバから除去した後、不活性ガスをパージして半導体基板の上部表面に物理的に吸着された酸素ソースガスを除去する。前記不活性ガスとして使用できるガスの種は既に説明したことがある。前記のような過程を経て1原子レベルの薄膜が形成されると、原子層蒸着方法の1サイクルが終了される。キャパシタ保護膜344を所定の厚さ、例えば100Åの厚さに形成する時には所望する膜厚さを得る時まで原子層蒸着方法のサイクルを繰り返す。
【0114】
キャパシタ保護膜344としてAl23膜を原子層蒸着方法を用いて形成するための望ましい工程条件は次のようである。すなわち、Al23膜の蒸着温度はウェーハ温度を基準に150℃ないし500℃の間で有り得るが、300℃程度が望ましい。アルミニウムソースガスのパルシング時間は0.1秒ないし2秒で有り得るが、1秒程度であることが望ましい。そして、物理的に吸着されたアルミニウムソースガスを取り除くための不活性ガスのパージ時間は0.1秒ないし10秒で有り得るが、5秒程度であることが望ましい。また、酸素ソースガスのパルシング時間は0.1秒ないし20秒で有り得るが、0.2秒程度であることが望ましい。同時に、物理的に吸着された酸素ソースガスを取り除くための不活性ガスのパージ時間は0.1秒ないし20秒で有り得るが、6秒程度であることが望ましい。
【0115】
一方、カプセル化膜ELの機能をさらに向上させるためにブロックキング膜342を形成した後及び/またはキャパシタ保護膜344を形成した後に熱処理段階を遂行できる。
【0116】
具体的に、ブロックキング膜342を形成した後にブロックキング膜342の絶縁特性を強化させるために酸素雰囲気の熱処理工程を選択的(Optional)に遂行できる。望ましくは、600℃以下で熱処理工程を遂行する。なぜなら、ブロックキング膜342を高温、例えば600℃以上で熱処理するようになると酸素が導電性プラグ324に拡散する恐れがあるためである。より望ましくは、400℃ないし600℃の間で熱処理工程を遂行する。
【0117】
キャパシタ保護膜344を形成した後にキャパシタ保護膜344の絶縁特性を強化するために酸素雰囲気の熱処理工程を選択的(optional)に遂行する場合もある。望ましくは600℃以下で熱処理工程を遂行する。より望ましくは、400℃ないし600℃の間の温度で熱処理工程を遂行する。
【0118】
一方、場合によってキャパシタ保護膜344を形成した以後に600℃以上の高温熱処理工程を遂行する場合もある。なぜなら、カプセル化膜ELが形成されているために、酸素が容易に導電性プラグ324に拡散されないためである。特に、原子層蒸着方法以外の方法でキャパシタ保護膜344を形成した場合には、キャパシタ保護膜344を形成した後に高温熱処理工程を遂行することが望ましい場合もある。なぜなら、原子層蒸着方法によって形成されたキャパシタ保護膜344の場合は、膜質が非常に安定なために高温で熱処理を進めなくてもキャパシタ保護膜344としての機能を遂行できるが、他の方法で形成されたキャパシタ保護膜344の場合には600℃以上の高温熱処理工程を通して絶縁特性を強化させる必要があるためである。特に、ブロックキング膜342を形成して熱処理工程を進行していなくて、キャパシタ保護膜344を原子層蒸着方法によって形成していない場合には、600℃以上の高温熱処理工程を実施することが望ましい。一方、原子層蒸着方法によって形成されたキャパシタ保護膜344は膜質が安定なために、熱処理工程が進行される中、導電性プラグ324に酸素が拡散されることをより確実に防止できる。したがって、キャパシタ保護膜344の熱処理段階における工程マージンをさらに増加させることができるようになる。
【0119】
前記のようにキャパシタCをカプセル化膜ELで包むと、後続工程でキャパシタCが劣化されることを防止できる。これに対しては以下で具体的に説明する。
【0120】
図16を参照すると、カプセル化膜ELを形成した後ILD工程を進行する。すなわち、半導体基板300の全面に第3層間絶縁膜346を形成する。第3層間絶縁膜346はシリコン酸化膜、シリコン酸化窒化膜、BSG膜、PSG膜、BPSG、TEOS膜、オゾン−TEOS膜、PE−TEOS膜、USG膜またはこれらの組合せ膜で有り得る。
【0121】
例えば、第3層間絶縁膜346を化学気相蒸着方法を用いてシリコン酸化膜として形成する場合には、シランガスと酸素ガスとが反応ガスとして用いられる。ところで、シランガスと酸素ガスとの反応結果水素が副産物として派生されてキャパシタ誘電膜338'を劣化させる場合がある。しかし、本発明によると、キャパシタCは多重膜で構成されたカプセル化膜ELで覆い被されてあるために、ILD工程で水素がキャパシタCに拡散することを遮断できる。カプセル化膜ELを構成する物質膜中から、特にキャパシタ保護膜344が水素遮断機能を主に遂行する。もちろん、程度の差はあるがブロックキング膜342も水素遮断機能を遂行することはもちろんである。
【0122】
続いて、メタル工程を進行する。すなわち、まず第3層間絶縁膜346、キャパシタ保護膜344及びブロックキング膜342を通常的な方法でパターニングして、キャパシタ上部電極340'の一部を露出させるコンタクトホール348を形成する。第3層間絶縁膜346はフルオロを基礎にした(Fluorine−based)湿式エッチングまたは乾式エッチング方法によってパターニングできる。そして、キャパシタ保護膜344及びブロックキング膜342はアルゴンとCF4雰囲気で反応性イオンエッチング方法を用いてパターニングできる。コンタクトホール348を形成した後、上部電極メタルコンタクト350を形成する。コンタクトホール348を形成した後、回復熱処理工程(Recovery annealing)を遂行する場合もある。回復熱処理工程は、例えば450℃ないし500℃の間の温度で酸素雰囲気で遂行できる。図16には示さなかったが、上部電極メタルコンタクト350が形成される時、下部電極メタルコンタクトも一緒に形成される場合もある。
【0123】
図17を参照すると、上部電極メタルコンタクト350を形成した後、パッシベーション工程を進行してパッシベーション膜352を形成する。パッシベーション膜352はシリコン酸化膜、シリコン窒化膜、シリコン酸化窒化膜またはこれらの組合せ膜で形成できる。しかし、パッシベーション膜352はシリコン窒化膜またはシリコン酸化窒化膜で形成することが望ましい。前記パッシベーション膜352の厚さは普通2000Åないし20000Åの間の厚さに形成する。パッシベーション膜352は化学気相蒸着方法、物理的蒸着方法、原子層蒸着方法、スパッタリング方法またはレーザー溶発方法を用いて形成できる。しかし、パッシベーション膜352はPECVD方法を用いて形成することが望ましい。
【0124】
パッシベーション膜352をPECVD方法を用いてシリコン窒化膜として形成する場合には、RFパワーは300ないし600Wで有り得るが、400W程度が望ましい。反応チャンバ内の圧力は1ないし15torrの間で有り得るが、5torr程度であることが望ましい。反応チャンバ内の温度は150℃ないし500℃の間で有り得るが、300℃程度であることが望ましい。反応ガスとして用いられるシランガス(SiH4)の供給流量は50ないし500sccmの間で有り得るが、150sccm程度であることが望ましい。反応ガスとして用いられるアンモニア(NH3)ガスの供給流量は20ないし200sccmの間で有り得るが、40sccm程度であることが望ましい。
【0125】
パッシベーション膜352をPECVD方法を用いてシリコン酸化窒化膜として形成する場合にはRFパワー、反応チャンバ内の圧力及び反応チャンバ内の温度はパッシベーション膜352をPECVD方法を用いてシリコン窒化膜で形成する場合と実質的に同一である。ただし、反応ガスとして用いられるシランガス(SiH4)の供給流量は10ないし200sccmの間で有り得るが、50sccm程度であることが望ましい。反応ガスとして用いられるアンモニア(NH3)ガスの供給流量は20ないし500sccmの間で有り得るが、150sccm程度であることが望ましい。反応ガスとして用いられるN2Oガスの供給流量は20ないし500sccmの間で有り得るが、150sccm程度であることが望ましい。
【0126】
一方、パッシベーション膜352を形成する過程でも、ILD工程と同様に水素ソースガスがキャパシタCに浸透する場合がある。しかし、キャパシタ保護膜344が水素ソースガスのキャパシタC浸透を遮断するようになる。その結果、パッシベーション工程が進行される過程でもキャパシタCの劣化が防止される。ブロックキング膜342も程度の差はあるが水素ソースガスのキャパシタC浸透を遮断できることはもちろんである。
【0127】
一方、前記上部電極メタルコンタクト350を形成するためにコンタクトホール348を形成する過程でキャパシタ上部電極340'上に形成されたカプセル化膜ELの一部が除去されるということに対しては既に説明した。したがって、メタルコンタクト形成工程以後に遂行されるパッシベーション工程で水素ソースガスがカプセル化膜ELが除去されたキャパシタ上部電極340'部分に浸透する場合がある。また、パッシベーション膜352自体にも水素ソースガスが封入されているために、パッシベーション工程が終了された以後にも封入された前記水素ソースガスがキャパシタC方向に拡散してキャパシタ誘電膜338’を劣化させる場合もある。したがって、パッシベーション工程における水素浸透によるキャパシタ誘電膜338'の劣化をより完全に防止するためにパッシベーション工程を進行する前に水素浸透防止膜354を選択的に形成できる。前記水素浸透防止膜354は後続パッシベーション膜352形成工程で誘発される水素ソースガスがキャパシタC方向に拡散してキャパシタ誘電膜338’を劣化させることを防止する。
【0128】
前記水素浸透防止膜354は、カプセル化膜ELを構成するキャパシタ保護膜344と実質的に同一な機能を遂行する。したがって、水素浸透防止膜354で形成する物質膜が備えるべき物理的、化学的、結晶学的物性はキャパシタ保護膜344として形成する物質膜と実質的に同一である。前記水素浸透防止膜354はAl23、TiO2膜、Ta25膜、BaTiO3膜、SrTiO3膜、Bi4Ti312膜、PbTiO3膜またはこれらの組合せ膜で形成できる。しかし、水素浸透防止膜354はAl23膜で形成することが望ましい。前記水素浸透防止膜354は通常的な方法である化学気相蒸着方法、物理的蒸着方法、スパッタリング方法、原子層蒸着方法またはレーザー溶発方法を用いて形成できる。しかし、前記水素浸透防止膜354は、原子層蒸着方法によって形成することが望ましい。原子層蒸着方法によって水素浸透防止膜354を形成する場合に得られる長所は原子層蒸着方法によってキャパシタ保護膜344を形成する場合に得られる長所と実質的に同一である。前記水素浸透防止膜354を原子層蒸着方法によって形成する場合に望ましい工程条件は、キャパシタ保護膜344を原子層蒸着方法で形成する場合に適用できる望ましい工程条件と実質的に同一である。
【0129】
前記水素浸透防止膜354は、50Åないし20000Åの間の厚さに形成できるが、200Åないし300Åの間の厚さに形成することが望ましい。
【0130】
一方、示さなかったが水素浸透防止膜354を形成する前に酸化膜でなった緩衝膜を選択的に形成する場合もある。前記緩衝膜は常圧CVD方法またはPECVD方法を用いて形成できる。例えば、前記緩衝膜を常圧CVD方法による酸化膜で形成する場合、前記緩衝膜はオゾン−TEOS膜、PSG膜またはBPSG膜で形成できる。前記緩衝膜をPECVD方法による酸化膜として形成する場合には、前記緩衝膜はPE−TEOS膜またはPE−SiH4膜で形成できる。
【0131】
PE−CVD方法を使用するがシランガスまたはTEOSガスを反応ガスで基礎して緩衝膜を形成することが望ましい。緩衝膜をPE−CVD方法を用いてPE−TEOS膜として形成する場合に、RFパワーは100Wないし500Wの間で有り得るが、200Wであることが望ましい。反応チャンバの圧力は1ないし15torrの間で有り得るが、5torrであることが望ましい。反応チャンバの温度は150ないし450℃の間で有り得るが、300℃であることが望ましい。
【0132】
図8及び図17を参照して説明したように、キャパシタCをカプセル化膜ELで包んだ後にILD工程、パッシベーション工程などを進行すると、水素ソースガスによるキャパシタ誘電膜338'の劣化を防止できる。同時に、パッシベーション工程を進行する前に水素浸透防止膜354を追加でさらに形成する場合にはキャパシタCを形成した以後に遂行される半導体メモリ素子の集積過程でキャパシタ誘電膜338’が劣化されることをより完全に防止できるようになる。
【0133】
本発明による半導体メモリ素子製造方法の第2実施例は、導電性プラグ(図9の324参照)をドーピングされたポリシリコンで形成して後続シリサイド化熱処理工程で導電性プラグ(図9の324参照)全体をシリサイド化するという点のみを除外すると、本発明による半導体メモリ素子製造方法の第1実施例と実質的に同一の工程段階が進行される。
【0134】
第2実施例では導電性プラグ(図9の324参照)全体をシリサイド化しなければならないので、シリサイド化熱処理工程でソース物質膜として用いられる高融点金属膜(図9の326参照)を前記第1実施例の場合より厚く形成することが望ましい。したがって、前記高融点金属膜(図9の326参照)は130Å以上の厚さに形成してシリサイド化熱処理工程以後にも高融点金属膜が残留できるようにすることが望ましい。導電性プラグ(図9の324参照)全体をシリサイド化する熱処理工程は第1実施例の場合と実質的に同一の工程条件で進行される。
【0135】
本発明による半導体メモリ素子製造方法の第3実施例では第1実施例の場合とは別に高融点金属のシリサイド膜、例えばコバルトシリサイド膜を上部プラグ(図10の332参照)に形成することでなく、界面膜(図11の334参照)内に形成する。
【0136】
図18を参照すると、第1実施例の場合と実質的に同一の工程段階を進行して第1及び第2層間絶縁膜318及び320内に導電性プラグ324を形成する。前記導電性プラグ324は図10に示された下部プラグ330と実質的に同一の物質膜で形成できる。例えば、前記導電性プラグ324はドーピングされたポリシリコン膜で形成することが望ましい。その後、導電性プラグ324及び第2層間絶縁膜320上に導電膜356、高融点金属膜358及び表面平坦化膜360を順次的に形成する。前記導電膜356は図10に示された下部プラグ330と実質的に同一の物質膜で形成できる。例えば、前記導電膜356は、ドーピングされたポリシリコン膜で形成するが、3000Åないし10000Åの間の厚さに形成することが望ましい。前記高融点金属膜358は図9に示された高融点金属膜326と実質的に同一の物質膜で形成できる。例えば、前記高融点金属膜358はコバルト膜で形成するが、50Åないし200Åの間の厚さに形成することが望ましい。前記表面平坦化膜360は図9に示された表面平坦化膜328と実質的に同一の物質膜で形成できる。例えば、前記表面平坦化膜360はチタン窒化膜で形成するが、50Åないし150Åの間の厚さに形成することが望ましい。
【0137】
一方、ドーピングされたポリシリコン膜で導電膜356を形成するようになると導電膜356上部表面に自然酸化膜が形成される。したがって、高融点金属膜358を形成する前に導電膜356上に形成された自然酸化膜を除去することが望ましい。前記自然酸化膜を除去する方法は、本発明による半導体メモリ素子製造方法の第1実施例を説明して詳細に説明したのでその説明は省略する。
【0138】
図19を参照すると、導電膜356、高融点金属膜358及び表面平坦化膜360を順次的に形成した後、シリサイド化熱処理工程を遂行して導電膜356上部をシリサイド膜362に変化させる。前記高融点金属膜358をコバルト膜で形成した場合には前記シリサイド化熱処理工程が進行される過程で導電膜356の上部がコバルトシリサイド膜に変化するようになる。前記シリサイド化熱処理工程は図10に示された上部プラグ332を形成する過程で遂行したシリサイド化熱処理工程と実質的に同一に進行される。
【0139】
前記導電性プラグ356のシリサイド化熱処理工程以後には未反応高融点金属膜358及び未反応表面平坦化膜360を除去する。未反応高融点金属膜358及び未反応表面平坦化膜360を除去する方法は本発明による半導体メモリ素子製造方法に対する第1実施例の場合と実質的に同一である。
【0140】
前記のように未反応高融点金属膜358及び未反応表面平坦化膜360を取り除いた以後には、シリサイド膜362上に拡散防止膜(図示せず)を形成する。ところで、拡散防止膜(図示せず)を形成する段階から進行される工程段階は本発明による半導体メモリ素子製造方法の第1実施例の場合と実質的に同一であるのでその説明は省略する。
【0141】
一方、前記では導電性プラグ324及び導電膜356を別個の工程を進行して形成した。しかし、工程段階の数を減らすために導電性プラグ324及び導電膜356を一つの工程で形成する場合もある。例えば、ドーピングされたポリシリコンをコンタクトホール322及び第2層間絶縁膜320上に形成した後、第2層間絶縁膜320の上部表面にドーピングされたポリシリコン膜が所定高さで残留するようにドーピングされたポリシリコンの上部表面を平坦化する。そうすると、導電性プラグ324及び導電膜356を単一工程で形成できるようになる。
【0142】
本発明による半導体メモリ素子製造方法の第4実施例は、拡散防止膜形成段階及び下部導電膜形成段階を省略した点を除外すると第3実施例の場合と実質的に同一な工程段階が進行される。換言すれば、本発明による半導体メモリ素子製造方法の第4実施例で形成されるシリサイド膜(例えば、コバルトシリサイド膜)は拡散防止膜として用いられるばかりか、キャパシタ下部電極としても用いられる。
【0143】
一方、本発明による半導体メモリ素子製造方法の第4実施例ではシリサイド化熱処理工程で形成されるシリサイド膜(例えば、コバルトシリサイド膜)はキャパシタ下部電極で用いられるために、前記シリサイド化熱処理工程でシリコンソースとして用いられる導電膜(図18の356参照)は十分な厚さに形成することが望ましい。したがって、導電膜(図18の356参照)は3000Åないし10000Åの間の厚さに形成することが望ましい。また、前記シリサイド化熱処理工程を通して形成されるシリサイド膜(図19の362参照)が3000Åないし10000Åの間の厚さに形成されるように前記シリサイド化熱処理工程を進行することが望ましい。
【0144】
本発明による半導体メモリ素子製造方法の第5実施例は、下部導電膜を形成する前に導電性プラグ及び第2層間絶縁膜上にシリサイド膜と拡散防止膜とを順次的に形成するという点及び前記シリサイド膜はCVD方法またはスパッタリング方法によって直接形成されるという点を除外すると第3実施例と実質的に同一な工程段階によって進行される。前記シリサイド膜は図10に示された上部プラグ332と実質的に同一の物質膜で形成することが望ましく、50Åないし1000Åの間の厚さに形成することが望ましい。前記拡散防止膜は図11に示された界面膜334に含まれた拡散防止膜と実質的に同一の物質膜で形成することが望ましい。
【0145】
図20を参照すると、本発明による半導体メモリ素子製造方法の第6実施例は、下部プラグ330と上部プラグ332とで構成される導電性プラグ324の形成段階までは第1実施例の場合と実質的に同一な工程段階が進行される。
【0146】
続いて、導電性プラグ324の上部プラグ332上に界面膜パターン364とキャパシタ下部電極366とを単位セル別に形成する。具体的には、上部プラグ332及び第2層間絶縁膜320上に界面膜及び下部導電膜を順次的に形成する。前記界面膜及び下部導電膜は図11に示された界面膜334及び下部導電膜336と実質的に同一である。その後、フォトエッチング工程を遂行して前記界面膜及び下部導電膜をパターニングして界面膜パターン364とキャパシタ下部電極366とを形成する。
【0147】
前記のように界面膜パターン364及びキャパシタ下部電極366を形成した以後には半導体基板300の全面に通常的な方法、例えばPECVD方法を用いて第3層間絶縁膜368を形成する。第3層間絶縁膜368として形成できる物質膜の種は第1層間絶縁膜318を形成できる物質膜の種と実質的に同一である。その後、フォトエッチング工程を遂行して第3層間絶縁膜368内にキャパシタ下部電極366の上部表面を露出させる開口370を形成する。そうしてから、前記開口370の側壁に通常的な方法を用いて拡散防止スペーサ372を形成する。前記拡散防止スペーサ372は図15に示されたキャパシタ保護膜342と実質的に同一の物質膜で形成できる。例えば、拡散防止スペーサ372は、ALD−Al23膜で形成することが望ましい。拡散防止スペーサ372を形成した後、拡散防止スペーサ372の膜質を安定化してその機能を向上させるために400℃ないし600℃の間の温度及び酸素雰囲気下で熱処理工程を選択的に遂行できる。前記開口370内には通常的な方法、例えばゾルーゲル方法を用いてキャパシタ誘電膜374を形成する。前記キャパシタ誘電膜374は図12のキャパシタ誘電膜338'と実質的に同一の物質膜で形成できる。キャパシタ誘電膜374を形成した以後にはキャパシタ誘電膜374の誘電特性を強化するために600ないし800℃の間の温度及び酸素雰囲気下で熱処理工程を選択的に遂行できる。前記キャパシタ誘電膜374の上部にはキャパシタ上部電極376を形成する。前記キャパシタ上部電極376は上部導電膜を通常的な方法、例えばスパッタリング方法を用いて半導体基板の全面に形成した後、フォトエッチング工程を遂行して上部導電膜をパターニングすることにより形成できる。前記上部導電膜を形成できる物質膜の種、厚さ、構成及び形成方法は図11に示された上部導電膜340の場合と実質的に同一である。その後、キャパシタ誘電膜374の上部表面中からキャパシタ上部電極376が形成されていない部分及びキャパシタ上部電極376の表面を直接的に包むカプセル化膜EL’を形成する。前記カプセル化膜EL'は図15に示されたカプセル化膜ELのように多重膜で形成することが望ましい。そして、カプセル化膜EL'は少なくともブロックキング膜378及びキャパシタ保護膜380を含むように形成することが望ましい。ブロックキング膜378とキャパシタ保護膜380とで形成できる物質膜の種、物質膜の厚さ及びその形成方法は図15のブロックキング膜342とキャパシタ保護膜344との場合と実質的に同一である。前記キャパシタブロックキング膜342を形成する前及び/またはキャパシタ保護膜380を形成した後に酸素雰囲気下の熱処理工程を遂行できる。前記熱処理工程は本発明による半導体メモリ素子製造方法の第1実施例と実質的に同一な工程条件下で遂行できる。
【0148】
カプセル化膜EL’を形成した後、ILD工程を遂行して半導体基板300の全面に第4層間絶縁膜382を形成する。第4層間絶縁膜382を形成できる物質膜の種は第1層間絶縁膜318の場合と実質的に同一である。その後、メタル工程を進行して第4層間絶縁膜382を貫通してキャパシタ上部電極376をコンタクトする上部電極メタルコンタクト384を形成する。図示はしないが、この過程で下部電極メタルコンタクトを形成することもできる。その後、半導体基板300の全面にパッシベーション膜386を形成する。前記パッシベーション膜386膜で形成できる物質膜の種、厚さ、構成及び形成方法は図17に示されたパッシベーション膜352の場合と実質的に同一である。
【0149】
本発明による半導体メモリ素子製造方法の第6実施例でもキャパシタ誘電膜374を拡散防止スペーサ372及びカプセル化膜EL’を用いて直接的に包んだ後に後続工程を進行するために、ILD工程、パッシベーション工程等で誘発される水素ソースガスによりキャパシタ誘電膜374が劣化されることを防止できる。
【0150】
一方、本発明による半導体メモリ素子製造方法に対する第6実施例の場合にも前記第1実施例と同様に、パッシベーション工程を進行する前に水素浸透防止膜388を半導体基板300の全面に選択的に形成できる。また、図示はしないが、水素浸透防止膜388を形成する前に半導体基板300の全面に緩衝膜を選択的に形成する場合もある。前記水素浸透防止膜388及び緩衝膜で形成できる物質膜の種、厚さ、構成及び形成方法は前記第1実施例の場合と実質的に同一である。前記のようにパッシベーション工程を遂行する前に緩衝膜及び/または水素浸透防止膜388を形成するようになると、パッシベーション工程で誘発された水素ソースガスが上部電極メタルコンタクト384が形成された部分を通してキャパシタ誘電膜374に拡散することをより完全に遮断できるようになる。
【0151】
本発明による半導体メモリ素子製造方法の第7実施例は、第1及び第2層間絶縁膜318及び320内に形成されたコンタクトホール322内に形成される導電性プラグ324全体を高融点金属のシリサイド膜で形成する。その以後には、前記第6実施例の場合と実質的に同一に工程段階が進行される。第1及び第2層間絶縁膜318及び320内に形成されたコンタクトホール322内に高融点金属のシリサイド膜を形成する方法は前記第2実施例で既に説明したので、ここでは省略する。
【0152】
本発明による半導体メモリ素子製造方法の第8実施例は、第1及び第2層間絶縁膜318及び320内に形成されたコンタクトホール322内に単一膜、例えばドーピングされたポリシリコンからなった導電性プラグ324のみを形成するという点、界面膜パターン364は導電膜パターン\シリサイド膜パターン\拡散防止膜パターンが順次的に積層された3中膜パターンになるように形成するという点を除外すると、前記第6実施例の場合と実質的に同一の工程段階が進行される。
【0153】
前記のように界面膜パターン364を3重膜パターンで形成するためにはまず、導電性プラグ324及び第2層間絶縁膜320上に導電膜、シリサイド膜及び拡散防止膜を順次的に形成する。ところで、導電膜、シリサイド膜及び拡散防止膜を順次的に形成する方法は前記第3実施例の場合と実質的に同一である。そして、前記導電膜、シリサイド膜及び拡散防止膜として形成しようとする物質膜の種及び厚さは前記第3実施例の場合と実質的に同一である。
【0154】
本発明による半導体メモリ素子製造方法の第9実施例は、第1及び第2層間絶縁膜318及び320内に形成されたコンタクトホール322内に単一膜、例えばドーピングされたポリシリコン膜で導電性プラグ324を形成する段階までは前記第7実施例の場合と実質的に同一の工程段階を進行する。その後、第4実施例で用いた方法で導電性プラグ324及び第2層間絶縁膜320上にドーピングされたポリシリコン膜とシリサイド膜とを形成する。その後、前記フォトエッチング工程を遂行して前記シリサイド膜及びドーピングされたポリシリコン膜をキャパシタ下部電極366及び界面膜パターン364で各々パターニングする。キャパシタ下部電極366を形成した以後には、前記第6実施例の場合と実質的に同一の工程段階が進行される。
【0155】
本発明によるメモリ素子製造方法の第10実施例は、第1及び第2層間絶縁膜318及び320内に形成されたコンタクトホール322内に単一膜、例えばドーピングされたポリシリコン膜からなった導電性プラグ324を形成する段階までは前記第7実施例の場合と実質的に同一の工程段階が進行される。その後、導電性プラグ324及び第2層間絶縁膜320上にシリサイド膜と拡散防止膜とを順次的に形成する。その後、フォトエッチング工程を遂行して前記シリサイド膜及び拡散防止膜をパターニングすることにより界面膜パターン364を形成する。界面膜パターン364を形成した以後には本発明による半導体メモリ素子製造方法の第6実施例の場合と実質的に同一の工程段階が進行される。
【0156】
以下では多重膜からなったカプセル化膜ELでキャパシタCを包むと、ILD工程、パッシベーション工程で生じる水素ソースガスによってキャパシタCが劣化されないということを実験例を通して説明する。このために試片1S1を下のような条件で形成した。その後、試片1S1のキャパシタに−5ボルトないし5ボルトの間の電圧を印加しながら分極履歴度(Polarization)及びキャパシタの漏れ電流(leakage current)を測定してその結果を図21及び図22に各々示した。
【0157】
試片1S1製作過程は次のようである。まず、半導体基板上にキャパシタ工程を進行して強誘電体キャパシタを形成した。キャパシタの面積は1.44×10-6cm2であり、キャパシタ誘電膜はPZT膜として厚さは2000Åである。そして、キャパシタの上部電極はIr膜とIrO2膜との2重膜であり、その厚さは各々1200Åと300Åとであり、キャパシタ下部電極はPt膜とIrO2膜との2重膜であり、各々1500Åと500Åである。
【0158】
そして、カプセル化膜を2重膜で形成した。すなわち、ブロックキング膜はスパッタリング方法を用いてTiO2膜を1000Åの厚さに形成した。その後、酸素雰囲気及び450℃で30分間熱処理した。キャパシタ保護膜は原子層蒸着方法を用いてAl23膜を120Åの厚さに形成した。
【0159】
続いて、水素ソースガスを誘発するILD工程を進行してキャパシタが形成された半導体基板の全面に層間絶縁膜を形成した。続いて、上部電極と下部電極との一部を露出させるコンタクトホールを形成した。コンタクトホールを形成しながら生じた損傷を回復するために、酸素雰囲気及び450℃で30分間試片1S1を熱処理した。その後、上部電極メタルコンタクト及び下部電極メタルコンタクトを形成した。
【0160】
図21を参照すると、TiO2膜/Al23膜で構成されたカプセル化膜を形成してからILD工程を進行したが、残留分極度値が25μC/cm2程度で元来の値をほとんどそのまま維持していることが分かる。この実験結果はカプセル化膜がキャパシタ誘電膜の劣化を防止したことを示している。
【0161】
図22を参照すると、キャパシタの漏れ電流が約1ボルトないし4ボルトの間で約10-10アンペアの値を有していることを確認できる。したがって、キャパシタ漏れ電流は半導体メモリ素子の動作電圧内で安定した分布を見せていることが確認できる。すなわち、この実験結果もカプセル化膜がキャパシタ誘電膜の劣化を防止していることを示している。
【0162】
次は、試片2S2と試片3S3とを追加で製作して試片1S1と比較実験をした。比較の便宜のために試片1S1のブロックキング膜とキャパシタ保護膜として使用されたTiO2膜及びAl23膜を試片1S1と同一な方法を用いて試片2S2及び試片3S3のカプセル化膜として各々形成した。すなわち、試片2S2のカプセル化膜はTiO2膜のみをスパッタリング方法を用いて形成して、試片3S3のカプセル化膜はAl23膜のみを原子層蒸着方法を用いて形成した。
【0163】
具体的に、試片2S2と試片3S3とを製造するためにまず、キャパシタ工程を遂行して半導体基板上に試片1S1と同一な条件でキャパシタを形成した。そうしてから、単一膜からなったカプセル化膜を形成した。試片2S2及び試片3S3の単一膜からなったカプセル化膜は次のような条件で形成された。
【0164】
試片2S2はカプセル化膜としてTiO2膜をスパッタリング方法を用いて1000Åの厚さに形成した。その後、カプセル化膜の絶縁特性を強化するために酸素雰囲気及び650℃で30分間熱処理をした。試片1S1のブロックキング膜を形成する時よりは熱処理温度を上昇させた。
【0165】
試片3S3はカプセル化膜としてAl23膜を原子層蒸着方法を用いて120Åの厚さに形成した。この時、アルミニウムソースガス及び酸素ソースガスはAl(CH43ガス及びH2Oガスを各々用いた。そして、カプセル化膜は熱処理しなかった。
【0166】
そうしてから、試片1S1と同様にILD工程、メタル工程を遂行して試片2S2と試片3S3との下部電極及び上部電極にメタルコンタクトを形成した。
【0167】
その後、試片2S2及び試片3S3各々に対して試片1S1と同様に電圧を変化させながら分極度を測定してその結果を図23に示した。図23には試片1S1に対する分極履歴曲線も一緒に示した。
【0168】
一方、試片1S1、試片2S2及び試片3S3から12個のチップダイを選択して、バリヤコンタクト抵抗を各々測定してその結果を図24に示した。試片1S1、試片2S2及び試片3S3のバリヤコンタクト抵抗は各々S1、S2及びS3で表示した。
【0169】
図23を参照すると、試片2S2の分極履歴曲線の面積は試片1S1の分極履歴曲線の面積より小さいということが確認できる。すなわち、ILD工程で試片2S2のキャパシタ誘電膜の強誘電性は試片1S1より劣化されたことが分かる。そして、試片3S3の残留分極度はほとんど0に近いためにキャパシタ誘電膜の強誘電性が完全に劣化されたことを確認できる。これから次のような結論を下すことができる。
【0170】
−試片2S2のカプセル化膜(TiO2膜)はILD工程で水素の拡散を遮断はできるが、試片1S1のようにカプセル化膜を2重膜(TiO2/Al23膜)で形成した場合より水素遮断効果は弱い。
【0171】
−試片1S1のブロックキング膜(TiO2膜)に対する熱処理温度は試片2S2のカプセル化膜(TiO2膜)に対する熱処理温度より低い。したがって、ブロックキング膜に対する絶縁特性が試片2S2のカプセル化膜よりは悪いことにもかかわらず試片1S1の水素遮断効果が良いので、水素拡散遮断機能は試片1S1のキャパシタ保護膜が主に遂行する。
【0172】
−試片2S2のように単一膜でカプセル化膜を形成して、600℃以上の熱処理を通してカプセル化膜の絶縁特性を向上させるといっても水素によるキャパシタ劣化問題を完全に解決はできない。
【0173】
−試片3S3のキャパシタの誘電膜(Al23膜)が完全に劣化された理由はカプセル化膜を形成する方法と関連する。すなわち、酸素ソースガスとしてH2Oガスを用いたためである。ところで、本発明はブロックキング膜を形成した後キャパシタ保護膜を形成する。したがって、キャパシタ保護膜(Al23)を原子層蒸着方法で形成する時にキャパシタ誘電膜の劣化なく酸素ソースガスとしてH2Oガスを用いることができる。
【0174】
図24を参照すると、試片1S1のバリヤコンタクト抵抗は試片3S3のバリヤコンタクト抵抗よりは小さいということが分かる。そして、試片2S2のバリヤコンタクト抵抗は平均1MΩ以上にバリヤコンタクト抵抗が劣化されたことが分かる。図24のグラフから次のような結論を下すことができる。
【0175】
−試片1S1のカプセル化膜中ブロックキング膜を形成する時の熱処理温度は450℃で試片2S2のカプセル化膜を形成する時の熱処理温度である600℃よりは低い。したがって、試片2S2のバリヤコンタクト抵抗が増加された理由は高温熱処理工程を遂行してカプセル化膜を熱処理することにより、酸素がコンタクトプラグに拡散したためである。
【0176】
−試片3S3のカプセル化膜は試片2S2のカプセル化膜より酸素の拡散を防止する能力が優秀である。一方、試片1S1のカプセル化膜中キャパシタ保護膜は試片3S3のカプセル化膜と同一な条件で形成された。ところで、酸素拡散遮断能力は試片1S1のカプセル化膜が優秀である。したがって、カプセル化膜を2重膜で形成するとカプセル化膜の酸素遮断能力が向上される。
【0177】
【発明の効果】
本発明による半導体メモリ素子の一側面によると、キャパシタ誘電膜がキャパシタ形成以後に形成されるILD膜、パッシベーション膜などの内部に封入された水素によって誘電特性が劣化されることを防止できるようになる。また、本発明による半導体メモリ素子の他の側面によると、コバルトシリサイド膜のような低抵抗コンタクト用バリヤ膜が備わるために半導体メモリ素子の動作速度を向上させることができる。
【0178】
本発明による半導体メモリ素子製造方法の一側面によると、多重膜からなったカプセル化膜でキャパシタを包むことによりキャパシタを水素ソースガスから保護できる。すなわち、キャパシタを形成してから遂行される後続集積工程で誘発される水素ソースガスによりキャパシタ誘電膜が劣化されることを防止できる。また、本発明による半導体素子製造方法のまた他の側面によると、酸素雰囲気下で遂行される高温熱処理工程で半導体メモリ素子のコンタクト抵抗が上昇することを防止できる。同時に、パッシベーション膜を形成する前に、緩衝膜及び/または水素浸透防止膜を形成すると、パッシベーション工程で誘発された水素によってキャパシタ誘電膜が劣化されることを防止できる。
【0179】
前記では添付した図面を参考にして本発明に対する望ましい実施例を詳細に説明した。しかし、本発明はこれに限らず、本発明の技術的思想の範囲内で当分野で通常の知識でその変形やその改良が可能である。
【図面の簡単な説明】
【図1】本発明による半導体メモリ素子の第1実施例を示した断面図である。
【図2】本発明による半導体メモリ素子の第2実施例を示した断面図である。
【図3】本発明による半導体メモリ素子に含まれることができる導電性プラグ、界面膜及びキャパシタの構造に対する第1実施例を示した部分断面図である。
【図4】本発明による半導体メモリ素子に含まれることができる導電性プラグ、界面膜及びキャパシタの構造に対する第2実施例を示した部分断面図である。
【図5】本発明による半導体メモリ素子に含まれることができる導電性プラグ、界面膜及びキャパシタの構造に対する第3実施例を示した部分断面図である。
【図6】本発明による半導体メモリ素子に含まれることができる導電性プラグ、界面膜及びキャパシタの構造に対する第4実施例を示した部分断面図である。
【図7】本発明による半導体メモリ素子に含まれることができる導電性プラグ、界面膜及びキャパシタの構造に対する第5実施例を示した部分断面図である。
【図8】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図9】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図10】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図11】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図12】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図13】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図14】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図15】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図16】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図17】本発明による半導体メモリ素子製造方法の第1実施例を示した工程断面図である。
【図18】本発明による半導体メモリ素子製造方法の第2実施例を示した工程断面図である。
【図19】本発明による半導体メモリ素子製造方法の第2実施例を示した工程断面図である。
【図20】本発明による半導体メモリ素子製造方法の第6実施例を示した工程断面図である。
【図21】本発明による半導体メモリ素子製造方法を適用して試片1C1を作って、キャパシタ誘電膜の分極履歴曲線及びキャパシタの漏れ電流特性を各々示したグラフである。
【図22】本発明による半導体メモリ素子製造方法を適用して試片1C1を作って、キャパシタ誘電膜の分極履歴曲線及びキャパシタの漏れ電流特性を各々示したグラフである。
【図23】本発明による半導体メモリ素子製造方法によって作られた試片1C1と他の方法によって作られた試片2C2及び試片3C3に対して分極履歴曲線及びバリヤコンタクト抵抗を各々示したグラフである。
【図24】本発明による半導体メモリ素子製造方法によって作られた試片1C1と他の方法によって作られた試片2C2及び試片3C3に対して分極履歴曲線及びバリヤコンタクト抵抗を各々示したグラフである。
【符号の説明】
122、366 下部電極
126、340’ 下部電極
124、124a〜124e、338'、374 キャパシタ誘電膜
キャパシタ C
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a semiconductor memory device and a manufacturing method thereof, and more particularly, to a semiconductor memory device including a capacitor protection film and a manufacturing method thereof.
[0002]
[Prior art]
Recently, in the field of manufacturing semiconductor memory devices, research for forming a capacitor dielectric film of a semiconductor memory device with a ferroelectric has attracted attention. In the case of a non-volatile semiconductor memory device, a binary memory concept in which the development of ferroelectric residual polarization (hereinafter referred to as 'Pr') is the basis of digital storage devices that are widely used at present. This is because it matches. At present, the ferroelectric material widely used is PZT (Pb (Zr, Ti) O Three ), SBT (SrBi 2 Ta 2 O 9 )and so on.
[0003]
By the way, one of the most troublesome problems in forming the capacitor dielectric film of a semiconductor memory device with a ferroelectric is that the ferroelectric characteristics of the ferroelectric used as the capacitor dielectric film are performed after the capacitor formation process. That is, the semiconductor memory device is deteriorated in an integration process. The problem of deterioration of the capacitor dielectric film made of a ferroelectric during the integration process of the semiconductor memory device will be described in detail below. After performing the capacitor formation process in the manufacture of the semiconductor memory device, an ILD (Interlayer Dielectric) process is performed. , An IMD (Inter Metal Dielectric) process, a passivation process, and the like are performed. Meanwhile, in the course of performing such a process, impurities, particularly hydrogen, that can deteriorate the capacitor dielectric film can be induced. The induced hydrogen penetrates directly into the capacitor dielectric film as the process proceeds, or indirectly penetrates into the capacitor dielectric film by being enclosed in the ILD film, IMD film or passivation film formed in the process. Sometimes. As a result, Pr, which is one of the ferroelectric characteristics of the ferroelectric used as the capacitor dielectric film, decreases.
[0004]
For example, if an ILD process is performed to form an interlayer insulating film made of a silicon oxide film after a ferroelectric capacitor is formed on a semiconductor substrate, there arises a problem that the dielectric film of the capacitor deteriorates. That is, in an ILD process for forming an interlayer insulating film made of a silicon oxide film by using a PECVD (Plasma Enhanced Chemical Vapor Deposition) method, silane gas (SiH Four ) And oxygen gas (O 2 ) Is used as a reaction gas, and silane gas and oxygen gas react to generate hydrogen ions as a byproduct. The derived hydrogen ions diffuse directly into the dielectric film of the ferroelectric capacitor to degrade the capacitor dielectric film, or are enclosed in an interlayer insulating film formed in the ILD process and gradually deteriorate the capacitor dielectric film. I will let you. As a result, the Pr value of the capacitor dielectric film may be decreased, and the ferroelectric characteristic of the capacitor dielectric film may be lost. As described above, the problem that the capacitor dielectric film is deteriorated in the integration process of the semiconductor memory device does not occur only in the ILD process for forming the interlayer insulating film, but the IMD process and the passivation for forming the intermetal insulating film. The same problem occurs in the passivation process for forming the film.
[0005]
Therefore, in order to solve such a problem, the conventional semiconductor memory device manufacturing method uses a method of encapsulating a capacitor with an insulating film made of a single film after the capacitor is formed. For example, US Pat. No. 5,822,175 discloses a method of encapsulating a capacitor with a silicon oxide film, a doped silicon oxide film, or a silicon nitride film in order to solve the problem of deterioration of the capacitor dielectric film due to hydrogen diffusion. is doing.
[0006]
On the other hand, in the capacitor forming step, the capacitor dielectric film is formed on the semiconductor substrate, and then the dielectric film of the capacitor is crystallized by heat treatment at a temperature between 600 ° C. and 800 ° C. in an oxygen atmosphere. Improve properties. Also, after the capacitor is formed, the temperature and oxygen between 450 ° C. and 600 ° C. are used to recover the dielectric film damage induced by the dry etching process performed during the capacitor forming process and to stabilize the manufactured capacitor. A heat treatment process is performed under an atmosphere.
[0007]
By the way, in such a heat treatment process, oxygen diffuses into an impurity implantation region on the semiconductor substrate, for example, a contact plug that electrically connects the source region and the capacitor, thereby increasing the contact resistance. For example, when the contact plug is made of doped polysilicon, oxygen diffused in the contact plug reacts with the polysilicon to form a silicon oxide film at the interface between the contact plug and the capacitor, thereby increasing the contact resistance. . Such an increase in contact resistance acts as a factor that lowers the operating speed of the semiconductor memory device.
[0008]
[Problems to be solved by the invention]
The technical problem to be achieved by the present invention is to provide a semiconductor memory device including a capacitor protection film that prevents deterioration of the capacitor dielectric film due to impurity diffusion.
[0009]
Another technical problem to be achieved by the present invention is to provide a method of manufacturing a semiconductor memory device that can protect a capacitor in a semiconductor memory device integration process performed after the capacitor forming process.
[0010]
[Means for Solving the Problems]
According to one aspect of the present invention, there is provided a capacitor including a lower electrode, an upper electrode, and a capacitor dielectric film inserted between the lower electrode and the upper electrode. In addition, an encapsulating film having a multilayer structure is provided in the semiconductor memory element. The encapsulating film includes at least two material films that enclose the entire surface of the capacitor and are made of at least different insulating materials. A dielectric film is also formed on the encapsulating film, and the metal contact passes through the encapsulating film and the dielectric film to contact the upper electrode.
[0011]
The encapsulating film includes at least a block king film and a capacitor protective film, and it is desirable that the block king film is provided inside the capacitor protective film and the block king film and the capacitor protective film are made of different materials.
[0012]
When the encapsulating film is a double film, it is preferable that the block king film covers the entire surface of the capacitor except for the portion where the metal contact contacts the upper electrode, and the capacitor protective film is the entire surface of the block king film. It is desirable to wrap. The block king film is preferably made of a material capable of preventing a reaction between the material film formed under the block king film and the capacitor protection film. Preferably, the block king film is TiO. 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three Can be a membrane.
[0013]
The capacitor protective film is preferably formed of a material capable of preventing hydrogen sealed in the insulating film from penetrating the capacitor dielectric film and / or a material capable of preventing the capacitor dielectric film from volatilizing. Preferably, the capacitor protective film is made of Al. 2 O Three Film, TiO 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three Although it is a film | membrane, it can consist of a substance different from the substance which makes a block king film | membrane.
[0014]
The semiconductor memory device according to an aspect of the present invention may further include a passivation film formed on the insulating film and the metal contact. A hydrogen permeation prevention film for preventing hydrogen sealed in the passivation film from penetrating the capacitor dielectric film may be selectively interposed between the metal contact and the passivation film. Hydrogen permeation prevention film is Al 2 O Three Film, TiO 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three A membrane is desirable.
[0015]
The semiconductor memory device according to an aspect of the present invention further includes an interlayer insulating film formed under the capacitor, and a conductive plug provided in the interlayer insulating film. The conductive plug is electrically connected to the lower electrode of the capacitor and extends between the lower electrode of the capacitor and the conductive plug, but may be an interface film made of cobalt silicide.
[0016]
The semiconductor memory device according to an aspect of the present invention may further include an interlayer insulating film formed under the capacitor and a conductive plug provided in the interlayer insulating film and electrically connected to the capacitor lower electrode. At this time, the conductive plug may be composed of only a cobalt silicide film or a double film in which a conductive film and a cobalt silicide film are sequentially stacked.
[0017]
A semiconductor memory device according to another aspect of the present invention includes a capacitor including a lower electrode, an upper electrode, and a capacitor dielectric film inserted between the lower electrode and the upper electrode. In addition, an encapsulating film is provided in a semiconductor memory device according to another aspect of the present invention to enclose the entire surface of the capacitor. The encapsulating film includes a multiple encapsulating film including at least a block king film made of different insulating materials and a capacitor protective film. At this time, the block king film is formed below the capacitor protection film.
[0018]
A semiconductor memory device according to another aspect of the present invention includes a capacitor including a lower electrode, an upper electrode, and a capacitor dielectric film inserted between the lower electrode and the upper electrode. A predetermined dielectric film is formed on the capacitor. A metal contact is formed in the dielectric film and is in contact with the upper electrode to form a passivation film on the metal contact. In this embodiment, a hydrogen diffusion preventing film is inserted between the metal contact and the passivation film.
[0019]
In order to achieve the second technical problem of the present invention, a semiconductor memory device manufacturing method according to one aspect of the present invention includes a lower electrode, an upper electrode, and a semiconductor including a capacitor dielectric film inserted between the lower electrode and the upper electrode. A capacitor of a memory element is formed on a semiconductor substrate. Thereafter, a multi-encapsulation film is formed on the entire surface of the capacitor.
[0020]
The multi-encapsulation film is formed so as to include at least a block king film made of different insulating materials and a capacitor protection film, and the block king film is formed below the capacitor protection film. When the multi-encapsulated film is a double film, the multi-encapsulated film forming step first forms a block king film that covers the entire surface of the capacitor. Thereafter, a capacitor protective film that covers the entire surface of the block king film is formed.
[0021]
The method for manufacturing a semiconductor memory device according to an aspect of the present invention may further include performing a heat treatment at a temperature between 400 ° C. and 600 ° C. in an oxygen atmosphere after forming the block king film.
[0022]
The block king film is preferably formed of a material capable of preventing a reaction between the material film formed under the block king film and the capacitor protection film and / or preventing volatilization of the capacitor dielectric film. Preferably, the block king film is TiO. 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three It can be formed with a film.
[0023]
The capacitor protection film is preferably formed of a hydrogen permeation prevention material. Preferably, TiO 2 Film, Ta 2 O Five Film, Al 2 O Three Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three Although it is formed of a film, it is desirable to form it with a material film different from the material forming the block king film.
[0024]
According to an aspect of the present invention, there is provided a method of manufacturing a semiconductor memory device, comprising: forming an insulating film on the multiple encapsulating film after forming the multiple encapsulating film; and forming a metal contact that contacts the upper electrode through the insulating film. And forming a passivation film on the entire surface of the semiconductor substrate on which the metal contact is formed.
[0025]
An additional step of forming a hydrogen permeation prevention film on the entire surface of the semiconductor substrate can be performed before forming the passivation film. Preferably, the hydrogen permeation preventive film is Al. 2 O Three Film, TiO 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three It can be formed with a film. The hydrogen barrier layer is preferably formed by an atomic layer deposition process.
[0026]
According to another aspect of the present invention for achieving the second technical problem of the present invention, a method of manufacturing a semiconductor memory device includes a step of forming a predetermined semiconductor integrated circuit device on a semiconductor substrate and the semiconductor integrated circuit device is formed. The method may further include forming a passivation film on the entire surface of the semiconductor substrate, and further forming a hydrogen permeation prevention film on the entire surface of the semiconductor substrate before forming the passivation film.
[0027]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, preferred embodiments of a semiconductor memory device including a capacitor protection layer according to the present invention and a method of manufacturing the same will be described in detail with reference to the accompanying drawings. However, the embodiments of the present invention can be modified in various different forms, and the scope of the present invention should not be construed to be limited to the embodiments detailed below. The following description with reference to the drawings is provided to provide a more thorough explanation of the present invention to those having average knowledge in the relevant industrial arts. The thicknesses of layers and regions in the drawings are shown for clarity of explanation. The same reference numerals in the drawings denote the same elements. In addition, when a certain layer is described as being on the other layer or the substrate, the certain layer may be directly present on the other layer or the substrate, and a third layer is interposed therebetween. There is also. Meanwhile, the capacitor included in the semiconductor memory device according to the embodiment of the present invention described with reference to FIGS. 1 to 20 has a COB (Capacitor Over Bit line) structure. However, the capacitor included in the semiconductor memory device according to the present invention may have a CUB (Capacitor Under Bit line) structure.
[0028]
FIG. 1 is a cross-sectional view showing a first embodiment of the structure of a semiconductor memory device according to the present invention.
[0029]
Referring to FIG. 1, an element isolation film 101 formed by a LOCOS process defines an active region on a semiconductor substrate 100, and a field effect transistor T is formed on the active region defined by the element isolation film 101. Has been. Of course, the element isolation film defining the active region may be formed by a trench element isolation method. The field effect transistor T includes a gate electrode 102, a source region 104, and a drain region 106. A gate oxide film 108 made of an oxide film is interposed between the gate electrode 102 and the semiconductor substrate 100. A sidewall spacer 110 made of a nitride film is formed on the sidewall of the gate electrode 102.
[0030]
A first interlayer insulating film 112 for electrically isolating adjacent field effect transistors T is formed on the entire surface of the semiconductor substrate 100 on which the element isolation film 102 and the field effect transistor T are formed. A second interlayer insulating film 114 is formed on 112. The first interlayer insulating film 112 and the second interlayer insulating film 114 are a BSG (borosilicate glass) film, a PSG (phosphosilicate glass) film, a BPSG (borophosphosilicate glass) film, a TEOS (TetraEthlyGlassic silicon film), a TEOS film. It can be a TEOS film, a PE (Plasma Enhanced) -TEOS film, or a combination thereof. A landing plug 116 is formed in the first interlayer insulating film 112, and a bit line contact pad 118 is formed in the second interlayer insulating film 114. A conductive plug 120 is formed in the first and second interlayer insulating films 112 and 114. Although not shown, the bit line contact pad 118 is electrically connected to a bit line (not shown), and the landing plug 116 is an impurity implantation region formed on the semiconductor substrate 100, for example, a drain region 106. Are electrically connected to the bit line contact pad 118. The conductive plug 120 electrically connects the capacitor C of the semiconductor memory device formed on the second interlayer insulating film 114 and an impurity implantation region formed on the semiconductor substrate 100, for example, the source region 104. The capacitor C of the semiconductor memory device includes a lower electrode 122, a capacitor dielectric film 124, and an upper electrode 126, and an interface film 128 is interposed between the capacitor C and the second interlayer insulating film 114.
[0031]
On the other hand, in FIG. 1, the conductive plug 120, the interface film 128, and the capacitor C are not shown in detail. This is because the conductive plug 120, the interface film 128, and the capacitor C can have various structures in the structure of the semiconductor memory device according to the present invention. Accordingly, various structures of the conductive plug 120, the interface film 128, and the capacitor C will be described in detail later with reference to FIGS.
[0032]
An encapsulating layer (hereinafter referred to as “EL”) for protecting the capacitor C is formed as a multi-layer film on the entire surface of the capacitor C except for a part of the upper electrode 126 and on the second interlayer insulating film 114. Has been. A third interlayer insulating film 134 is formed on the encapsulating film EL, and an upper electrode metal contact 136 is formed on the upper electrode 126 where the encapsulating film EL is not formed. The third interlayer insulating film 134 may be a BSG film, a PSG film, a BPSG film, a TEOS film, a USG film, an ozone-TEOS film, a PE-TEOS film, or a combination film thereof.
[0033]
It is desirable that the encapsulated film EL composed of multiple films perform the following functions in order to protect the capacitor C. First, volatilization of the capacitor dielectric film 124 must be prevented. For example, when the capacitor dielectric film 124 is made of a high dielectric film or a ferroelectric film such as a PZT film, a BST film, or a PLZT film, oxygen atoms in the capacitor dielectric film 124 are separated from the capacitor dielectric film 124. Must be prevented. This is because when the capacitor dielectric film 124 volatilizes, the capacitor C is deteriorated and loses its inherent function of storing information due to the accumulated charges. Further, the encapsulating film EL should be able to block diffusion of the material film formed around the capacitor C, for example, hydrogen enclosed in the third interlayer insulating film 134 into the capacitor dielectric film 124.
[0034]
Therefore, it is desirable that the encapsulating film EL includes at least a block king film made of different insulating materials and a capacitor protective film. Here, the capacitor protective film performs a function of preventing hydrogen from diffusing into the capacitor dielectric film 124. The block king film is formed under the capacitor protective film, and the function of preventing the material film formed under the block king film from interacting with the capacitor protective film and / or the function of preventing the volatilization of the capacitor dielectric film. To perform mainly. Of course, although there are differences between the block king film and the capacitor protective film in the functions mainly performed, it is needless to say that all the functions listed above can be performed.
[0035]
When the encapsulating film EL is configured as a multilayer film, the encapsulating film EL can be configured as follows. For example, when the encapsulating film EL is a triple film, it can have a structure in which a block king film / buffer film / capacitor protective film are stacked in this order. Further, when the encapsulating film EL is a double film, it may have a structure in which a block king film / capacitor protective film are laminated in this order. Of course, the structure that the encapsulating film EL can have is not limited to the above-described double film or triple film, and the number of substance films that can form the encapsulating film EL and the structure thereof can be variously determined.
[0036]
The encapsulating film EL provided in the first embodiment of the semiconductor memory device according to the present invention shown in FIG. 1 has a double film structure. First, the block king film 130 is formed directly on the entire surface of the capacitor C except the partial surface of the upper electrode 126 and on the upper surface of the second interlayer insulating film 114. A capacitor protection film 132 is directly formed on the block king film 130.
[0037]
The material film that can form the blocking layer 130 is selected in consideration of the function of the blocking layer 130. Preferably, the block king film 130 is made of TiO. 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three It can consist of a membrane. In selecting a material film that can form the blocking layer 130, it is desirable to select a material film that does not react with the capacitor dielectric film 124. Therefore, it is desirable to determine the type of material film constituting the block king film 130 according to the type of material film formed as the capacitor dielectric film 124. For example, when the capacitor dielectric film 124 is made of a high dielectric film or a ferroelectric film such as a PZT film, a BST film, or a PLZT film, the block king film 130 is formed of TiO 2 formed by a sputtering method. 2 Film (Sputtering-TiO 2 Film). However, as described above, TiO as a material constituting the block king film 130 is used. 2 When the film is selected, the block king film 130 is a TiO 2 formed by a CVD (Chemical Vapor Deposition) method. 2 Film (CVD-TiO 2 TiO 2 formed by LPCVD (Low Pressure Chemical Vapor Deposition) method 2 Film (LPCVD-TiO 2 Film), TiO2 formed by SACVD (Sub Atmospheric Chemical Vapor Deposition) method 2 Film (SACVD-TiO 2 TiO 2 formed by PECVD (Plasma Enhanced Chemical Vapor Deposition) method 2 Film (PECVD-TiO 2 Film), TiO formed by ALD (Atomic Layer Deposition) method 2 Film (ALD-TiO 2 Film) or TiO formed by LA (Laser Ablation) method 2 Film (LA-TiO 2 Film). TiO as a material constituting the block king film 130 2 Even if a substance other than the membrane is selected, the same application can be performed as described above. The thickness of the block king film 130 is determined in consideration of a function performed by the block king film 130, physical properties of a material film selected as the block king film 130, and the like. Preferably, the thickness of the block king film 130 may be between 50 and 1500 mm. On the other hand, the blocking layer 130 may be a stabilizing material layer that is stabilized and heat-treated at a temperature between 400 ° C. and 600 ° C. and an oxygen atmosphere when considering its function.
[0038]
The material film constituting the capacitor protection film 132 is selected in consideration of the function performed by the capacitor protection film 132. Preferably, the capacitor protection film 132 is made of TiO. 2 Film, Ta 2 O Five Film, Al 2 O Three Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three It can consist of a membrane. Here, the material film species constituting the capacitor protection film 132 may vary depending on the material film species constituting the capacitor dielectric film 124 and the material film species constituting the block king film 130. For example, it is desirable not to form the capacitor protection film 132 with a material film reactive with the block king film 130. In addition, it is desirable that the capacitor protection film 132 is formed of a material film different from the material film that forms the block king film 130. For example, the capacitor dielectric film 124 is made of a high dielectric film or a ferroelectric film such as a PZT film, a BST film, or a PLZT film, and the block king film 130 is formed by sputtering-TiO 2. 2 In the case of a film, the capacitor protective film 132 is ALD-Al. 2 O Three A membrane is desirable. However, as a material constituting the capacitor protection film 132, Al 2 O Three When a film is selected, the capacitor protective film 132 is formed by CVD-Al. 2 O Three Film, LPCVD-Al 2 O Three Film, SACVD-Al 2 O Three Film, PECVD-Al 2 O Three Film, Sputtering-Al 2 O Three Membrane or LA-Al 2 O Three It may be a membrane. Al as a material constituting the capacitor protection film 132 2 O Three Even if a substance other than the membrane is selected, the same application can be performed as described above. In addition, the capacitor protective film 132 may be a stabilizing material film that has been stabilized and heat-treated at a temperature between 400 ° C. and 600 ° C. in an oxygen atmosphere when considering its function. Meanwhile, the thickness of the capacitor protection film 132 is determined in consideration of the function performed by the capacitor protection film 132, the physical properties of the material film selected as the capacitor protection film 132, and the like. Preferably, the thickness of the capacitor protection layer 132 may be between 50 and 5000 mm. More preferably, the thickness of the capacitor protection layer 132 may be between 50 and 1500 mm.
[0039]
A passivation film 138 is formed on the upper electrode metal contact 136 and the third interlayer insulating film 134. The passivation film 138 may be a silicon oxide film, a silicon nitride film, or a silicon oxynitride film. The passivation film thickness may be between 2000 and 20000 mm.
[0040]
Meanwhile, a hydrogen permeation prevention film 140 may be selectively formed between the third interlayer insulating film 134 and the passivation film 138 in order to more completely protect the capacitor C of the semiconductor memory element from hydrogen. The hydrogen permeation prevention layer 140 performs substantially the same function as the capacitor protection layer 132. In other words, the hydrogen permeation preventive film 140 has a function of preventing hydrogen encapsulated in the passivation film 138 from diffusing in the capacitor C direction at the portion where the upper electrode metal contact 136 is formed and degrading the capacitor dielectric film 124. Carry out. Accordingly, the hydrogen permeation prevention film 140 is made of Al. 2 O Three Film, TiO 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 It can consist of a membrane or a combination thereof. By the way, the hydrogen permeation preventive film 140 is a material film having a stable chemical and physical property having an adsorptive power to hydrogen in order to more effectively prevent hydrogen from diffusing in the direction of the capacitor C. Is desirable. Therefore, the hydrogen permeation preventive membrane 140 has an ALD-Al having not only a stable crystallographic structure and a dense film quality but also 100% step coverage. 2 O Three A membrane is more desirable. However, the material film constituting the hydrogen permeation prevention film 140 is Al. 2 O Three When the film is selected, the hydrogen permeation prevention film 140 is formed by CVD-Al. 2 O Three Film, LPCVD-Al 2 O Three Film, SACVD-Al 2 O Three Film, PECVD-Al 2 O Three Membrane, LA-Al 2 O Three Film or sputtering-Al 2 O Three It may be a membrane. The thickness of the hydrogen permeation prevention film 140 may be between 50 and 20000, but preferably between 200 and 300.
[0041]
In some cases, the hydrogen permeation prevention film 140 may be a stabilizing material film that has been heat-treated at a temperature between 400 ° C. and 600 ° C. in an oxygen atmosphere. As described above, when the hydrogen permeation prevention film 140 is a material film that has been subjected to the stabilization heat treatment, it is possible to more completely prevent hydrogen from diffusing in the capacitor C direction.
[0042]
Although not shown, a buffer film may be selectively interposed between the hydrogen permeation prevention film 140 and the third interlayer insulating film 134. For example, the buffer film may be a material film formed by an atmospheric pressure CVD method or an oxide film formed by a PECVD method. When the buffer film is an oxide film formed by an atmospheric pressure CVD method, the buffer film may be an ozone-TEOS film, a PSG film, or a BPSG film. When the buffer film is an oxide film formed by PECVD, the buffer film is a PE-TEOS film or PE-SiH. Four Can be a membrane. The buffer film thickness may be between 50 and 1000 mm.
[0043]
FIG. 2 shows a second embodiment of the structure of the semiconductor memory device according to the present invention. The element isolation film 102 and the field effect transistor T formed on the semiconductor substrate 100, the first interlayer insulating film 112 and the second interlayer insulating film 114, the landing plug 116 formed in the first interlayer insulating film 112, the second The structure of the bit line contact pad 118 formed in the interlayer insulating film 114 and the conductive plug 120 formed in the first and second interlayer insulating films 112 and 114 is the first embodiment for the structure of the semiconductor memory device according to the present invention. This is substantially the same as in the example.
[0044]
Referring to FIG. 2, the conductive plug 120 and the capacitor C of the semiconductor memory device are electrically connected with the interface film 128 interposed therebetween. Of course, the capacitor C includes a lower electrode 122, a capacitor dielectric film 124 and an upper electrode 126. The lower electrode 122 and the capacitor dielectric film 124 of the capacitor C are formed in a third interlayer insulating film 134, and a diffusion preventing spacer 142 is interposed between the sidewall of the capacitor dielectric film 124 and the third interlayer insulating film 134. Yes.
[0045]
Meanwhile, the conductive plug 120, the interface film 128, and the capacitor C included in the second embodiment of the semiconductor memory device according to the present invention may have various structures as in the first embodiment. This structure will be described in detail below with reference to FIGS.
[0046]
The diffusion prevention spacer 142 is preferably made of a material film that can prevent hydrogen sealed in the third interlayer insulating film 134 from diffusing into the capacitor dielectric film 124. Preferably, the diffusion preventing spacer 142 is made of Al. 2 O Three Film, TiO 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Membrane, PbTiO Three It can be a membrane or a combination thereof. However, the diffusion prevention spacer 142 is formed of ALD-Al. 2 O Three More preferably, it is made of a film. Of course, the material film constituting the diffusion prevention spacer 142 is Al. 2 O Three Even if a material film other than the film is selected, it is desirable to form the film using the ALD technique.
[0047]
On the upper surface of the third interlayer insulating film 134, the upper surface and side walls of the capacitor upper electrode 126, and a part of the upper surface of the capacitor dielectric film 124, an encapsulated film EL composed of multiple films is formed. The encapsulating film EL may be described in detail with reference to the first embodiment of the semiconductor memory device according to the present invention, and the description thereof will be omitted here.
[0048]
In the second embodiment of the semiconductor memory device according to the present invention, as in the first embodiment, the encapsulating film EL has a double film structure in which the blocking layer 130 and the capacitor protection layer 132 are laminated. Yes. A fourth interlayer insulating film 144 is formed on the encapsulating film EL, and an upper electrode metal contact 136 is formed through the fourth interlayer insulating film 144 and the encapsulating film EL. A passivation film 138 is formed on the fourth interlayer insulating film 144 and the upper electrode metal contact 136. The third interlayer insulating layer 134 and the fourth interlayer insulating layer 144 may be formed of the same material layer as the first interlayer insulating layer 112. The material film species that can form the passivation film 138 may be described in detail with reference to the first embodiment of the semiconductor memory device according to the present invention.
[0049]
Meanwhile, as in the first embodiment of the semiconductor memory device according to the present invention, hydrogen permeation prevention is provided between the fourth interlayer insulating film 144 and the passivation film 138 in order to more completely protect the capacitor C of the semiconductor memory device from hydrogen. The film 140 may be selectively formed. The type and thickness of the material film that can form the hydrogen permeation prevention film 140 may be described in detail with reference to the first embodiment of the semiconductor memory device according to the present invention, and the description is omitted.
[0050]
Similarly to the semiconductor memory device according to the first embodiment of the present invention, a buffer film may be selectively interposed between the hydrogen permeation preventive film 140 and the fourth interlayer insulating film 144. The kind and thickness of the material film that can form the buffer film has been described in detail in the first embodiment of the semiconductor memory device according to the present invention, and thus the description thereof is omitted.
[0051]
The first and second embodiments of the semiconductor memory device according to the present invention have been described in detail with reference to the drawings. By the way, in the semiconductor memory device shown in FIGS. 1 and 2, the structure of the conductive plug 120, the interface film 128, and the capacitor C is not shown specifically but is shown only schematically. It is as follows. Therefore, a preferred embodiment of the structure of the conductive plug 120, the interface film 128, and the capacitor C that can be included in the semiconductor memory device according to the present invention will be described in detail with reference to FIGS. The structure of the conductive plug 120, the interface film 128, and the capacitor C shown in FIGS. 3 to 7 is limited to the R section indicated in FIGS. 1 and 2, and in showing the structure of the capacitor C, Side wall profiles are shown without consideration.
[0052]
Of course, various structures of the conductive plug 120, the interface film 128, and the capacitor C described below can be applied to the structure of the semiconductor memory device shown in FIGS.
[0053]
FIG. 3 shows a first embodiment of the conductive plug 120, the interface film 128, and the capacitor C that can be included in the semiconductor memory device according to the present invention.
[0054]
Referring to FIG. 3, a conductive plug 120 a that contacts the impurity implantation region, for example, the source region 104 is formed in the first and second interlayer insulating films 112 and 114 formed on the semiconductor substrate 100. The conductive plug 120a includes a lower plug 200 and an upper plug 202. Preferably, the lower plug 200 is made of a low-resistance material having conductivity, and the upper plug 202 is made of a material having not only conductivity but also oxidation resistance and a thermally stable surface resistance. Therefore, the lower plug 200 is preferably a doped polysilicon film, and the upper plug 202 is preferably a cobalt silicide film. However, the lower plug 200 may be a doped polysilicon film, tungsten film W, tantalum film Ta, ruthenium film Ru, iridium film Ir, platinum film Pt, osmium film Os, tungsten silicide film WSi, tungsten nitride film WN, or a combination thereof. It may consist of a film. The upper plug 202 may be a nickel silicide film, a titanium silicide film, a tantalum silicide film, a chromium silicide film, or a hafnium silicide film. In particular, the thickness of the upper plug 202 can be between 50 mm and 1000 mm, but is preferably between 300 mm and 500 mm.
[0055]
An interface film 128a in which an adhesive film 204 and a diffusion prevention film 206 are sequentially stacked is formed on the second interlayer insulating film 114. A metal oxide film 208 and a heat-resistant metal film are formed on the interface film 128a. A capacitor lower electrode 122a in which 210 is sequentially stacked is formed. A capacitor dielectric film 124a is formed on the capacitor lower electrode 122a, and a capacitor upper electrode 126a is formed on the capacitor dielectric film 124a. The adhesive layer 204 is preferably a material layer that can improve the adhesion between the diffusion barrier layer 206 and the lower layer below it, particularly the second interlayer insulating layer 114. Therefore, the adhesive film 204 is preferably a transition metal film. Further, the diffusion prevention film 206 is preferably a material film that can minimize the reaction between the metal oxide film 208 and the material film formed thereon and the conductive plug 120a. Accordingly, the diffusion barrier layer 206 is preferably a transition metal nitride film or a noble metal film. For example, the adhesive film 204 is preferably a Ti film, and the thickness of the adhesive film 204 is preferably between 20 and 150 mm, for example, about 50 mm. When the diffusion barrier film 206 is a transition metal nitride film, the diffusion barrier film 206 is preferably a TiN film. When the diffusion barrier film 206 is a noble metal, the diffusion barrier film 206 is an Ir film or a Ru film. It is desirable to be. The thickness of the diffusion barrier layer 206 is preferably between 500 mm and 1500 mm, for example, about 1000 mm. However, the material film that can form the adhesive film 204 and the diffusion prevention film 206 is not limited to the Ti film, the TiN film, the Ir film, or the Ru film, but the adhesive film 204 and the diffusion film can be formed by a person having ordinary knowledge in the technical field to which the present invention belongs. Of course, any material film that can be used as the prevention film 206 may be included.
[0056]
The metal oxide film 208 may be formed of a material film that can re-supply oxygen even when oxygen atoms are detached from the capacitor dielectric film 124a provided on the lower electrode 122a to alleviate deterioration of dielectric characteristics of the capacitor dielectric film 124a. desirable. Therefore, the metal oxide film 208 is made of IrO. 2 It is desirable to form with a film. However, the metal oxide 208 film is IrO 2. 2 Membrane, RuO 2 Film, LaSrCoO Three , (Ca, Sr) RuO Three The film may be formed of a film or a combination film thereof. The thickness of the metal oxide film 208 varies depending on the material constituting the metal oxide film 208, but is preferably between 200 and 800 mm. For example, the metal oxide film 208 is made of IrO. 2 In the case of a film, the thickness is desirably about 500 mm.
[0057]
The heat-resistant metal film 210 is preferably made of a material film having good interface characteristics with the capacitor dielectric film 124a. Therefore, the heat resistant metal film 210 is preferably made of a Pt film. However, the refractory metal film 210 may be made of a Pt film, an Ir film, a Ru film, a Rh film, an Os film, a Pa film, or a combination film thereof. Although the thickness of the refractory metal film 210 varies depending on the material constituting the refractory metal film 210, the thickness of the refractory metal film 210 is preferably between 1000 mm and 2000 mm. For example, when the refractory metal film 210 is a Pt film, it is preferably about 1500 mm.
[0058]
The capacitor dielectric film 124a is formed of a capacitor C. 1 To obtain high capacitance of TiO 2 Film, SiO 2 Film, Ta 2 O Five Film, Al 2 O Three Film, SiO 2 / SiN film, BaTiO Three Film, SrTiO Three Film, (Ba, Sr) TiO Three Membrane, Bi Four Ti Three O 12 Membrane, PbTiO Three Film, PZT ((Pb, La) (Zr, Ti) O Three ) Membrane, (SrBi 2 Ta 2 O 9 ) (SBT) film or a combination thereof.
[0059]
The capacitor upper electrode 126a may be a heat resistant metal film, a metal oxide film, or a combination film thereof. However, the capacitor upper electrode 126a is preferably a double film in which a metal oxide film 212 and a heat-resistant metal film 214 are sequentially stacked. At this time, the metal oxide film 212 is IrO 2. 2 The heat resistant metal film 214 is preferably an Ir film. However, the metal oxide film 212 is IrO 2. 2 Membrane, RuO 2 Membrane, IrO 2 Membrane, (Ca, Sr) RuO Three Film, LaSrCoO Three The refractory metal film 214 may be a Pt film, Ir film, Ru film, Rh film, Os film, Pd film, or a combination film thereof. The upper electrode 126a is made of IrO. 2 In the case of a double film in which a film and an Ir film are sequentially stacked, IrO 2 The film thickness is preferably between 100 and 1000 mm, and the Ir film thickness is preferably between 400 and 2000 mm.
[0060]
As described above, when the upper plug 202 of the conductive plug 120a is made of a material film having a thermally stable surface resistance such as a cobalt silicide film, the capacitor C 1 The contact resistance between the conductive plug 120a and the conductive plug 120a is relaxed, and the operation speed of the semiconductor memory device can be improved.
[0061]
FIG. 4 shows a second embodiment of the structure of the conductive plug 120, the interface film 128, and the capacitor C that can be included in the semiconductor memory device according to the present invention.
[0062]
Referring to FIG. 4, a conductive plug 120 b is formed in the first and second interlayer insulating films 112 and 114 on the semiconductor substrate 100 to contact the impurity implantation region, for example, the source region 104. Meanwhile, apart from the conductive plug 120a shown in FIG. 3, the conductive plug 120b shown in FIG. 4 is made of a single material film. The conductive plug 120b is preferably made of a material film having not only conductivity but also oxidation resistance and a thermally stable surface resistance. Therefore, the conductive plug 120b is desirably a cobalt silicide film. However, the conductive plug 120b may be a nickel silicide film, a titanium silicide film, a tantalum silicide film, a hafnium silicide film, or a chromium silicide film.
[0063]
An interface film 128b in which an adhesive film 216 and a diffusion prevention film 218 are sequentially stacked is formed on the conductive plug 120b formed of a single material film. In addition, a capacitor lower electrode 122b in which a metal oxide film 220 and a heat resistant metal film 222 are sequentially stacked is formed on the interface film 128b. A capacitor dielectric film 124b and a capacitor upper electrode 126b are sequentially formed on the capacitor lower electrode 122b. The type, structure, and thickness of the material film that can form the adhesive film 216, the diffusion barrier film 218, the metal oxide film 220, the refractory metal film 222, the capacitor dielectric film 124b, and the capacitor upper electrode 126b are shown in FIG. The adhesive film 204, the diffusion prevention film 206, the metal oxide film 208, the heat-resistant metal film 210, the capacitor dielectric film 124a, and the capacitor upper electrode 126a are substantially the same.
[0064]
As described above, when the conductive plug 120b is formed of a material film having not only conductivity but also oxidation resistance and a thermally stable surface resistance such as a cobalt silicide film, the conductive plug 120b and the capacitor C are formed. 2 The contact resistance between them can be relaxed, and the operation speed of the semiconductor memory device can be improved.
[0065]
FIG. 5 shows a third embodiment of the structure of the conductive plug 120, the interface film 128, and the capacitor C that can be included in the semiconductor memory device according to the present invention.
[0066]
Referring to FIG. 5, in the first and second interlayer insulating films 112 and 114 on the semiconductor substrate 100, a conductive plug 120c made of a single film is formed by contacting an impurity implantation region, for example, the source region 104. Yes. The conductive plug 120c may be formed of the same material layer as the lower plug 200 shown in FIG. For example, the conductive plug 120c is preferably formed of a doped polysilicon film. On the conductive plug 120c and the second interlayer insulating film 114, an interface film 128c in which a conductive film 224, a silicide film 226, and a diffusion barrier film 228 are sequentially stacked is formed. The conductive layer 224 may be substantially the same material layer as the lower plug 200 shown in FIG. For example, the conductive film 224 is preferably a doped polysilicon film. The thickness of the conductive film 224 is preferably between 3000 and 10,000 mm. The silicide layer 226 may be substantially the same material layer as the upper plug 202 shown in FIG. For example, the silicide film 226 is desirably a cobalt silicide film. The thickness of the silicide film 226 is preferably between 300 and 500 mm. The diffusion barrier layer 228 may be substantially the same material layer as the diffusion barrier layer 206 shown in FIG. For example, the diffusion preventing film 228 is desirably an Ir film. The thickness of the diffusion barrier layer 228 is preferably between 300 and 1500 mm.
[0067]
A capacitor lower electrode 122c in which a metal oxide film 230 and a refractory metal film 232 are sequentially stacked is formed on the interface film 128c. A capacitor dielectric layer 124c and a capacitor upper electrode 126c are sequentially formed on the capacitor lower electrode 122c. The type, structure, and thickness of the material film that can form the metal oxide film 230, the heat resistant metal film 232, the capacitor dielectric film 124c, and the capacitor upper electrode 126c are the same as the metal oxide film 208 shown in FIG. The metal film 210, the capacitor dielectric film 124a, and the capacitor upper electrode 126a are substantially the same.
[0068]
As described above, when the interface film 128c includes the silicide film 226 which is not only conductive but also has an oxidation resistance and a thermally stable surface resistance like the cobalt silicide film, the conductive plug 120c and the capacitor C are included. Three The contact resistance between them can be relaxed, and the operation speed of the semiconductor memory device can be improved.
[0069]
FIG. 6 shows a fourth embodiment of the structure of the conductive plug 120, the interface film 128, and the capacitor C that can be included in the semiconductor memory device according to the present invention.
[0070]
Referring to FIG. 6, a conductive plug 120d is formed in the first and second interlayer insulating films 112 and 114 on the semiconductor substrate 100. The conductive plug 120d is made of a single film and contacts the impurity implantation region, for example, the source region 104. Yes. An interface film 128d made of a conductive film is formed on the conductive plug 120d and the second interlayer insulating film 114. The conductive plug 120d and the interface film 128d may be substantially the same material layer as the lower plug 200 shown in FIG. For example, the conductive plug 120d and the interface film 128d are preferably doped polysilicon films. In addition, the thickness of the interface film 128d made of a conductive film is preferably between 3000 and 10,000 mm. A capacitor lower electrode 122d is formed on the interface film 128d. The capacitor lower electrode 122d is formed of a material film that is not only conductive but also has oxidation resistance and a thermally stable surface resistance. A capacitor dielectric film 124d and a capacitor upper electrode 126d are sequentially formed on the capacitor lower electrode 122d. The capacitor lower electrode 122d may be substantially the same material layer as the upper plug 202 shown in FIG. For example, the capacitor lower electrode 122d is preferably a cobalt silicide film. The thickness of the capacitor lower electrode 122d is preferably between 500 and 3000 mm. The type, structure, and thickness of the material film that can form the capacitor dielectric layer 124d and the capacitor upper electrode 126d are substantially the same as those of the capacitor dielectric layer 124a and the capacitor upper electrode 126a shown in FIG.
[0071]
As described above, when the capacitor lower electrode 122d is formed of a material film having not only conductivity but also oxidation resistance and a thermally stable surface resistance such as a cobalt silicide film, the conductive plug 120d and the capacitor C are formed. Four The contact resistance between them can be relaxed, and the operation speed of the semiconductor memory device can be improved.
[0072]
FIG. 7 shows a fifth embodiment of the structure of the conductive plug 120, the interface film 128, and the capacitor C that can be included in the semiconductor memory device according to the present invention.
[0073]
Referring to FIG. 7, a conductive plug 120 e made of a single film is formed in the first and second interlayer insulating films 112 and 114 on the semiconductor substrate 100 to contact the impurity implantation region, for example, the source region 104. Yes. The conductive plug 120e may be substantially the same material layer as the lower plug 200 shown in FIG. For example, the conductive plug 120e is preferably a doped polysilicon film. An interface film 128e in which a silicide film 232 and a diffusion barrier film 234 are sequentially stacked is formed on the conductive plug 120e and the second interlayer insulating film 114. The silicide layer 232 may be substantially the same material layer as the upper plug 202 shown in FIG. For example, the silicide film 232 is desirably a cobalt silicide film. The thickness of the silicide film 232 is preferably between 50 and 1000 mm. The diffusion barrier layer 234 may be substantially the same material layer as the diffusion barrier layer 206 shown in FIG. For example, the diffusion prevention film 234 is preferably an Ir film.
[0074]
A capacitor lower electrode 122e in which a metal oxide film 236 and a refractory metal film 238 are sequentially stacked is formed on the interface film 128e. A capacitor dielectric film 124e and a capacitor upper electrode 126e are sequentially formed on the capacitor lower electrode 122e. The type, structure and thickness of the material film constituting the metal oxide film 236, heat resistant metal film 238, capacitor dielectric film 124e and capacitor upper electrode 126e are the same as the metal oxide film 208 shown in FIG. The metal film 210, the capacitor dielectric film 124a, and the capacitor upper electrode 126a are substantially the same.
[0075]
As described above, when the silicide film 232 having not only conductivity but also oxidation resistance and thermally stable surface resistance is provided in the interface film 128e, the conductive plug 120e and the capacitor C are provided. Five The contact resistance between them can be relaxed, and the operation speed of the semiconductor memory device can be improved.
[0076]
Hereinafter, preferred embodiments of a method of manufacturing a semiconductor memory device according to the present invention will be described in detail with reference to the accompanying drawings.
[0077]
8 to 17 show a first embodiment of a method for manufacturing a semiconductor memory device according to the present invention.
[0078]
Referring to FIG. 8, first, an element isolation film 302 is formed on a semiconductor substrate 300 to define an active region, and then a transistor T is formed on the active region. The isolation layer 302 may be formed by performing a conventional method, for example, a LOCOS (LOCal Oxidation of Silicon) process. Of course, an element isolation film defining an active region may be formed by a trench element isolation method. The transistor T may be a field effect transistor including a gate electrode 308 having a sidewall spacer 304 and a gate insulating film 306 interposed, a drain region 310, and a source region 312.
[0079]
Thereafter, the landing plug 314 and the bit line contact pad 316 are formed using a normal method. That is, a first interlayer insulating film 318 is formed, and a landing plug 314 that contacts the drain region 314 of the transistor is formed in the first interlayer insulating film 318. In other words, a photoetching process is performed to form an opening 315 exposing the impurity implantation region, for example, the drain region 310, and then the opening 315 is filled with a conductive film, for example, a doped polysilicon film. Subsequently, a bit line contact pad 316 is formed on the landing plug 314. That is, after forming a conductive film, for example, a doped polysilicon film on the first interlayer insulating film 318, the bit line contact pad 316 is formed by performing a photo-etching process and patterning the conductive film. . Thereafter, a second interlayer insulating layer 320 is formed on the bit line contact pad 316.
[0080]
The first interlayer insulating film 318 and the second interlayer insulating film 320 are a silicon oxide film, a silicon oxynitride film, a BSG film, a PSG film, a BPSG film, a TEOS film, an ozone-TEOS film, a PE-TEOS film, a USG film, or these Can be a combination membrane. The first interlayer insulating film 318 and the second interlayer insulating film 320 can be formed using a conventional method such as a CVD method, an LPCVD method, or a PECVD method.
[0081]
Subsequently, a contact hole 322 exposing the source region 312 of the transistor T is formed in the first interlayer insulating film 318 and the second interlayer insulating film 320 by performing a photoetching process. At this time, a bit line (not shown) connected to the bit line contact pad 316 is formed.
[0082]
Referring to FIG. 9, the conductive plug 324 is formed by filling the contact hole 322 with a conductive film by a normal method. For example, after the conductive film is formed on the entire surface of the semiconductor substrate 300 using a sputtering method, the upper surface of the conductive film is substantially equal to the upper surface of the second interlayer insulating film 320 using a chemical mechanical polishing method or an etch back method. The conductive plug 324 can be formed by flattening to the same level. The conductive plug 324 is preferably formed of a doped polysilicon film. However, the conductive plug 324 may be doped polysilicon film, tungsten film W, tantalum film Ta, ruthenium film Ru, iridium film Ir, osmium film Os, platinum film Pt, tungsten silicide film WSi, cobalt silicide film CoSi, tungsten. In some cases, the nitride film WN or a combination film thereof may be used.
[0083]
After forming the conductive plug 324 in the contact hole 322 as described above, the entire surface of the semiconductor substrate 300 is precleaned. Thereafter, the natural oxide film formed on the upper surface of the conductive plug 324 is removed. For example, when the conductive plug 324 is a doped polysilicon film, a natural oxide film is formed on the conductive plug 324 in the process of transferring the semiconductor substrate 300 or the pre-cleaning process in order to proceed with subsequent processes. Accordingly, in order to prevent an increase in contact resistance of the semiconductor memory device due to the natural oxide film, a subsequent process is performed after a process of removing the natural oxide film is performed.
[0084]
Specifically, after the pre-cleaning, the entire surface of the dried semiconductor substrate is cleaned using a specific frequency, for example, a radio frequency RF of 13.56 MHz. Then, the natural oxide film formed on the conductive plug 324 is removed. The RF cleaning can be performed by various methods. Argon ions (Ar) accelerated by a strong electric field in the sputtering equipment are used. + ) Is desirable.
[0085]
After the natural oxide film on the conductive plug 324 is removed by performing the cleaning process as described above, a refractory metal film 326 and a surface planarizing film 328 are sequentially formed on the entire surface of the semiconductor substrate 300. The refractory metal film 326 and the surface planarizing film 328 can be formed using a conventional method such as a sputtering method or a CVD method. When the conductive plug 324 is formed of a doped polysilicon film, the refractory metal film 326 has excellent diffusion characteristics in the direction of the conductive plug 324 in the subsequent silicidation process and is silicided in the silicidation process. However, it is desirable that the material film has a stable resistance characteristic at a high temperature, for example, a low sheet resistance. Therefore, the refractory metal film 326 is preferably formed of a cobalt film. However, the refractory metal film 326 can be formed of a nickel film, a titanium film, a tantalum film, a hafnium film, or a chromium film. When the conductive plug 324 is formed of a doped polysilicon film, the refractory metal film 326 functions as a source material film that is silicided in a subsequent silicidation process. Accordingly, when forming the refractory metal film 326, it is desirable to form the refractory metal film 326 with a sufficient thickness in consideration of the silicide film thickness to be formed in the subsequent silicidation process. Accordingly, the refractory metal film 326 can be formed to a thickness of 50 to 200 mm, but preferably about 130 mm.
[0086]
The surface planarization film 328 not only prevents surface roughness from being generated on the refractory metal film 326 in the subsequent silicidation process, but also allows oxygen to pass through the refractory metal film 326 in the subsequent silicidation process. In order to prevent the conductive plug 324 from diffusing. Therefore, it is desirable that the surface planarizing film 328 be formed of the titanium nitride film TiN. Further, the surface planarizing film 328 can be formed to a thickness of 50 to 150 mm, but is preferably formed to a thickness of about 100 mm. The RF cleaning process, the refractory metal film 326 formation process, and the surface planarization film 328 formation process are preferably performed in-situ with the same apparatus in order to reduce the number of manufacturing processes of the semiconductor memory device.
[0087]
Referring to FIG. 10, after forming the refractory metal film (see 326 in FIG. 9) and the surface planarizing film (see 328 in FIG. 9) as described above, the refractory metal film (see 326 in FIG. 9) and A heat treatment process for inducing a silicidation reaction between the conductive plugs 324 is performed. It is desirable that the heat treatment process is configured by a rapid heat treatment method. For example, in order to silicidize the conductive plug 324, a rapid heat treatment process is performed in a nitrogen atmosphere, but it is preferable to carry out at a temperature between 400 ° C. and 1000 ° C., preferably about 480 ° C. for about 90 seconds. Of course, the heat treatment time in the rapid heat treatment process can be changed depending on the silicide film thickness to be formed. As described above, when the heat treatment process proceeds, atoms constituting the refractory metal, such as cobalt atoms, react with atoms constituting the conductive plug 324, such as silicon atoms, in a predetermined ratio. Such a reaction continues until the heat treatment step is completed. After the heat treatment step is finished, a refractory metal silicide film having oxidation resistance is formed on the conductive plug 324. After performing the silicidation process as described above, the surface planarizing film (see 328 in FIG. 9) and the non-silicided refractory metal film (see 326 in FIG. 9) are removed using a wet etching method. For example, the surface planarizing film (see 328 in FIG. 9) and the non-silicided refractory metal film (see 326 in FIG. 9) can be removed using a mixed solution of phosphoric acid and nitric acid. Thereafter, rapid thermal treatment is performed again at about 650 ° C. to stabilize the resultant silicide reaction. For example, the rapid thermal process for stabilizing the reaction can be performed for about 30 seconds in a nitrogen atmosphere.
[0088]
As a result, the contact hole 322 is filled with the lower plug 330 made of a conductive film containing a material constituting the conductive plug 324 and the upper plug 332 made of a refractory metal silicide film. For example, when the conductive plug 324 is made of a doped polysilicon film, a lower plug 330 made of a doped polysilicon film and an upper plug 332 made of a cobalt silicide film are formed in the contact hole 330.
[0089]
Through the series of processes, an upper plug 332 made of a silicide film such as a cobalt silicide film is formed on the conductive plug 324, and the upper plug 332 is used as an ohmic contact layer. The thickness of the upper plug 332 can be between 30 mm and 1000 mm, but is preferably between 300 mm and 500 mm.
[0090]
Referring to FIG. 11, an interface film 334 is formed on the upper plug 332 and the second interlayer insulating film 320. Although not specifically shown, the interface film 334 is preferably formed by sequentially laminating an adhesive film and a diffusion prevention film on the upper plug 232 and the second interlayer insulating film 320.
[0091]
The adhesive film is formed of a material film formed to improve the adhesion between the upper plug 332 and the second interlayer insulating film 320 of the conductive plug 324 and the diffusion prevention film. Therefore, it is desirable to form the adhesive film with a transition metal film, such as a Ti film. The adhesive film thickness will vary depending on the material film to be formed as an adhesive film, but it is desirable to form it with a thickness of about 10 to 200 mm. In the case where the adhesive film is formed of a Ti film, it is desirable to form it with a thickness of about 50 mm.
[0092]
The diffusion barrier layer not only prevents the material film formed above the interface film 334 and the conductive plug 324 formed below the interface film 334 from interacting in the course of subsequent processes, but also in an oxygen atmosphere. Deterioration of the conductive plug 324 due to oxygen diffusion in the subsequent process performed in step S1 is prevented. Therefore, it is desirable that the diffusion barrier film is formed of a material film that can perform such a function. For example, the diffusion prevention film is preferably formed of an Ir film. Of course, the diffusion prevention film is a Ti film, a Ta film, a W film, a Ni film, a Cr film, an Ir film, a Ru film, a nitride film (Nitride) of these (Ti, Ta, W, Ni, Cr, Ir, or Ru), The film may be formed of a brominated film (Boride), a carbide film (Carbide), a silicide film (Silicide), or a combination film thereof. Further, the diffusion prevention film is a Ti-Si-N compound film, a Ti-BN compound film, a Ta-Si-N compound film, a Ta-BN compound film, or a Ta-Al-N compound film. , W—B—N compound film, W—Si—N compound film, Ti—Al compound film, or Ta—Al compound film. The diffusion barrier layer can be formed to have a different thickness depending on the material layer to be formed. When the diffusion preventing film is formed of an Ir film, it is desirable to form it with a thickness of about 1100 mm.
[0093]
After forming the interface film 334, a lower conductive film 336 is formed on the interface film. The lower conductive film 336 is preferably formed by sequentially stacking a metal oxide film and a heat-resistant metal film on the interface film 334.
[0094]
Even if the metal oxide film is an oxide film, the metal oxide film is not only conductive but also a material film that can supply oxygen atoms again even if oxygen atoms are released from the dielectric film 338 formed on the lower conductive film 336 in a subsequent process. It is desirable to form with. Therefore, the metal oxide film is IrO 2 It is desirable to form with a film. However, the metal oxide film is IrO 2 Membrane, RuO 2 Membrane, (Ca, Sr) RuO Three Film, LaSrCoO Three A film or a combination of these can also be formed. The metal oxide film can be formed using a chemical vapor deposition method, an atomic layer deposition method, a physical vapor deposition method, or a laser ablation method. However, the method for forming the metal oxide film may vary depending on the material film to be formed. Metal oxide film is made of IrO 2 When forming as a film, it is desirable to use a sputtering method. The thickness of the metal oxide will vary depending on the material film to be formed, but the metal oxide film can be formed to a thickness of 100 to 1000 mm. Metal oxide film is made of IrO 2 When formed with a film, it is desirable to form it with a thickness of about 500 mm.
[0095]
On the other hand, after the metal oxide film is formed, it is desirable to perform a heat treatment process to crystallize the metal oxide film. The temperature at which the metal oxide film is heat-treated varies depending on the material film to be formed as the metal oxide film. Metal oxide film is made of IrO 2 When it is formed of a film, it is desirable to perform the heat treatment step at about 600 ° C.
[0096]
The refractory metal film is preferably formed of a material film that can induce crystal growth of the dielectric film 338 formed on the lower conductive film 336 in a subsequent process and can grow the dielectric film 338 uniformly. . Therefore, it is desirable to form the heat resistant metal film with a Pt film. However, the refractory metal film may be formed of a Pt film, Ir film, Ru film, Rh film, Os film, Pd film, or a combination film thereof. The refractory metal film can be formed using a chemical vapor deposition method, a physical vapor deposition method, an atomic layer deposition method, a sputtering method, or a laser ablation method. For example, when forming a heat-resistant metal film as a Pt film, it is desirable to form it using a sputtering method. Although the thickness of the refractory metal film varies depending on the material film to be formed, the refractory metal film can be formed to a thickness of 400 to 2500 mm. For example, when the heat-resistant metal film is formed of a Pt film, it is desirable to form it with a thickness of about 1500 mm.
[0097]
After forming the lower conductive film 336, a dielectric film 338 is formed on the lower conductive film 336. The dielectric film 338 is made of TiO. 2 Film, Ta 2 O Five Film Al 2 O Three Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Membrane, PbTiO Three Film, SiO 2 Film, SiN film, (Ba, Sr) TiO Three Film, (Pb, La) (Zr, Ti) O Three Film, Pb (Zr, Ti) O Three Membrane, SrBi 2 Ta 2 O 9 A film or a combination of these films can be used. However, the dielectric film 338 is preferably formed of a high dielectric film or a ferroelectric film in order to further improve the power outage capacity of the capacitor formed in the subsequent process. For example, the dielectric film 338 is preferably formed of a PZT film, a BST film, a PLZT film, or a combination film thereof. Although the dielectric film 338 can be formed by a normal method, the selection of a specific method for forming the dielectric film 338 varies depending on the type of the material film listed as the dielectric film 338 described above. When the dielectric film 338 is formed of a PZT film, it is preferably formed using a sol-gel method. The thickness of the dielectric film 338 may vary depending on the material film to be formed as the dielectric film 338, but the dielectric film 338 is preferably formed to a thickness of 500 to 2000 mm. When the dielectric film 338 is formed of a PZT film, it is desirable to form it with a thickness of about 2000 mm.
[0098]
On the other hand, after the dielectric film 338 is formed, heat treatment is performed in an oxygen atmosphere and at a temperature between 600 ° C. and 900 ° C. When the dielectric film 338 is formed of a PZT film, the heat treatment process is performed at about 750 ° C. As a result, the heat treatment makes the dielectric film 338 dense, improves the power outage capacity of the capacitor, and relaxes the leakage current characteristics of the capacitor. On the other hand, since heat treatment is performed in an oxygen atmosphere, oxygen can diffuse into the conductive plug 324. However, since the upper plug 332 made of the interface film 334 including the diffusion prevention film and the cobalt silicide film is formed on the upper part of the conductive plug 324, the lower plug, which is the lower film of the conductive plug 324, is formed. Oxygen diffusion to 330 is blocked.
[0099]
After forming the dielectric film 338, an upper conductive film 340 is formed on the dielectric film 338. The upper conductive film 340 can be formed of a heat resistant metal film, a metal oxide film, or a combination film thereof. The metal film may be a Pt film, an Ir film, a Ru film, a Rh film, an Os film, or a Pd film, and the metal oxide film may be a RuO film. 2 Membrane, IrO 2 Membrane, (Ca, Sr) RuO Three Membrane or LaSrCoO Three Can be a membrane. The upper conductive film 340 is made of IrO. 2 It is desirable to form a double film in which a film and an Ir film are sequentially stacked. IrO 2 The film re-supplys oxygen atoms when oxygen atoms leave the dielectric film 338. Meanwhile, the thickness of the upper conductive film 340 may vary depending on the material film to be formed, but the upper conductive film 340 is preferably formed to a thickness of 500 to 3000 mm. When the upper conductive film 340 is formed as a double film in which a metal oxide film and a heat-resistant metal film are sequentially stacked, the metal oxide film is formed to a thickness of 100 to 1000 mm. The heat resistant metal film is preferably formed to a thickness of 400 to 2000 mm. The upper conductive film 340 is made of IrO 2 When forming a double film in which a film and an Ir film are sequentially stacked, IrO 2 The film is preferably formed to a thickness of about 300 mm, and the Ir film is preferably formed to a thickness of about 1200 mm.
[0100]
Referring to FIG. 12, the interface film 334, the lower conductive film 336, the dielectric film 338, and the upper conductive film 340 shown in FIG. 11 are patterned to form an interface film pattern 334 ′, a capacitor lower electrode 336 ′, and a capacitor dielectric film 338 ′. The capacitor upper electrode 340 ′ is formed. The patterning step for forming the capacitor C may be performed by one photoetching process or may be performed by two or more photoetching processes. When the capacitor C is formed by two photoetching processes, the upper conductive film 340 is first patterned to form an upper electrode 340 ′. Next, the dielectric film 338, the lower conductive film 336, and the interface film 334 are patterned to form a capacitor dielectric film 338 ′, a lower electrode 336 ′, and an interface film pattern 334 ′. When the capacitor C is formed by three photoetching steps, a separate photoetching step may be performed on each of the upper conductive film 340 / dielectric film 338 and the lower conductive film 336 / interface film 334. In another method, the upper conductive film 340 and the dielectric film 338 may be patterned by a separate photoetching process, and the lower conductive film 336 and the interface film 334 may be patterned by different photoetching processes.
[0101]
Referring to FIGS. 13 and 14, when the capacitor C is formed by performing the photo-etching process twice or three times as described above, the sidewall profile of the capacitor C is a stepped type. It can have the form. FIG. 13 shows a case where the capacitor C is formed by performing two photoetching steps, and FIG. 14 shows a case where the capacitor C is formed by performing three photoetching steps.
[0102]
As described above, after the capacitor C is formed, it is desirable to heat the resultant product at a temperature between 450 ° C. and 600 ° C. and in an oxygen atmosphere. As described above, the heat treatment can stabilize the capacitor, and can recover the damage to the capacitor induced by the etching process performed to form the capacitor. In particular, when the upper plug 332 of the conductive plug 324 is formed of a cobalt silicide film having a surface resistance thermally stable up to 900 ° C., after the metal oxide film and the dielectric film 338 constituting the lower conductive film 336 are formed. Alternatively, contact resistance deterioration between the capacitor C and the lower plug 330 can be more effectively prevented by a high-temperature heat treatment process of 600 ° C. or higher performed after the capacitor C is formed.
[0103]
On the other hand, after the capacitor C is formed as described above, an ILD process, an IMD process, a passivation process, and the like are generally performed. By the way, there is a risk that the dielectric characteristics of the capacitor dielectric film 338 ′ may be deteriorated while such a process is performed. That is, while the ILD process, the IMD process, and the passivation process are performed, a hydrogen source gas, for example, hydrogen gas may be generated to deteriorate the capacitor dielectric film 338 ′. Therefore, in order to protect the capacitor C from the external environment in a process performed after the capacitor C is formed, a functional film surrounding the capacitor C is formed. To this end, the semiconductor memory device manufacturing method according to the present invention provides an encapsulated film EL composed of multiple films enclosing the capacitor C.
[0104]
By the way, it is desirable to form the encapsulating film EL composed of multiple films so as to perform the following functions in order to protect the capacitor C from the external environment. First, it is necessary to prevent volatilization of the capacitor dielectric film 338 ′. That is, when the capacitor dielectric film 338 ′ is formed of a high dielectric film or a ferroelectric film such as a PZT film, a BST film, or a PLZT film, the ferroelectric film must be prevented from volatilizing in the subsequent integration process. Don't be. This is because when the ferroelectric film is volatilized, the capacitor C is deteriorated and an inherent function for storing information by charge accumulation is lost. Second, the encapsulating film EL must not react with the capacitor dielectric film 338. Third, the encapsulated film EL must not react with the capacitor dielectric film 338 ′. Fourth, the encapsulating film EL must be able to prevent the hydrogen source gas from diffusing directly into the capacitor dielectric film 338 ′ in the subsequent integration process. In addition to this, it must be possible to prevent the hydrogen source gas sealed in the interlayer dielectric film ILD film, intermetal dielectric film IMD film or passivation film formed in the subsequent integration process from diffusing into the capacitor dielectric film 338 ′. .
[0105]
In order to satisfy the above-described requirements, the present invention forms an encapsulation film EL including a block king film and a capacitor protection film. Here, the main function of the capacitor protection film is to prevent the hydrogen source gas from diffusing into the capacitor dielectric film 338 ′ in the subsequent integration process. The block king film is formed below the capacitor protection film, and has a function of preventing the material film formed below the block king film from interacting with the capacitor protection film and / or volatilization of the capacitor dielectric film 338 ′. The prevention function is mainly performed. Of course, there are differences between the block king film and the capacitor protective film in the functions mainly performed, but it goes without saying that all the functions listed above are performed. The functions of the block king film and the capacitor protection film are mainly shown in the process of forming the encapsulation film EL or the subsequent integration process that is performed after the capacitor C is formed. Therefore, this will be described in detail later.
[0106]
When the encapsulated film EL is formed of multiple films, the encapsulated film EL can be configured as follows to enclose the capacitor C. For example, in the case of an encapsulated film EL made of a triple film, the capacitor C can be wrapped with an encapsulated film EL laminated in the order of a block king film, a buffer film, and a capacitor protective film. In the case of an encapsulated film EL made of a double film, the capacitor C may be wrapped with an encapsulated film EL laminated with a block king film and a capacitor protective film. As described above, the number of the material films of the encapsulating film C and the structure thereof can be determined in various ways. However, it is desirable to include at least the block king film and the capacitor protective film. Of course, the number of material films to be laminated is determined in consideration of the economics of the encapsulation film EL forming process.
[0107]
Referring to FIG. 15, in the first embodiment of the semiconductor memory device manufacturing method according to the present invention, the encapsulation film EL is formed of a double film. First, a block king film 342 surrounding the capacitor C is formed on the entire surface of the semiconductor substrate 300. Thereafter, a capacitor protection film 344 is formed on the block king film 342. A material film to be formed as the block king film 342 is selected in consideration of the function of the block king film 342. Preferably, the block king film 342 is made of TiO. 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three It can be formed with a film. On the other hand, in selecting a material film to be formed as the block king film 342, it is desirable to select a material film that does not react with the capacitor protection film 344. Therefore, it is desirable that the material film seed for forming the block king film 342 is determined by the material film seed formed as the capacitor dielectric film 338 ′. For example, when the capacitor dielectric film 338 ′ is formed of a high dielectric film or a ferroelectric film such as a PZT film, a BST film, or a PLZT film, TiO 2 is used. 2 It is desirable to form the block king film 342 with a film. The thickness of the block king film 342 is determined in consideration of the function performed by the block king film 342, the physical properties of the material film selected as the block king film 342, and the like. Therefore, it is desirable to form the block king film 342 with a thickness of 50 to 1500 mm.
[0108]
On the other hand, the selection of a specific method for forming the block king film 342 varies depending on the material film species listed above. This is because there is a method that can be easily applied when forming the block king film 342 by using each of the material films listed as material films that can be formed as the block king film 342. Preferably, the block king film 342 may be formed by a chemical vapor deposition method, a physical vapor deposition method, a sputtering method, an atomic layer deposition method, or a laser ablation method. It can be formed using a laser ablation. However, the block king film 342 is made of TiO. 2 In the case of forming with a film, it is more desirable to use a sputtering method. Of course, methods other than the sputtering method can be used.
[0109]
TiO using sputtering method 2 When the film is formed as the block king film 342, titanium metal, argon gas, and oxygen gas can be used as the target material, sputtering gas, and reaction gas, respectively. The process conditions can be set as follows. For example, as an apparatus for forming the block king film 342, D.I. When using C sputtering equipment, power between 1 kW and 6 kW can be applied, but it is preferably about 6 kW. The temperature of the chamber can be between 25 ° C. and 700 ° C., but is preferably about 630 ° C. The pressure in the chamber can be adjusted between 1 mtorr and 5 mtorr, but is preferably adjusted to about 1 mtorr. Further, the flow rates of the argon gas and the oxygen gas can be adjusted between 8 sccm and 14 sccm, respectively, but are preferably adjusted to about 10 sccm.
[0110]
The material film formed as the capacitor protection film 344 is selected in consideration of the function performed by the capacitor protection film 344. Preferably, the capacitor protection film 344 is made of TiO. 2 Film, Ta 2 O Five Film, Al 2 O Three Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Film or PbTiO Three It can be formed with a film. Here, the type of the material film formed as the capacitor protection film 344 may vary depending on the type of the material film formed as the capacitor dielectric film 338 ′ and the type of the material film formed as the block king film 342. For example, it is desirable not to form the capacitor protective film 344 with the blocking film 342 and the reactive material film. Further, it is desirable to form the capacitor protection film 344 with a material film different from the block king film 342. Al from the material film 2 O Three It is more desirable to form the capacitor protective film 344 with a film. On the other hand, the thickness of the capacitor protection film 344 is determined in consideration of the function performed by the capacitor protection film 344, the physical properties of the material film selected as the capacitor protection film 344, and the like. Preferably, the capacitor protective film 344 is formed to a thickness of 50 to 5000 mm. However, the capacitor protection film 344 is more preferably formed to a thickness of 50 to 1500 mm. On the other hand, when the capacitor protective film 344 is 1500 mm or more, the capacitor protective film 344 can be used as an interlayer insulating film. Therefore, the subsequent ILD process may not be performed.
[0111]
The selection of a specific method for forming the capacitor protection layer 344 may vary depending on the material film species listed above. The reason for this has already been explained while explaining the step of forming the block king film 342. Preferably, the capacitor protective layer 344 is formed by a chemical vapor deposition method, a physical vapor deposition method, a sputtering method, an atomic layer deposition method, or a laser ablation method. Can be formed.
[0112]
However, it is more desirable to form the capacitor protective film 344 using an atomic layer deposition method. This is because the atomic layer deposition method has the following process advantages. That is, the atomic layer deposition method can perform the process at a low temperature. Then, the capacitor protective film 344 that is physically and chemically very stable can be formed. Therefore, the function of the capacitor protective film 344 that has already been described can be enhanced. Further, when the capacitor protective film 344 is formed, the film thickness can be accurately controlled because it is repeatedly formed in units of one atomic layer. At the same time, the capacitor protection film 344 can be formed to have 100% step coverage no matter how complicated the topology of the deposition surface on which the capacitor protection film 344 is deposited.
[0113]
As the capacitor protective film 344 using the atomic layer deposition method, Al 2 O Three When forming a film, first, an aluminum source gas is allowed to flow over the semiconductor substrate loaded in the chamber of the atomic layer deposition apparatus. As the aluminum source gas, TMA (TriMethyl Aluminum), DMAH (DiMethyl Aluminum Hydride), DMEAA (DiMethyl Ethyl Amine Alane), TIBA (TriIsoButy Aluminum), or a combination gas thereof can be used. The flowed aluminum source gas is chemically or physically adsorbed on the entire surface of the semiconductor substrate. Thereafter, the gas remaining in the chamber is removed, and the upper part of the semiconductor substrate is purged with an inert gas to remove the physically adsorbed aluminum source gas. Inert gas is Ar gas, N 2 Gas, N 2 O gas or a combination gas thereof can be used. Subsequently, an oxygen source gas is allowed to flow over the semiconductor substrate. H as the oxygen source gas 2 O gas, N 2 O gas, O Three A gas or a combination of these can be used. Since the reaction between the aluminum source gas and the oxygen source gas occurs only on the upper surface of the semiconductor substrate on which the aluminum source gas is adsorbed, a thin film of one atomic level is formed. Thereafter, the remaining oxygen source gas is removed from the chamber, and then the inert gas is purged to remove the oxygen source gas physically adsorbed on the upper surface of the semiconductor substrate. The types of gases that can be used as the inert gas have already been described. When a thin film of one atomic level is formed through the above process, one cycle of the atomic layer deposition method is completed. When the capacitor protective film 344 is formed to a predetermined thickness, for example, 100 mm, the atomic layer deposition method cycle is repeated until a desired film thickness is obtained.
[0114]
Al as the capacitor protective film 344 2 O Three Desirable process conditions for forming a film using an atomic layer deposition method are as follows. That is, Al 2 O Three The film deposition temperature can be between 150 ° C. and 500 ° C. based on the wafer temperature, but is preferably about 300 ° C. The pulsing time of the aluminum source gas can be 0.1 second to 2 seconds, but is preferably about 1 second. The purge time of the inert gas for removing the physically adsorbed aluminum source gas can be 0.1 to 10 seconds, but is preferably about 5 seconds. The pulsing time of the oxygen source gas can be 0.1 to 20 seconds, but is preferably about 0.2 seconds. At the same time, the purge time of the inert gas for removing the physically adsorbed oxygen source gas can be 0.1 to 20 seconds, but is preferably about 6 seconds.
[0115]
On the other hand, in order to further improve the function of the encapsulating film EL, a heat treatment step can be performed after the block king film 342 is formed and / or after the capacitor protection film 344 is formed.
[0116]
Specifically, after the block king film 342 is formed, a heat treatment process in an oxygen atmosphere can be selectively performed to enhance the insulating characteristics of the block king film 342. Preferably, the heat treatment process is performed at 600 ° C. or lower. This is because oxygen may diffuse into the conductive plug 324 when the block king film 342 is heat-treated at a high temperature, for example, 600 ° C. or higher. More preferably, the heat treatment process is performed between 400 ° C. and 600 ° C.
[0117]
In some cases, after the capacitor protective film 344 is formed, a heat treatment process in an oxygen atmosphere is optionally performed in order to enhance the insulating characteristics of the capacitor protective film 344. Preferably, the heat treatment process is performed at 600 ° C. or lower. More preferably, the heat treatment process is performed at a temperature between 400 ° C. and 600 ° C.
[0118]
On the other hand, in some cases, a high-temperature heat treatment step of 600 ° C. or higher may be performed after the capacitor protective film 344 is formed. This is because oxygen is not easily diffused into the conductive plug 324 because the encapsulation film EL is formed. In particular, when the capacitor protection film 344 is formed by a method other than the atomic layer deposition method, it may be desirable to perform a high temperature heat treatment process after the capacitor protection film 344 is formed. This is because the capacitor protective film 344 formed by the atomic layer deposition method can perform the function as the capacitor protective film 344 without proceeding the heat treatment at a high temperature because the film quality is very stable. This is because in the case of the formed capacitor protective film 344, it is necessary to reinforce the insulating characteristics through a high-temperature heat treatment process at 600 ° C. or higher. In particular, when the block king film 342 is formed and the heat treatment process is not progressing, and the capacitor protection film 344 is not formed by the atomic layer deposition method, it is desirable to perform a high temperature heat treatment process at 600 ° C. or higher. . On the other hand, since the capacitor protective film 344 formed by the atomic layer deposition method has a stable film quality, oxygen can be more reliably prevented from diffusing into the conductive plug 324 during the heat treatment process. Therefore, the process margin in the heat treatment stage of the capacitor protection film 344 can be further increased.
[0119]
When the capacitor C is encapsulated with the encapsulation film EL as described above, it is possible to prevent the capacitor C from being deteriorated in a subsequent process. This will be specifically described below.
[0120]
Referring to FIG. 16, the ILD process proceeds after the encapsulation film EL is formed. That is, the third interlayer insulating film 346 is formed on the entire surface of the semiconductor substrate 300. The third interlayer insulating film 346 may be a silicon oxide film, a silicon oxynitride film, a BSG film, a PSG film, a BPSG, a TEOS film, an ozone-TEOS film, a PE-TEOS film, a USG film, or a combination film thereof.
[0121]
For example, when the third interlayer insulating film 346 is formed as a silicon oxide film using a chemical vapor deposition method, silane gas and oxygen gas are used as reaction gases. By the way, there are cases where hydrogen is derived as a by-product as a result of the reaction between the silane gas and the oxygen gas and degrades the capacitor dielectric film 338 ′. However, according to the present invention, since the capacitor C is covered with the encapsulating film EL composed of multiple films, it is possible to prevent hydrogen from diffusing into the capacitor C in the ILD process. Among the material films constituting the encapsulating film EL, the capacitor protective film 344 mainly performs the hydrogen blocking function. Of course, the block king film 342 also performs the hydrogen blocking function to some extent.
[0122]
Subsequently, the metal process proceeds. That is, first, the third interlayer insulating film 346, the capacitor protection film 344, and the block king film 342 are patterned by a normal method to form a contact hole 348 exposing a part of the capacitor upper electrode 340 ′. The third interlayer insulating layer 346 may be patterned by a Fluorine-based wet etching method or a dry etching method. The capacitor protective film 344 and the block king film 342 are made of argon and CF. Four Patterning can be performed using a reactive ion etching method in an atmosphere. After forming the contact hole 348, the upper electrode metal contact 350 is formed. After the contact hole 348 is formed, a recovery heat treatment process (Recovery annealing) may be performed. The recovery heat treatment process can be performed in an oxygen atmosphere at a temperature between 450 ° C. and 500 ° C., for example. Although not shown in FIG. 16, when the upper electrode metal contact 350 is formed, the lower electrode metal contact may be formed together.
[0123]
Referring to FIG. 17, after forming the upper electrode metal contact 350, a passivation process is performed to form a passivation film 352. The passivation film 352 can be formed of a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or a combination film thereof. However, the passivation film 352 is preferably formed of a silicon nitride film or a silicon oxynitride film. The thickness of the passivation film 352 is usually between 2000 and 20000. The passivation film 352 can be formed using a chemical vapor deposition method, a physical vapor deposition method, an atomic layer deposition method, a sputtering method, or a laser ablation method. However, it is desirable to form the passivation film 352 using a PECVD method.
[0124]
In the case where the passivation film 352 is formed as a silicon nitride film using the PECVD method, the RF power can be 300 to 600 W, but is preferably about 400 W. The pressure in the reaction chamber can be between 1 and 15 torr, but is preferably on the order of 5 torr. The temperature in the reaction chamber can be between 150 ° C. and 500 ° C., but is preferably about 300 ° C. Silane gas (SiH) used as reaction gas Four ) Can be between 50 and 500 sccm, but is preferably about 150 sccm. Ammonia (NH Three ) The gas supply flow rate can be between 20 and 200 sccm, but is preferably about 40 sccm.
[0125]
When the passivation film 352 is formed as a silicon oxynitride film using the PECVD method, the RF power, the pressure in the reaction chamber, and the temperature in the reaction chamber are the same as those in the case where the passivation film 352 is formed of the silicon nitride film using the PECVD method. Is substantially the same. However, silane gas (SiH) used as a reaction gas Four ) Can be between 10 and 200 sccm, but is preferably about 50 sccm. Ammonia (NH Three ) The gas supply flow rate may be between 20 and 500 sccm, but is preferably about 150 sccm. N used as reaction gas 2 The supply flow rate of O gas can be between 20 and 500 sccm, but is preferably about 150 sccm.
[0126]
On the other hand, in the process of forming the passivation film 352, the hydrogen source gas may permeate the capacitor C as in the ILD process. However, the capacitor protection film 344 blocks the penetration of the hydrogen source gas into the capacitor C. As a result, deterioration of the capacitor C is prevented even during the process of the passivation process. Of course, the block king film 342 can also block the penetration of the capacitor C of the hydrogen source gas to a certain extent.
[0127]
Meanwhile, a part of the encapsulation film EL formed on the capacitor upper electrode 340 ′ is removed in the process of forming the contact hole 348 to form the upper electrode metal contact 350. did. Therefore, the hydrogen source gas may permeate into the capacitor upper electrode 340 ′ where the encapsulated film EL is removed in the passivation process performed after the metal contact formation process. In addition, since the hydrogen source gas is sealed in the passivation film 352 itself, the sealed hydrogen source gas diffuses in the direction of the capacitor C even after the passivation process is finished, and deteriorates the capacitor dielectric film 338 ′. There is also a case to let you. Therefore, the hydrogen permeation preventive film 354 can be selectively formed before the passivation process is performed in order to more completely prevent the capacitor dielectric film 338 ′ from being deteriorated due to hydrogen permeation in the passivation process. The hydrogen permeation preventive film 354 prevents the hydrogen source gas induced in the subsequent passivation film 352 formation process from diffusing in the capacitor C direction and degrading the capacitor dielectric film 338 ′.
[0128]
The hydrogen permeation preventive film 354 performs substantially the same function as the capacitor protective film 344 constituting the encapsulated film EL. Accordingly, the physical, chemical, and crystallographic properties that the material film formed of the hydrogen permeation prevention film 354 should have are substantially the same as the material film formed as the capacitor protection film 344. The hydrogen permeation preventive film 354 is made of Al. 2 O Three TiO 2 Film, Ta 2 O Five Film, BaTiO Three Film, SrTiO Three Membrane, Bi Four Ti Three O 12 Membrane, PbTiO Three A film or a combination of these films can be used. However, the hydrogen permeation prevention film 354 is made of Al. 2 O Three It is desirable to form with a film. The hydrogen permeation preventive film 354 can be formed using a chemical vapor deposition method, a physical vapor deposition method, a sputtering method, an atomic layer vapor deposition method, or a laser ablation method, which are conventional methods. However, the hydrogen permeation prevention film 354 is preferably formed by an atomic layer deposition method. The advantages obtained when the hydrogen permeation prevention film 354 is formed by the atomic layer deposition method are substantially the same as the advantages obtained when the capacitor protection film 344 is formed by the atomic layer deposition method. Desirable process conditions when the hydrogen permeation preventive film 354 is formed by an atomic layer deposition method are substantially the same as desirable process conditions applicable when the capacitor protection film 344 is formed by an atomic layer deposition method.
[0129]
The hydrogen permeation preventive film 354 may be formed to a thickness of 50 to 20000 mm, but preferably 200 to 300 mm.
[0130]
On the other hand, although not shown, a buffer film made of an oxide film may be selectively formed before the hydrogen permeation prevention film 354 is formed. The buffer film can be formed using an atmospheric pressure CVD method or a PECVD method. For example, when the buffer film is formed of an oxide film by an atmospheric pressure CVD method, the buffer film can be formed of an ozone-TEOS film, a PSG film, or a BPSG film. When the buffer film is formed as an oxide film by a PECVD method, the buffer film is a PE-TEOS film or PE-SiH. Four It can be formed with a film.
[0131]
Although a PE-CVD method is used, it is desirable to form a buffer film on the basis of silane gas or TEOS gas as a reaction gas. When the buffer film is formed as a PE-TEOS film using the PE-CVD method, the RF power can be between 100 W and 500 W, but is preferably 200 W. The pressure in the reaction chamber can be between 1 and 15 torr, but is preferably 5 torr. The temperature of the reaction chamber can be between 150 and 450 ° C, but is preferably 300 ° C.
[0132]
As described with reference to FIGS. 8 and 17, when the ILD process and the passivation process are performed after the capacitor C is encapsulated with the encapsulation film EL, the deterioration of the capacitor dielectric film 338 ′ due to the hydrogen source gas can be prevented. At the same time, when the hydrogen permeation prevention film 354 is additionally formed before the passivation process is performed, the capacitor dielectric film 338 ′ is deteriorated in the integration process of the semiconductor memory device performed after the capacitor C is formed. Can be more completely prevented.
[0133]
In the second embodiment of the method for manufacturing a semiconductor memory device according to the present invention, a conductive plug (see 324 in FIG. 9) is formed of doped polysilicon, and the conductive plug (see 324 in FIG. 9) is formed in a subsequent silicidation heat treatment process. Excluding only the point that the entire structure is silicided, substantially the same process steps as in the first embodiment of the semiconductor memory device manufacturing method according to the present invention are performed.
[0134]
In the second embodiment, since the entire conductive plug (see 324 in FIG. 9) must be silicided, a refractory metal film (see 326 in FIG. 9) used as a source material film in the silicidation heat treatment step is used. It is desirable to form it thicker than in the embodiment. Therefore, it is desirable that the refractory metal film (see 326 in FIG. 9) is formed to a thickness of 130 mm or more so that the refractory metal film can remain after the silicidation heat treatment process. The heat treatment process for silicidating the entire conductive plug (see 324 in FIG. 9) proceeds under substantially the same process conditions as in the first embodiment.
[0135]
In the third embodiment of the semiconductor memory device manufacturing method according to the present invention, a refractory metal silicide film, for example, a cobalt silicide film, is not formed on the upper plug (see 332 in FIG. 10) separately from the first embodiment. And formed in the interface film (see 334 in FIG. 11).
[0136]
Referring to FIG. 18, a conductive plug 324 is formed in the first and second interlayer insulating films 318 and 320 through substantially the same process steps as in the first embodiment. The conductive plug 324 may be formed of substantially the same material layer as the lower plug 330 shown in FIG. For example, the conductive plug 324 is preferably formed of a doped polysilicon film. Thereafter, a conductive film 356, a refractory metal film 358, and a surface planarizing film 360 are sequentially formed on the conductive plug 324 and the second interlayer insulating film 320. The conductive layer 356 may be formed of substantially the same material layer as the lower plug 330 shown in FIG. For example, the conductive film 356 is formed of a doped polysilicon film, and is preferably formed to a thickness of 3000 to 10,000 mm. The refractory metal film 358 may be formed of a material film that is substantially the same as the refractory metal film 326 shown in FIG. For example, the refractory metal film 358 is formed of a cobalt film, but is preferably formed to a thickness of 50 to 200 mm. The surface planarization film 360 may be formed of substantially the same material film as the surface planarization film 328 shown in FIG. For example, the surface planarization film 360 is formed of a titanium nitride film, but is preferably formed to a thickness of 50 to 150 mm.
[0137]
On the other hand, when the conductive film 356 is formed from a doped polysilicon film, a natural oxide film is formed on the upper surface of the conductive film 356. Therefore, it is desirable to remove the natural oxide film formed on the conductive film 356 before the refractory metal film 358 is formed. Since the method for removing the natural oxide film has been described in detail with reference to the first embodiment of the method for fabricating a semiconductor memory device according to the present invention, a description thereof will be omitted.
[0138]
Referring to FIG. 19, a conductive film 356, a refractory metal film 358, and a surface planarization film 360 are sequentially formed, and then a silicidation heat treatment process is performed to change the upper portion of the conductive film 356 into a silicide film 362. When the refractory metal film 358 is formed of a cobalt film, the upper portion of the conductive film 356 is changed to a cobalt silicide film in the course of the silicidation heat treatment process. The silicidation heat treatment process is substantially the same as the silicidation heat treatment process performed in the process of forming the upper plug 332 shown in FIG.
[0139]
After the silicidation heat treatment process of the conductive plug 356, the unreacted refractory metal film 358 and the unreacted surface planarizing film 360 are removed. The method of removing the unreacted refractory metal film 358 and the unreacted surface planarizing film 360 is substantially the same as that of the first embodiment for the method of manufacturing a semiconductor memory device according to the present invention.
[0140]
After removing the unreacted refractory metal film 358 and the unreacted surface planarizing film 360 as described above, a diffusion prevention film (not shown) is formed on the silicide film 362. By the way, the process steps proceeding from the step of forming a diffusion barrier film (not shown) are substantially the same as those in the first embodiment of the method of manufacturing a semiconductor memory device according to the present invention, so that the description thereof is omitted.
[0141]
On the other hand, in the above, the conductive plug 324 and the conductive film 356 are formed through separate processes. However, the conductive plug 324 and the conductive film 356 may be formed in one process in order to reduce the number of process steps. For example, after doping polysilicon is formed on the contact hole 322 and the second interlayer insulating film 320, doping is performed such that the doped polysilicon film remains on the upper surface of the second interlayer insulating film 320 at a predetermined height. Flatten the top surface of the deposited polysilicon. Then, the conductive plug 324 and the conductive film 356 can be formed in a single process.
[0142]
The fourth embodiment of the method for fabricating a semiconductor memory device according to the present invention has substantially the same process steps as those of the third embodiment except that the diffusion preventing film forming step and the lower conductive film forming step are omitted. The In other words, the silicide film (for example, cobalt silicide film) formed in the fourth embodiment of the semiconductor memory device manufacturing method according to the present invention is used not only as a diffusion prevention film but also as a capacitor lower electrode.
[0143]
On the other hand, in the fourth embodiment of the semiconductor memory device manufacturing method according to the present invention, since the silicide film (eg, cobalt silicide film) formed in the silicidation heat treatment step is used in the capacitor lower electrode, silicon in the silicidation heat treatment step is used. The conductive film used as a source (see 356 in FIG. 18) is preferably formed to a sufficient thickness. Therefore, the conductive film (refer to 356 in FIG. 18) is preferably formed to a thickness of 3000 to 10,000 inches. Further, it is preferable that the silicidation heat treatment process proceeds so that a silicide film (see 362 in FIG. 19) formed through the silicidation heat treatment process is formed to a thickness of 3000 to 10,000 mm.
[0144]
In the fifth embodiment of the method for fabricating a semiconductor memory device according to the present invention, a silicide film and a diffusion barrier film are sequentially formed on the conductive plug and the second interlayer insulating film before forming the lower conductive film. Except for the point that the silicide film is directly formed by a CVD method or a sputtering method, the silicide film is processed by substantially the same process steps as in the third embodiment. The silicide film is preferably formed of a material film substantially the same as the upper plug 332 shown in FIG. 10, and preferably has a thickness of 50 to 1000 mm. The diffusion barrier layer may be formed of a material layer that is substantially the same as the diffusion barrier layer included in the interface layer 334 shown in FIG.
[0145]
Referring to FIG. 20, the sixth embodiment of the method for fabricating a semiconductor memory device according to the present invention is substantially the same as the first embodiment until the formation of the conductive plug 324 including the lower plug 330 and the upper plug 332. Identical process steps proceed.
[0146]
Subsequently, an interface film pattern 364 and a capacitor lower electrode 366 are formed for each unit cell on the upper plug 332 of the conductive plug 324. Specifically, an interface film and a lower conductive film are sequentially formed on the upper plug 332 and the second interlayer insulating film 320. The interface film and the lower conductive film are substantially the same as the interface film 334 and the lower conductive film 336 shown in FIG. Thereafter, an interface film pattern 364 and a capacitor lower electrode 366 are formed by performing a photoetching process to pattern the interface film and the lower conductive film.
[0147]
After the interface film pattern 364 and the capacitor lower electrode 366 are formed as described above, the third interlayer insulating film 368 is formed on the entire surface of the semiconductor substrate 300 by using an ordinary method, for example, a PECVD method. The type of material film that can be formed as the third interlayer insulating film 368 is substantially the same as the type of material film that can form the first interlayer insulating film 318. Thereafter, a photoetching process is performed to form an opening 370 exposing the upper surface of the capacitor lower electrode 366 in the third interlayer insulating film 368. Then, a diffusion preventing spacer 372 is formed on the side wall of the opening 370 using a conventional method. The diffusion prevention spacer 372 may be formed of substantially the same material layer as the capacitor protection layer 342 shown in FIG. For example, the diffusion prevention spacer 372 is ALD-Al. 2 O Three It is desirable to form with a film. After the diffusion prevention spacer 372 is formed, a heat treatment process can be selectively performed at a temperature between 400 ° C. and 600 ° C. and an oxygen atmosphere in order to stabilize the film quality of the diffusion prevention spacer 372 and improve its function. A capacitor dielectric film 374 is formed in the opening 370 by using an ordinary method such as a sol-gel method. The capacitor dielectric layer 374 may be formed of the same material layer as the capacitor dielectric layer 338 ′ of FIG. After the capacitor dielectric film 374 is formed, a heat treatment process can be selectively performed at a temperature of 600 to 800 ° C. and an oxygen atmosphere in order to enhance the dielectric characteristics of the capacitor dielectric film 374. A capacitor upper electrode 376 is formed on the capacitor dielectric layer 374. The capacitor upper electrode 376 may be formed by forming an upper conductive film on the entire surface of the semiconductor substrate using a conventional method, for example, a sputtering method, and then patterning the upper conductive film by performing a photoetching process. The type, thickness, configuration, and formation method of the material film that can form the upper conductive layer are substantially the same as those of the upper conductive layer 340 shown in FIG. Thereafter, an encapsulated film EL ′ that directly wraps the portion of the upper surface of the capacitor dielectric film 374 where the capacitor upper electrode 376 is not formed and the surface of the capacitor upper electrode 376 is formed. The encapsulated film EL ′ is preferably formed of multiple films like the encapsulated film EL shown in FIG. The encapsulation film EL ′ is preferably formed so as to include at least the block king film 378 and the capacitor protection film 380. The kind of material film that can be formed by the block king film 378 and the capacitor protection film 380, the thickness of the material film, and the formation method thereof are substantially the same as those of the block king film 342 and the capacitor protection film 344 of FIG. . A heat treatment process in an oxygen atmosphere can be performed before the capacitor block king film 342 is formed and / or after the capacitor protection film 380 is formed. The heat treatment process can be performed under substantially the same process conditions as those of the first embodiment of the semiconductor memory device manufacturing method according to the present invention.
[0148]
After forming the encapsulation film EL ′, an ILD process is performed to form a fourth interlayer insulating film 382 on the entire surface of the semiconductor substrate 300. The kind of material film that can form the fourth interlayer insulating film 382 is substantially the same as that of the first interlayer insulating film 318. Thereafter, the metal process proceeds to form an upper electrode metal contact 384 that penetrates the fourth interlayer insulating film 382 and contacts the capacitor upper electrode 376. Although not shown, a lower electrode metal contact can be formed in this process. Thereafter, a passivation film 386 is formed on the entire surface of the semiconductor substrate 300. The type, thickness, configuration, and formation method of the material film that can be formed by the passivation film 386 are substantially the same as those of the passivation film 352 shown in FIG.
[0149]
In the sixth embodiment of the semiconductor memory device manufacturing method according to the present invention, the ILD process and the passivation process are performed in order to proceed with the subsequent process after the capacitor dielectric film 374 is directly wrapped with the diffusion preventing spacer 372 and the encapsulation film EL ′. It is possible to prevent the capacitor dielectric film 374 from being deteriorated by the hydrogen source gas induced in the process or the like.
[0150]
On the other hand, in the sixth embodiment of the semiconductor memory device manufacturing method according to the present invention, the hydrogen permeation preventive film 388 is selectively formed on the entire surface of the semiconductor substrate 300 before the passivation process, as in the first embodiment. Can be formed. Although not shown, a buffer film may be selectively formed on the entire surface of the semiconductor substrate 300 before the hydrogen permeation prevention film 388 is formed. The type, thickness, configuration and formation method of the material film that can be formed by the hydrogen permeation prevention film 388 and the buffer film are substantially the same as those in the first embodiment. If the buffer film and / or the hydrogen permeation prevention film 388 is formed before performing the passivation process as described above, the hydrogen source gas induced by the passivation process passes through the portion where the upper electrode metal contact 384 is formed. Diffusion to the dielectric film 374 can be more completely blocked.
[0151]
In the seventh embodiment of the method for manufacturing a semiconductor memory device according to the present invention, the entire conductive plug 324 formed in the contact hole 322 formed in the first and second interlayer insulating films 318 and 320 is made of a refractory metal silicide. Form with a film. Thereafter, the process steps proceed substantially the same as in the sixth embodiment. Since the method of forming a refractory metal silicide film in the contact hole 322 formed in the first and second interlayer insulating films 318 and 320 has already been described in the second embodiment, it is omitted here.
[0152]
In an eighth embodiment of the method for fabricating a semiconductor memory device according to the present invention, a conductive film made of a single film, for example, doped polysilicon, is formed in the contact hole 322 formed in the first and second interlayer insulating films 318 and 320. Excluding the point that only the conductive plug 324 is formed and the interface film pattern 364 is formed so as to be a 3 middle film pattern in which the conductive film pattern / silicide film pattern / diffusion prevention film pattern is sequentially laminated, Substantially the same process steps as in the sixth embodiment are performed.
[0153]
In order to form the interface film pattern 364 as a triple film pattern as described above, first, a conductive film, a silicide film, and a diffusion prevention film are sequentially formed on the conductive plug 324 and the second interlayer insulating film 320. By the way, the method of sequentially forming the conductive film, the silicide film, and the diffusion preventing film is substantially the same as that in the third embodiment. The type and thickness of the material film to be formed as the conductive film, silicide film, and diffusion preventing film are substantially the same as those in the third embodiment.
[0154]
The ninth embodiment of the method for fabricating a semiconductor memory device according to the present invention is conductive in a single film, for example, a doped polysilicon film, in the contact hole 322 formed in the first and second interlayer insulating films 318 and 320. Until the plug 324 is formed, substantially the same process steps as in the seventh embodiment are performed. Thereafter, a doped polysilicon film and a silicide film are formed on the conductive plug 324 and the second interlayer insulating film 320 by the method used in the fourth embodiment. Thereafter, the silicide film and the doped polysilicon film are patterned by the capacitor lower electrode 366 and the interface film pattern 364 by performing the photoetching process. After the capacitor lower electrode 366 is formed, substantially the same process steps as those in the sixth embodiment are performed.
[0155]
The tenth embodiment of the method for manufacturing a memory device according to the present invention includes a conductive film made of a single film, for example, a doped polysilicon film, in the contact hole 322 formed in the first and second interlayer insulating films 318 and 320. Until the step of forming the conductive plug 324, substantially the same process steps as in the seventh embodiment are performed. Thereafter, a silicide film and a diffusion prevention film are sequentially formed on the conductive plug 324 and the second interlayer insulating film 320. Thereafter, an interface film pattern 364 is formed by patterning the silicide film and the diffusion barrier film by performing a photoetching process. After the interface film pattern 364 is formed, substantially the same process steps as in the sixth embodiment of the semiconductor memory device manufacturing method according to the present invention are performed.
[0156]
Hereinafter, it will be described through an experimental example that when the capacitor C is encapsulated with an encapsulated film EL made of multiple films, the capacitor C is not deteriorated by the hydrogen source gas generated in the ILD process and the passivation process. Specimen 1S for this purpose 1 Was formed under the following conditions. After that, specimen 1S 1 The polarization hysteresis and the leakage current of the capacitor were measured while applying a voltage of −5 to 5 volts to the capacitor of FIG. 21 and the results are shown in FIGS. 21 and 22, respectively.
[0157]
Specimen 1S 1 The production process is as follows. First, a ferroelectric process was formed on a semiconductor substrate to form a ferroelectric capacitor. The area of the capacitor is 1.44 × 10 -6 cm 2 The capacitor dielectric film has a thickness of 2000 mm as a PZT film. The upper electrode of the capacitor is made of an Ir film and IrO 2 The film is a double film with a thickness of 1200 mm and 300 mm respectively, and the capacitor lower electrode is made of a Pt film and an IrO film. 2 Double membrane with membrane, 1500 mm and 500 mm respectively.
[0158]
Then, the encapsulated film was formed of a double film. That is, the block king film is formed by using a sputtering method with TiO. 2 A film was formed to a thickness of 1000 mm. Then, it heat-processed for 30 minutes in oxygen atmosphere and 450 degreeC. Capacitor protective film is made of Al using atomic layer deposition method. 2 O Three A film was formed to a thickness of 120 mm.
[0159]
Subsequently, an ILD process for inducing a hydrogen source gas was performed to form an interlayer insulating film on the entire surface of the semiconductor substrate on which the capacitor was formed. Subsequently, a contact hole exposing a part of the upper electrode and the lower electrode was formed. In order to recover the damage that occurred while forming the contact hole, the specimen 1S for 30 minutes in an oxygen atmosphere and 450 ° C. 1 Was heat treated. Thereafter, an upper electrode metal contact and a lower electrode metal contact were formed.
[0160]
Referring to FIG. 21, TiO 2 Film / Al 2 O Three The ILD process was performed after forming an encapsulated film composed of a film, but the residual polarization value was 25 μC / cm. 2 It can be seen that the original value is almost maintained as it is. This experimental result shows that the encapsulated film prevented the deterioration of the capacitor dielectric film.
[0161]
Referring to FIG. 22, the leakage current of the capacitor is about 10 between about 1 and 4 volts. -Ten It can be confirmed that it has an amperage value. Therefore, it can be confirmed that the capacitor leakage current shows a stable distribution within the operating voltage of the semiconductor memory device. That is, this experimental result also shows that the encapsulated film prevents deterioration of the capacitor dielectric film.
[0162]
Next, specimen 2S 2 And specimen 3S Three And additional sample 1S 1 A comparative experiment was conducted. Specimen 1S for convenience of comparison 1 TiO used as block king film and capacitor protective film 2 Film and Al 2 O Three Membrane specimen 1S 1 Specimen 2S using the same method as 2 And specimen 3S Three Each was formed as an encapsulated film. That is, specimen 2S 2 The encapsulated film of TiO 2 Only the film is formed using the sputtering method, and the specimen 3S Three Encapsulated film of Al 2 O Three Only the film was formed using the atomic layer deposition method.
[0163]
Specifically, specimen 2S 2 And specimen 3S Three First, a capacitor process is performed to manufacture a specimen 1S on a semiconductor substrate. 1 Capacitors were formed under the same conditions. Then, an encapsulated film consisting of a single film was formed. Specimen 2S 2 And specimen 3S Three An encapsulated film made of a single film was formed under the following conditions.
[0164]
Specimen 2S 2 TiO as encapsulated film 2 A film was formed to a thickness of 1000 mm using a sputtering method. Thereafter, heat treatment was performed in an oxygen atmosphere and 650 ° C. for 30 minutes in order to enhance the insulating properties of the encapsulated film. Specimen 1S 1 The heat treatment temperature was increased as compared with the case of forming the block king film.
[0165]
Specimen 3S Three Is encapsulated as Al 2 O Three The film was formed to a thickness of 120 mm using an atomic layer deposition method. At this time, the aluminum source gas and the oxygen source gas are Al (CH Four ) Three Gas and H 2 O gas was used for each. And the encapsulated film was not heat-treated.
[0166]
Then, specimen 1S 1 Execute the ILD process and metal process in the same way as the sample 2S 2 And specimen 3S Three Metal contacts were formed on the lower and upper electrodes.
[0167]
Then, specimen 2S 2 And specimen 3S Three Specimen 1S for each 1 Similarly to FIG. 23, the degree of polarization was measured while changing the voltage, and the result is shown in FIG. FIG. 23 shows a specimen 1S. 1 The polarization history curve for is also shown.
[0168]
Meanwhile, specimen 1S 1 , Specimen 2S 2 And specimen 3S Three Twelve chip dies were selected and the barrier contact resistances were measured, and the results are shown in FIG. Specimen 1S 1 , Specimen 2S 2 And specimen 3S Three The barrier contact resistance of each is S 1 , S 2 And S Three Displayed.
[0169]
Referring to FIG. 23, specimen 2S 2 The area of the polarization history curve is 1S 1 It can be confirmed that the area is smaller than the area of the polarization history curve. That is, the specimen 2S in the ILD process 2 The ferroelectricity of the capacitor dielectric film is Specimen 1S 1 It turns out that it deteriorated more. And specimen 3S Three Since the remanent polarization degree is almost close to 0, it can be confirmed that the ferroelectricity of the capacitor dielectric film is completely deteriorated. The following conclusions can be drawn from this.
[0170]
-Specimen 2S 2 Encapsulated film (TiO 2 The membrane) can block the diffusion of hydrogen in the ILD process. 1 The encapsulated film is made of a double film (TiO 2 / Al 2 O Three The hydrogen barrier effect is weaker than that formed by the membrane.
[0171]
-Specimen 1S 1 Block king film (TiO 2 The heat treatment temperature for the film is 2S 2 Encapsulated film (TiO 2 Lower than the heat treatment temperature for the film). Therefore, the insulating property against the block king film is the specimen 2S. 2 Specimen 1S despite being worse than the encapsulation film 1 Since the hydrogen barrier effect is good, the hydrogen diffusion barrier function is Specimen 1S 1 This capacitor protection film is mainly performed.
[0172]
-Specimen 2S 2 However, even if the encapsulated film is formed as a single film and the insulating properties of the encapsulated film are improved through heat treatment at 600 ° C. or higher, the problem of capacitor deterioration due to hydrogen cannot be completely solved.
[0173]
-Specimen 3S Three Capacitor dielectric film (Al 2 O Three The reason why the film is completely degraded is related to the method of forming the encapsulated film. That is, H as an oxygen source gas 2 This is because O gas was used. By the way, the present invention forms a capacitor protective film after forming a block king film. Therefore, the capacitor protective film (Al 2 O Three ) As an oxygen source gas without deterioration of the capacitor dielectric film when forming by an atomic layer deposition method. 2 O gas can be used.
[0174]
Referring to FIG. 24, specimen 1S 1 Barrier contact resistance of specimen 3S Three It can be seen that the barrier contact resistance is smaller. And specimen 2S 2 It can be seen that the barrier contact resistance deteriorated to an average of 1 MΩ or more. The following conclusion can be made from the graph of FIG.
[0175]
-Specimen 1S 1 The heat treatment temperature when forming the block king film in the encapsulated film is 450 ° C. and the specimen 2S 2 This is lower than the heat treatment temperature of 600 ° C. when forming the encapsulated film. Therefore, specimen 2S 2 The reason why the barrier contact resistance is increased is that oxygen is diffused into the contact plug by performing a high temperature heat treatment process to heat the encapsulated film.
[0176]
-Specimen 3S Three Encapsulated film is specimen 2S 2 The ability to prevent the diffusion of oxygen is better than the encapsulated film. Meanwhile, specimen 1S 1 Capacitor protective film in the encapsulation film is specimen 3S Three It was formed under the same conditions as the encapsulated film. By the way, the oxygen diffusion blocking ability is Specimen 1S. 1 The encapsulated film is excellent. Therefore, when the encapsulated film is formed of a double film, the oxygen blocking ability of the encapsulated film is improved.
[0177]
【The invention's effect】
According to one aspect of the semiconductor memory device according to the present invention, the dielectric characteristics of the capacitor dielectric film can be prevented from being deteriorated by hydrogen enclosed in the ILD film, the passivation film, or the like formed after the capacitor is formed. . In addition, according to another aspect of the semiconductor memory device according to the present invention, since the low resistance contact barrier film such as a cobalt silicide film is provided, the operation speed of the semiconductor memory device can be improved.
[0178]
According to one aspect of the method of manufacturing a semiconductor memory device according to the present invention, the capacitor can be protected from the hydrogen source gas by enclosing the capacitor with an encapsulating film made of multiple films. That is, it is possible to prevent the capacitor dielectric film from being deteriorated by the hydrogen source gas induced in the subsequent integration process performed after the capacitor is formed. In addition, according to another aspect of the method for fabricating a semiconductor device according to the present invention, it is possible to prevent the contact resistance of the semiconductor memory device from increasing in a high temperature heat treatment process performed in an oxygen atmosphere. At the same time, if the buffer film and / or the hydrogen permeation preventive film is formed before forming the passivation film, it is possible to prevent the capacitor dielectric film from being deteriorated by hydrogen induced in the passivation process.
[0179]
The preferred embodiments of the present invention have been described in detail with reference to the accompanying drawings. However, the present invention is not limited to this, and modifications and improvements thereof can be made with ordinary knowledge in the art within the scope of the technical idea of the present invention.
[Brief description of the drawings]
1 is a cross-sectional view showing a first embodiment of a semiconductor memory device according to the present invention;
FIG. 2 is a cross-sectional view showing a second embodiment of a semiconductor memory device according to the present invention.
FIG. 3 is a partial cross-sectional view illustrating a first embodiment of a structure of a conductive plug, an interface film, and a capacitor that can be included in a semiconductor memory device according to the present invention.
FIG. 4 is a partial cross-sectional view illustrating a second embodiment of a structure of a conductive plug, an interface film, and a capacitor that can be included in a semiconductor memory device according to the present invention.
FIG. 5 is a partial cross-sectional view illustrating a third embodiment of a structure of a conductive plug, an interface film, and a capacitor that can be included in a semiconductor memory device according to the present invention.
FIG. 6 is a partial cross-sectional view illustrating a fourth embodiment of a structure of a conductive plug, an interface film, and a capacitor that can be included in a semiconductor memory device according to the present invention.
FIG. 7 is a partial cross-sectional view illustrating a fifth embodiment of a structure of a conductive plug, an interface film, and a capacitor that can be included in a semiconductor memory device according to the present invention.
FIG. 8 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention.
FIG. 9 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention.
FIG. 10 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention.
FIG. 11 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention.
FIG. 12 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention.
FIG. 13 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention.
FIG. 14 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention;
FIG. 15 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention;
FIG. 16 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention;
FIG. 17 is a process cross-sectional view illustrating a first embodiment of a semiconductor memory device manufacturing method according to the present invention;
FIG. 18 is a process cross-sectional view illustrating a second embodiment of a method of manufacturing a semiconductor memory device according to the present invention.
FIG. 19 is a process cross-sectional view illustrating a second embodiment of a method of manufacturing a semiconductor memory device according to the present invention.
FIG. 20 is a process cross-sectional view illustrating a sixth embodiment of a semiconductor memory device manufacturing method according to the present invention.
FIG. 21 is a graph showing a polarization history curve of a capacitor dielectric film and a leakage current characteristic of a capacitor by making a test piece 1C1 by applying the semiconductor memory device manufacturing method according to the present invention.
FIG. 22 is a graph showing a polarization history curve of a capacitor dielectric film and a leakage current characteristic of a capacitor by making a test piece 1C1 by applying the semiconductor memory device manufacturing method according to the present invention.
FIG. 23 is a graph showing a polarization history curve and a barrier contact resistance with respect to a specimen 1C1 made by a method of manufacturing a semiconductor memory device according to the present invention, a specimen 2C2 and a specimen 3C3 made by another method, respectively. is there.
FIG. 24 is a graph showing a polarization history curve and a barrier contact resistance with respect to a specimen 1C1 made by a method of manufacturing a semiconductor memory device according to the present invention, a specimen 2C2 and a specimen 3C3 made by another method, respectively. is there.
[Explanation of symbols]
122, 366 Lower electrode
126, 340 'lower electrode
124, 124a to 124e, 338 ', 374 capacitor dielectric film
Capacitor C

Claims (21)

下部電極、上部電極及び前記下部電極と前記上部電極との間に挿入された強誘電体からなるキャパシタ誘電膜を含むキャパシタと、
前記キャパシタの全表面を包み少なくとも2層の相異なる絶縁物質からなるブロッキング膜とキャパシタ保護膜を含む多重カプセル化膜と、
前記多重カプセル化膜上に形成された絶縁膜と、
前記多重カプセル化膜及び前記絶縁膜を貫通して前記上部電極をコンタクトするメタルコンタクトと、を含み、
前記ブロッキング膜は、前記キャパシタ誘電膜の酸素の揮発を防止し、かつ前記ブロッキング膜の下部に形成された前記キャパシタ誘電膜と前記キャパシタ保護膜との間の反応を防止できるTi化合物からなる物質からなり、前記キャパシタ保護膜は、前記絶縁膜内に封入された水素がキャパシタ誘電膜に浸透することを防止できるAl酸化物又はTi化合物からなる物質からなることを特徴とする半導体メモリ素子。
A capacitor including a lower electrode, an upper electrode, and a capacitor dielectric film made of a ferroelectric material inserted between the lower electrode and the upper electrode;
A multi-encapsulation film including a blocking film made of at least two different insulating materials and covering the entire surface of the capacitor, and a capacitor protection film;
An insulating film formed on the multiple encapsulation film;
A metal contact passing through the multiple encapsulation film and the insulating film to contact the upper electrode,
The blocking film is made of a material made of a Ti compound that prevents the volatilization of oxygen in the capacitor dielectric film and prevents a reaction between the capacitor dielectric film and the capacitor protective film formed under the blocking film. The capacitor protective film is made of a substance made of Al oxide or Ti compound that can prevent hydrogen sealed in the insulating film from penetrating into the capacitor dielectric film.
前記多重カプセル化膜は2重膜であり、前記ブロッキング膜は前記メタルコンタクトが前記上部電極をコンタクトする部分を除いてキャパシタの全表面を包む絶縁膜であり、前記キャパシタ保護膜は前記ブロッキング膜の全表面を包む絶縁膜であることを特徴とする請求項に記載の半導体メモリ素子。The multi-encapsulation film is a double film, the blocking film is an insulating film that covers the entire surface of the capacitor except for a portion where the metal contact contacts the upper electrode, and the capacitor protection film is formed of the blocking film. The semiconductor memory device according to claim 1 , wherein the semiconductor memory device is an insulating film covering the entire surface. 前記ブロッキング膜は、400℃ないし600℃間の温度及び酸素雰囲気下で熱処理された安定化物質膜であることを特徴とする請求項に記載の半導体メモリ素子。The semiconductor memory device of claim 1 , wherein the blocking film is a stabilizing material film heat-treated at a temperature between 400 ° C. and 600 ° C. in an oxygen atmosphere. 前記キャパシタ保護膜は、原子層蒸着方法によって形成されたALD物質膜であることを特徴とする請求項に記載の半導体メモリ素子。The semiconductor memory device of claim 1 , wherein the capacitor protection film is an ALD material film formed by an atomic layer deposition method. 前記ブロッキング膜と前記キャパシタ保護膜との各々の厚さは50Åないし1500Å間であることを特徴とする請求項に記載の半導体メモリ素子。The semiconductor memory device of claim 1 , wherein each of the blocking film and the capacitor protection film has a thickness of 50 to 1500 mm. 前記ブロッキング膜は、TiO膜、BaTiO膜、SrTiO膜、BiTi12膜またはPbTiO膜からなったことを特徴とする請求項に記載の半導体メモリ素子。 2. The semiconductor memory device according to claim 1 , wherein the blocking film comprises a TiO 2 film, a BaTiO 3 film, a SrTiO 3 film, a Bi 4 Ti 3 O 12 film, or a PbTiO 3 film. 前記キャパシタ保護膜は、Al膜、TiO膜、BaTiO膜、SrTiO膜、BiTi12膜またはPbTiO膜であるが、前記ブロッキング膜をなす物質とは異なる物質からなったことを特徴とする請求項に記載の半導体メモリ素子。The capacitor protective film is an Al 2 O 3 film, a TiO 2 film, a BaTiO 3 film, a SrTiO 3 film, a Bi 4 Ti 3 O 12 film or a PbTiO 3 film, but is made of a material different from the material forming the blocking film. The semiconductor memory device according to claim 1 , wherein 前記メタルコンタクト及び前記絶縁膜上に形成されたパッシベーション膜をさらに含むことを特徴とする請求項1に記載の半導体メモリ素子。  The semiconductor memory device of claim 1, further comprising a passivation film formed on the metal contact and the insulating film. 前記メタルコンタクトと前記パッシベーション膜との間に介在された水素浸透防止膜をさらに含むことを特徴とする請求項に記載の半導体メモリ素子。9. The semiconductor memory device of claim 8 , further comprising a hydrogen permeation prevention film interposed between the metal contact and the passivation film. 前記水素浸透防止膜は、金属酸化物膜であることを特徴とする請求項に記載の半導体メモリ素子。The semiconductor memory device of claim 9 , wherein the hydrogen permeation prevention film is a metal oxide film. 前記水素浸透防止膜は、原子層蒸着方法によって形成されたALD物質膜であることを特徴とする請求項に記載の半導体メモリ素子。The semiconductor memory device of claim 9 , wherein the hydrogen permeation prevention film is an ALD material film formed by an atomic layer deposition method. 前記水素浸透防止膜は、Al膜、TiO膜、Ta膜、BaTiO膜、SrTiO膜、BiTi12膜またはPbTiO膜であることを特徴とする請求項に記載の半導体メモリ素子。The hydrogen permeation preventive film is an Al 2 O 3 film, a TiO 2 film, a Ta 2 O 5 film, a BaTiO 3 film, a SrTiO 3 film, a Bi 4 Ti 3 O 12 film, or a PbTiO 3 film. Item 10. The semiconductor memory device according to Item 9 . 前記水素浸透防止膜は、400℃ないし600℃間及び酸素雰囲気で熱処理された安定化物質膜であることを特徴とする請求項に記載の半導体メモリ素子。The hydrogen permeation-preventing layer is a semiconductor memory device according to claim 9, characterized in that a stabilizing material layer which is heat-treated at not 400 ° C. to 600 ° C. and between oxygen atmosphere. 前記メタルコンタクトと前記水素浸透防止膜との間に介在された緩衝膜をさらに含むことを特徴とする請求項に記載の半導体メモリ素子。The semiconductor memory device of claim 9 , further comprising a buffer film interposed between the metal contact and the hydrogen permeation preventive film. 前記下部電極がコバルトシリサイド膜からなったことを特徴とする請求項1に記載の半導体メモリ素子。  The semiconductor memory device according to claim 1, wherein the lower electrode is made of a cobalt silicide film. 前記キャパシタ下部に形成された層間絶縁膜、及び前記層間絶縁膜内に備わって前記下部電極と電気的に連結される導電性プラグをさらに含み、
前記下部電極と前記導電性プラグとの間にコバルトシリサイド膜が含まれた界面膜を含むことを特徴とする請求項1に記載の半導体メモリ素子。
An interlayer insulating film formed under the capacitor; and a conductive plug provided in the interlayer insulating film and electrically connected to the lower electrode;
The semiconductor memory device according to claim 1, further comprising an interface film including a cobalt silicide film between the lower electrode and the conductive plug.
前記キャパシタ下部に形成された層間絶縁膜と、
前記層間絶縁膜内に備わって前記下部電極と電気的に連結される導電性プラグとをさらに含み、
前記導電性プラグは、コバルトシリサイド膜のみでなるか、または導電膜とコバルトシリサイド膜とが順次的に積層された2重膜からなったことを特徴とする請求項1に記載の半導体メモリ素子。
An interlayer insulating film formed under the capacitor;
A conductive plug provided in the interlayer insulating film and electrically connected to the lower electrode;
2. The semiconductor memory device according to claim 1, wherein the conductive plug is made of only a cobalt silicide film, or a double film in which a conductive film and a cobalt silicide film are sequentially stacked.
下部電極、上部電極及び前記下部電極と前記上部電極間に挿入される強誘電体からなるキャパシタ誘電膜を含む半導体メモリ素子のキャパシタを半導体基板に形成する段階と、
前記キャパシタの全表面を直接的に包む多重カプセル化膜を形成する段階を含み、
前記多重カプセル化膜は少なくとも2層の相異なる絶縁物質からなるブロッキング膜とキャパシタ保護膜を含み、
前記多重カプセル化膜上には絶縁膜が形成され、
前記ブロッキング膜は、前記キャパシタ誘電膜の酸素の揮発を防止し、かつ前記ブロッキング膜の下部に形成された前記キャパシタ誘電膜と前記キャパシタ保護膜との間の反応を防止できるTi化合物からなる物質からなって、
前記キャパシタ保護膜は、前記絶縁膜内に封入された水素がキャパシタ誘電膜に浸透することを防止できるAl酸化物又はTi化合物からなる物質からなることを特徴とする半導体メモリ素子の製造方法。
Forming a capacitor of a semiconductor memory device on a semiconductor substrate including a lower electrode, an upper electrode, and a capacitor dielectric film made of a ferroelectric material inserted between the lower electrode and the upper electrode;
Forming a multi-encapsulation film that directly envelops the entire surface of the capacitor;
The multi-encapsulation film includes at least two layers of a blocking film made of different insulating materials and a capacitor protection film,
An insulating film is formed on the multiple encapsulation film,
The blocking film is made of a material made of a Ti compound that prevents the volatilization of oxygen in the capacitor dielectric film and can prevent a reaction between the capacitor dielectric film and the capacitor protective film formed under the blocking film. Become,
The method of manufacturing a semiconductor memory device, wherein the capacitor protective film is made of a material made of Al oxide or Ti compound that can prevent hydrogen sealed in the insulating film from penetrating into the capacitor dielectric film.
下部電極、上部電極及び前記下部電極と前記上部電極間で伸張する強誘電体からなるキャパシタ誘電膜を備える集積回路キャパシタ構造体と、
前記集積回路キャパシタ構造体を覆い包むが、前記キャパシタ誘電膜上で伸張するブロッキング膜及び前記ブロッキング膜上に形成されるキャパシタ保護膜を少なくとも含む混合膜を備える保護膜及び前記保護膜上に形成された層間絶縁膜を備え、
前記ブロッキング膜は、TiO、Ta、BaTiO、SrTiO、BiTi12及びPbTiOからなった群から選択された物質からなり、前記キャパシタ保護膜はAlからなる集積回路装置。
An integrated circuit capacitor structure comprising a lower electrode, an upper electrode, and a capacitor dielectric film made of a ferroelectric material extending between the lower electrode and the upper electrode;
A protective film that covers the integrated circuit capacitor structure but includes a mixed film including at least a blocking film extending on the capacitor dielectric film and a capacitor protective film formed on the blocking film, and is formed on the protective film. Provided with an interlayer insulating film,
The blocking film is made of a material selected from the group consisting of TiO 2 , Ta 2 O 5 , BaTiO 3 , SrTiO 3 , Bi 4 Ti 3 O 12 and PbTiO 3, and the capacitor protection film is made of Al 2 O 3. An integrated circuit device.
前記ブロッキング膜は、前記キャパシタ誘電膜の酸素が外部拡散されて貫通することを遮断する請求項19に記載の集積回路装置。The integrated circuit device according to claim 19 , wherein the blocking film blocks oxygen from being diffused and penetrated through the capacitor dielectric film. 前記キャパシタ保護膜は、前記キャパシタ保護膜を通して水素イオンが拡散することを遮断する請求項19に記載の集積回路装置。The integrated circuit device according to claim 19 , wherein the capacitor protection film blocks diffusion of hydrogen ions through the capacitor protection film.
JP2000270446A 1999-09-10 2000-09-06 Semiconductor memory device including capacitor protective film and method of manufacturing the same Expired - Fee Related JP3950290B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR19990038709 1999-09-10
KR10-1999-0065074A KR100370235B1 (en) 1999-09-10 1999-12-29 Semiconductor memory device having capacitor protection layer and method of manufacturing thereof
KR99P38709 1999-12-29
KR99P65074 1999-12-29

Publications (2)

Publication Number Publication Date
JP2001111007A JP2001111007A (en) 2001-04-20
JP3950290B2 true JP3950290B2 (en) 2007-07-25

Family

ID=26636113

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000270446A Expired - Fee Related JP3950290B2 (en) 1999-09-10 2000-09-06 Semiconductor memory device including capacitor protective film and method of manufacturing the same

Country Status (3)

Country Link
JP (1) JP3950290B2 (en)
CN (1) CN1173406C (en)
GB (1) GB2358287B (en)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6838717B1 (en) * 2000-08-31 2005-01-04 Agere Systems Inc. Stacked structure for parallel capacitors and method of fabrication
JP4608815B2 (en) * 2001-06-08 2011-01-12 ソニー株式会社 Method for manufacturing nonvolatile semiconductor memory device
JP3839281B2 (en) * 2001-07-05 2006-11-01 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
JP2003068987A (en) 2001-08-28 2003-03-07 Matsushita Electric Ind Co Ltd Semiconductor storage device and its manufacturing method
KR100561839B1 (en) 2001-11-10 2006-03-16 삼성전자주식회사 Ferroelectric capacitor and method of manufacturing the same
JP2003243625A (en) * 2002-02-19 2003-08-29 Seiko Epson Corp Ferroelectric memory device and method of manufacturing the same
JP4090766B2 (en) 2002-03-19 2008-05-28 富士通株式会社 Manufacturing method of semiconductor device
JP2004095861A (en) 2002-08-30 2004-03-25 Fujitsu Ltd Semiconductor device and manufacturing method therefor
US6943398B2 (en) * 2002-11-13 2005-09-13 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6785119B2 (en) * 2002-11-29 2004-08-31 Infineon Technologies Ag Ferroelectric capacitor and process for its manufacture
US20040206993A1 (en) * 2003-04-17 2004-10-21 Infineon Technologies Ag Process for fabrication of ferroelectric devices with reduced hydrogen ion damage
US6839220B1 (en) * 2003-07-18 2005-01-04 Infineon Technologies Ag Multi-layer barrier allowing recovery anneal for ferroelectric capacitors
KR100947064B1 (en) * 2003-08-13 2010-03-10 삼성전자주식회사 Capacitor of semiconductor device and memory device having the same
US7618681B2 (en) * 2003-10-28 2009-11-17 Asm International N.V. Process for producing bismuth-containing oxide films
JP2005183841A (en) * 2003-12-22 2005-07-07 Fujitsu Ltd Manufacturing method of semiconductor device
CN100431155C (en) * 2004-06-28 2008-11-05 富士通株式会社 Semiconductor device and method for fabricating the same
JP4497312B2 (en) * 2004-10-19 2010-07-07 セイコーエプソン株式会社 Ferroelectric memory manufacturing method
CN100463182C (en) * 2004-10-19 2009-02-18 精工爱普生株式会社 Ferroelectric memory and method of manufacturing the same
JP5464775B2 (en) * 2004-11-19 2014-04-09 エイエスエム インターナショナル エヌ.ヴェー. Method for producing metal oxide film at low temperature
JP2006261328A (en) * 2005-03-16 2006-09-28 Fujitsu Ltd Capacitive element, manufacturing method thereof, and semiconductor device
JP2006310637A (en) * 2005-04-28 2006-11-09 Toshiba Corp Semiconductor device
WO2006129366A1 (en) 2005-06-02 2006-12-07 Fujitsu Limited Semiconductor device and method for manufacturing same
JP2006203252A (en) * 2006-04-10 2006-08-03 Fujitsu Ltd Semiconductor device
JP4777127B2 (en) * 2006-04-24 2011-09-21 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7767589B2 (en) * 2007-02-07 2010-08-03 Raytheon Company Passivation layer for a circuit device and method of manufacture
WO2008120286A1 (en) * 2007-02-27 2008-10-09 Fujitsu Microelectronics Limited Semiconductor storage unit, process for manufacturing the same, and method of forming package resin
US7750852B2 (en) * 2007-04-13 2010-07-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP4719208B2 (en) * 2007-12-20 2011-07-06 株式会社東芝 Manufacturing method of magnetic random access memory
JP5347381B2 (en) 2008-08-28 2013-11-20 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP5455352B2 (en) * 2008-10-28 2014-03-26 太陽誘電株式会社 Thin film MIM capacitor and manufacturing method thereof
CN103650046B (en) * 2011-06-27 2017-03-15 薄膜电子有限公司 There is lateral dimension to change the ferroelectric storage cell and its manufacture method for absorbing cushion
JP5742658B2 (en) * 2011-10-20 2015-07-01 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP5862290B2 (en) * 2011-12-28 2016-02-16 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US9245925B1 (en) * 2015-01-15 2016-01-26 Macronix International Co., Ltd. RRAM process with metal protection layer
TWI569416B (en) * 2015-11-26 2017-02-01 華邦電子股份有限公司 Resistive random access memory and method of fabricating the same
NL2017198B1 (en) * 2016-07-20 2018-01-26 Jiaco Instr Holding B V Decapsulation of electronic devices
CN108538780A (en) * 2018-04-18 2018-09-14 睿力集成电路有限公司 The manufacturing method of bit line/storage node contacts embolism and polysilicon contact film
KR20220020368A (en) * 2019-10-12 2022-02-18 양쯔 메모리 테크놀로지스 씨오., 엘티디. Three-dimensional memory devices having a hydrogen blocking layer and methods of manufacturing the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3131982B2 (en) * 1990-08-21 2001-02-05 セイコーエプソン株式会社 Semiconductor device, semiconductor memory, and method of manufacturing semiconductor device
DE69433244T2 (en) * 1993-08-05 2004-07-29 Matsushita Electric Industrial Co., Ltd., Kadoma Manufacturing method for semiconductor device with capacitor of high dielectric constant
JP3027941B2 (en) * 1996-05-14 2000-04-04 日本電気株式会社 Storage device using dielectric capacitor and manufacturing method
KR100292942B1 (en) * 1998-04-18 2001-07-12 윤종용 Method for fabricating ferroelectric memory device

Also Published As

Publication number Publication date
GB0022092D0 (en) 2000-10-25
GB2358287B (en) 2004-05-12
JP2001111007A (en) 2001-04-20
CN1292571A (en) 2001-04-25
CN1173406C (en) 2004-10-27
GB2358287A (en) 2001-07-18

Similar Documents

Publication Publication Date Title
JP3950290B2 (en) Semiconductor memory device including capacitor protective film and method of manufacturing the same
US6509601B1 (en) Semiconductor memory device having capacitor protection layer and method for manufacturing the same
JP4145509B2 (en) Method for manufacturing integrated circuit element including insulating film
KR100763559B1 (en) Method of forming a ferroelectric layer and method of manufacturing a ferroelectric capacitor
KR100396879B1 (en) Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
KR100718267B1 (en) Ferroelectric structure, Method of forming the ferroelectric structure, Semiconductor device having the ferroelectric structure and Method of manufacturing the semiconductor device
JP4160638B2 (en) Semiconductor device
KR20090017758A (en) Method of forming a ferroelectric capacitor and method of manufacturing a semiconductor device using the same
KR100640631B1 (en) Capacitor of semiconductor device and method for fabricating the same
US7232764B1 (en) Semiconductor device fabrication method
JPH1154718A (en) Integrated circuit device having buffer film constituted of metal oxide film which is stabilized by low temperature treatment, and its manufacture
JP5568845B2 (en) Manufacturing method of semiconductor device
US6673668B2 (en) Method of forming capacitor of a semiconductor memory device
KR100370235B1 (en) Semiconductor memory device having capacitor protection layer and method of manufacturing thereof
JP2012151292A (en) Semiconductor device and method of manufacturing the same
US7105400B2 (en) Manufacturing method of semiconductor device
JP4109304B2 (en) Semiconductor device and manufacturing method thereof
US6635524B2 (en) Method for fabricating capacitor of semiconductor memory device
KR100443362B1 (en) Method for fabricating capacitor with 2 step annealing in semiconductor device
JP5552916B2 (en) Manufacturing method of semiconductor device
KR100652354B1 (en) Capacitor of a semiconductor device having low contact resistance between a lower electrode and a contact plug and method for fabricating the same
JP2004039816A (en) Semiconductor device and its manufacturing method
KR100925028B1 (en) A dielectric layer, forming method thereof and a capacitor of semiconductor device and forming method thereof using the same
KR100448242B1 (en) Method for fabricating capacitor top electrode in semiconductor device
JP5998844B2 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060830

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070110

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070327

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070417

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070420

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110427

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110427

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120427

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120427

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130427

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130427

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140427

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees