JP3843200B2 - Substrate processing apparatus and substrate processing method - Google Patents

Substrate processing apparatus and substrate processing method Download PDF

Info

Publication number
JP3843200B2
JP3843200B2 JP2000092660A JP2000092660A JP3843200B2 JP 3843200 B2 JP3843200 B2 JP 3843200B2 JP 2000092660 A JP2000092660 A JP 2000092660A JP 2000092660 A JP2000092660 A JP 2000092660A JP 3843200 B2 JP3843200 B2 JP 3843200B2
Authority
JP
Japan
Prior art keywords
substrate
processing
supply nozzle
liquid supply
liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000092660A
Other languages
Japanese (ja)
Other versions
JP2001284206A (en
JP2001284206A5 (en
Inventor
孝之 戸島
武彦 折居
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000092660A priority Critical patent/JP3843200B2/en
Priority to US09/801,918 priority patent/US6634806B2/en
Priority to TW090105741A priority patent/TW494451B/en
Priority to KR1020010012577A priority patent/KR100588927B1/en
Publication of JP2001284206A publication Critical patent/JP2001284206A/en
Priority to US10/653,999 priority patent/US6817790B2/en
Publication of JP2001284206A5 publication Critical patent/JP2001284206A5/ja
Application granted granted Critical
Publication of JP3843200B2 publication Critical patent/JP3843200B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Weting (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

【0001】
【発明の属する技術分野】
この発明は、半導体ウエハ等の被処理基板の表面に現像液や洗浄液等の処理液を塗布する基板処理装置に関するものである。
【0002】
【従来の技術】
半導体デバイス(ICチップ)やLCDの製造プロセスにおいては、フォトリソグラフィー技術を利用することで、半導体ウエハやガラス基板等の被処理基板の表面に微細なパターンを高精度かつ高密度に形成する。
【0003】
例えば、半導体デバイスの製造においては、半導体ウエハの表面にレジスト液を塗布した後、これを所定のパターンに露光し、さらに現像処理・エッチング処理することにより所定の回路パターンを形成するようにしている。
【0004】
近年、フォトリソグラフィ技術によって形成するべき半導体回路の線幅がますます微細化する傾向にあり、これに伴い現像処理時における現像処理の被処理基板面内均一性が厳しく要求されている。
【0005】
現像液の塗布は、例えばスピンコーティング法で行うことができ、このスピンコーティング法では、ウエハの中央付近に現像液を滴下し、ウエハを回転させることにより、現像液を遠心力により拡散する。現像液がウエハ全面に塗布された後、ウエハの中央付近にリンス液を滴下、拡散することによりウエハ上の現像液を除去する。
【0006】
【発明が解決しようとする課題】
このような現像液塗布の場合、現像液が滴下されるウエハの中心部では、新鮮な現像液が塗布されるものの、ウエハの周縁部では、現像処理が済んだ現像液を含む液が塗布される。このため、ウエハの中心部と周縁部とでは、現像処理能力が異なり、面内で均一に現像処理することが困難であった。
【0007】
この発明は、このような事情に鑑みてなされたもので、被処理基板上に常に新鮮な処理液を供給し、基板全面を高い現像処理能力の現像液で処理することができる基板処理装置及び基板処理方法を提供することを目的とする。
【0008】
【課題を解決するための手段】
上記目的を解決するために、本発明の基板処理装置は、基板を水平に保持する基板保持機構と、前記基板を水平面内で回転させる回転機構と、前記回転機構により前記基板が回転した状態で、前記基板の外縁部と中心部とを結ぶ方向に沿って移動して前記基板上に処理液を供給する処理液供給ノズルと、前記基板上に供給された処理液の拡散方向に位置するように前記処理液供給ノズルに隣接して配置され、前記処理液の供給と同時に前記基板上にリンス液を供給するリンス液供給ノズルと、を具備することを特徴とする。
【0009】
本発明のこのような構成によれば、処理液ノズルより滴下された直後の処理液は新しく、滴下された領域では新しい処理液により処理される。そして、この処理済みの液は基板の回転により拡散するが、この拡散された処理済の液はリンス液供給ノズルから供給されるリンス液によりただちに除去される。このため、基板上には常に新しい処理液が供給されることとなり、基板全面に高い処理能力の処理液が供給される。従って、基板全面で処理むらがなく、効率良く処理が行われる。
【0010】
また、本発明の基板処理装置は、基板を水平に保持する基板保持機構と、前記基板を水平面内で回転させる回転機構と、前記回転機構により前記基板が回転した状態で、前記基板の外縁部と中心部とを結ぶ方向に沿って移動して前記基板上に処理液を供給する処理液供給ノズルと、前記基板上に供給された前記処理液が処理に使用された後の処理済み液を除去するリンス液を、前記処理液の供給と同時に前記基板に供給するリンス液供給ノズルと、を具備することを特徴とする。
【0011】
本発明のこのような構成によれば、処理液ノズルより滴下された直後の処理液は新しく、滴下された領域では新しい処理液により処理される。そして、この処理済みの液は直ちにリンス液により除去されるので、基板上には常に新しい処理液が供給されることとなり、基板全面に高い処理能力の処理液が供給される。従って、基板全面で処理むらがなく、効率良く処理が行われる。
【0012】
本発明の基板処理方法は、水平保持された基板を回転させ、処理液供給ノズル及びリンス液供給ノズルを前記基板の外縁部と中心部とを結ぶ方向に沿って移動させながら、前記処理液供給ノズル及び前記リンス液供給ノズルからそれぞれ処理液及びリンス液を前記基板に対し供給する基板処理方法であって、前記リンス液供給ノズルは、前記基板上に供給された前記処理液が拡散する方向に位置することを特徴とする。
【0013】
本発明のこのような構成によれば、処理液ノズルより滴下された直後の処理液は新しく、滴下された領域では新しい処理液により処理される。そして、この処理済みの液は基板の回転により拡散するが、この拡散された処理済の液はリンス液供給ノズルから供給されるリンス液によりただちに除去される。このため、基板上には常に新しい処理液が供給されることとなり、基板全面に高い処理能力の処理液が供給される。従って、基板全面で処理むらがなく、効率良く処理が行われる。
【0014】
また、本発明の基板処理方法は、水平保持された基板を回転させ、処理液供給ノズル及びリンス液供給ノズルを前記基板の外縁部と中心部とを結ぶ方向に沿って移動させながら、前記処理液供給ノズル及び前記リンス液供給ノズルからそれぞれ処理液及びリンス液を前記基板に対し供給する基板処理方法であって、前記リンス液は、前記基板上に供給された前記処理液が処理に使用された後の処理済み液を除去することを特徴とする。
【0015】
本発明のこのような構成によれば、処理液ノズルより滴下された直後の処理液は新しく、滴下された領域では新しい処理液により処理される。そして、この処理済みの液は直ちにリンス液により除去されるので、基板上には常に新しい処理液が供給されることとなり、基板全面に高い処理能力の処理液が供給される。従って、基板全面で処理むらがなく、効率良く処理が行われる。
また、本発明の基板処理方法は、水平保持された基板を回転させ、処理液が滴下される前記基板上の領域が移動していくように、前記基板に対して前記処理液を供給する基板処理方法であって、前記基板上に前記処理液とリンス液とを同時に供給していく工程と、前記処理液と前記リンス液とを同時に供給しながら、滴下された前記処理液が前記基板上で拡散する領域に前記処理液が滴下された直後に前記リンス液を供給していく工程と、を具備することを特徴とする
【0016】
【発明の実施の形態】
以下この発明の一実施形態を図面を参照して説明する。
図1は、この発明を、半導体ウエハ(以下「ウエハW」という)の表面に処理液として洗浄液を供給する洗浄処理装置に適用した例を示す概略構成図である。また、図2は、この装置を上方から見た平面図である。
【0017】
更に、図3は、この発明を、ウエハWの表面に処理液としての現像液を供給する現像処理装置に適用した例を示す概略構成図である。また、図4は、この装置を上方から見た平面図である。
【0018】
図1に示すように、洗浄処理装置は、基板保持機構としてその上面にウエハWを水平に吸着保持し、かつこのウエハWを回転駆動及び昇降駆動するスピンチャック110を有する。このスピンチャック110の上方には、このウエハWに対向位置決め可能に保持され、ウエハW上に洗浄液を滴下するための洗浄液供給ノズル140と、リンス液としての純水を滴下するためのリンス液供給ノズル111とが隣接して配置されている。洗浄液供給ノズル140は、供給管141及びエアーオペレーティドバルブや電磁弁などの制御弁142を介して洗浄液タンク143に接続されている。リンス液供給ノズル111は、供給管112及びエアーオペレーティドバルブや電磁弁などの制御弁113を介してリンス液タンク114に接続されている。
【0019】
また、洗浄液供給ノズル140及びリンス液供給ノズル111は、図に示すようにL字状に構成されたZ駆動機構115の先端にホルダ117を介して保持されている。Z駆動機構115の基端部は、Y駆動機構116によって保持されている。図2に示すように、このY駆動機構116は、Y方向に沿って前記カップ124の外側にまで延出されたYレール120を有し、洗浄液供給ノズル140とリンス液供給ノズル111をウエハW上とノズル待機部121との間で移動できるようになっている。このノズル待機部121は、洗浄液供給ノズル140及びリンス液供給ノズル111を収納できるように構成されていると共に、これらノズルの先端部を洗浄できるように構成されている。
【0020】
洗浄液供給ノズル140及びリンス液供給ノズル111は、図2に示すように、ウエハWの外縁部と中心部を結ぶ方向、すなわちウエハWの半径に沿った矢印A方向に沿って移動し、洗浄液供給ノズル140は矢印A上に常に位置するように移動する。一方、リンス液供給ノズル111は、矢印A上からはややはずれて位置し、移動方向(矢印方向)に向かって見て、洗浄液供給ノズル140の後方に位置する。リンス液供給ノズル111の位置は、滴下された洗浄液の拡散方向(矢印B)によって決定され、ウエハW上に滴下された洗浄液が拡散する領域に、少なくともリンス液が供給されるように設定すれば良い。尚、洗浄液の塗布はウエハWの回転とノズルの移動により行われ、洗浄液の拡散方向は、ウエハWの回転方向によって決定される。
【0021】
また、前記スピンチャック110の周囲には、ウエハWが回転駆動されている最中に飛散する余分な洗浄液を受けるカップ124が設けられている。
【0022】
図3に示すように、現像処理装置は、上述の洗浄処理装置と同じ構成をしており、ノズルから供給される液が違う点で異なる。現像処理装置は、基板保持機構としてその上面にウエハWを吸着保持し、かつこのウエハWを回転駆動及び昇降駆動するスピンチャック10を有する。このスピンチャック10の上方には、このウエハWに対向位置決め可能に保持され、ウエハW上に現像液を滴下するための現像液供給ノズル40と、リンス液としての例えば純水を滴下するためのリンス液供給ノズル11とが配置されている。現像液供給ノズル40は、供給管41及び制御弁42を介して現像液タンク43に接続されている。リンス液供給ノズル11は、供給管12及びエアーオペレーティドバルブや電磁弁などの制御弁13を介してリンス液タンク14に接続されている。
【0023】
また、現像液供給ノズル40及びリンス液供給ノズル11は、図に示すようにL字状に構成されたZ駆動機構15の先端にホルダ17を介して保持されている。Z駆動機構15の基端部は、Y駆動機構16によって保持されている。図4に示すように、このY駆動機構16は、Y方向に沿ってカップ24の外側にまで延出されたYレール20を有し、現像液供給ノズル40とリンス液供給ノズル11をウエハW上とノズル待機部21との間で移動できるようになっている。このノズル待機部21は、現像液供給ノズル40及びリンス液供給ノズル11を収納できるように構成されていると共に、これらノズルの先端部を洗浄できるように構成されている。
【0024】
現像液供給ノズル40及びリンス液供給ノズル11は、図4に示すように、ウエハWの外縁部と中心部を結ぶ方向、すなわちウエハWの半径に沿った矢印C方向に沿って移動し、現像液供給ノズル40は矢印C上に常に位置するように移動する。一方、リンス液供給ノズル11は、矢印C上からはややはずれて位置し、移動方向(矢印方向)に向かって見て、現像液供給ノズル40の後方に位置する。リンス液供給ノズル11の位置は、滴下されたリンス液の拡散方向(矢印D)によって決定され、ウエハW上に滴下された現像液が拡散する領域に、少なくともリンス液が供給されるように設定すれば良い。尚、現像液の塗布は、ウエハWの回転及びノズルの移動により行われ、現像液の拡散方向は、ウエハWの回転方向によって決定される。
【0025】
また、前記スピンチャック10の周囲には、ウエハWが回転駆動されている
最中に飛散する余分な現像液を受けるカップ24が設けられている。
【0026】
本実施形態の洗浄処理装置によれば、洗浄工程時に、ウエハW上に洗浄液が滴下された直後にリンス液が供給される。このリンス液は、使用済みの汚れた洗浄液を直ちに除去するので、ウエハW上には、常に新しい洗浄液が供給されることになる。従って、ウエハWの全面において、新しい洗浄液により洗浄が行われるので、面内における洗浄むらがなく、また洗浄能力が非常に高い。
【0027】
本実施形態の現像処理装置によれば、現像洗浄工程時に、ウエハW上に現像液が滴下された直後にリンス液が供給される。このリンス液は、使用済みの汚れた現像液を直ちに除去するので、ウエハW上には常に新しい現像液が供給されることになる。従って、ウエハWの全面において、新しい現像液により現像が行われるので、面内における現像むらがなく、また現像能力が非常に高い。
【0028】
上記の現像処理装置は、図5〜図7に示す塗布現像処理システムに適用される。また、上記の洗浄処理装置は、この塗布現像処理システムに投入される前にウエハWを洗浄する投入前洗浄工程において使用される。
【0029】
図5に示すように、この塗布現像処理システムは、ウエハWが収容されたカセットCRからウエハWを順次取り出すカセット部60と、カセット部60によって取り出されたウエハWに対しレジスト液塗布及び現像液塗布や熱処理などの一連のプロセス処理を行なうプロセス処理部61と、レジスト液が塗布されたウエハWを図示しない露光装置に受け渡すインタフェース部62とを備えている。
【0030】
カセット部60には、カセットCRを位置決め保持するための4つの突起部70aと、この突起部70aによって保持されたカセット内からウエハWを取り出す第1のサブアーム機構71とが設けられている。このサブアーム機構71は、ウエハWを取り出したならば、θ方向に回転して向きを変え、このウエハWをプロセス処理部61に設けられたメインアーム機構72に受け渡すことができるようになっている。
【0031】
カセット部60とプロセス処理部61間でのウエハWの受け渡しは第3の処理ユニット群G3を介して行われる。この第3の処理ユニット群G3は、図7に示すように複数のプロセス処理ユニットを縦形に積み上げて構成したものである。すなわち、この処理ユニット群G3は、ウエハWを冷却処理するクーリングユニット(COL)、ウエハWに対するレジスト液の定着性を高める疎水化処理を行なうアドヒージョンユニット(AD)、ウエハWの位置合わせをするアライメントユニット(ALIM)、ウエハWを待機させておくためのエクステンションユニット(EXT)、レジスト塗布後のシンナー溶剤を乾燥させる2つのプリベーキングユニット(PREBAKE)、及び露光処理後の加熱処理を行なうポストエキスポージャーベークユニット(PEB)と現像処理後の水分除去に使うポストベーキングユニット(POBAKE)を順次下から上へと積み上げて構成されている。
【0032】
そして、前記ウエハWのメインアーム機構72への受け渡しは、前記エクステンションユニット(EXT)及びアライメントユニット(ALIM)を介して行われる。
【0033】
また、図5に示すように、このメインアーム機構72の周囲には、前記第3の処理ユニット群G3を含む第1〜第5の処理ユニット群G1〜G5がこのメインアーム機構72を囲むように設けられている。前述した第3の処理ユニット群G3と同様に、他の処理ユニット群G1,G2,G4,G5も各種の処理ユニットを上下方向に積み上げ的に構成されている。
【0034】
前記第1、第2の処理ユニット群G1、G2には、現像処理装置(DEV)及びこの実施形態のレジスト液塗布装置(COT)が設けられている。図6に示すように、この第1、第2の処理ユニット群G1,G2は、レジスト塗布装置(COT)と現像処理装置(DEV)とを上下方向に積み上げ構成したものである。
【0035】
一方、前記メインアーム機構72は、図7に示すように、上下方向に延接された筒状のガイド79と、ガイド79に沿って上下駆動されるメインアーム78を備えている。また、このメインアーム78は平面方向に回転し、かつ進退駆動されるように構成されている。したがって、このメインアーム78を、上下方向に駆動することで、ウエハWを前記各処理ユニット群G1〜G5の各処理ユニットに対して任意にアクセスさせることができるようになっている。
【0036】
以下に、塗布現像処理システムにおけるウエハWの処理方法について説明する。
【0037】
まず、上述した図1に示す洗浄処理装置内にウエハWを搬送する。ウエハWは、塗布現像処理システムにおいて露光パターンを形成する面を上方に向けて、スピンチャック110により水平に吸引保持される。
【0038】
次に、図2に示すように、ウエハWを時計回りに回転させた状態で、洗浄液及びリンス液をウエハW上に同時に供給しながら、ウエハWの外縁部からウエハ中心部に向かって、Y駆動機構116を駆動することにより洗浄液供給ノズル140及びリンス液供給ノズル111を矢印A方向に沿って移動させる。これにより、洗浄液によるウエハWの洗浄及び洗浄液のリンスを行うことができる。この際、ウエハWの回転速度は一定とし、ノズルの移動速度は、ウエハWの中心部に近くなるにつれて徐々に遅くなるように設定する。これにより、ウエハW面内で供給される洗浄液の量を均一化するこができる。具体的には、200mmの直径を有するウエハWを処理する場合には、ウエハWの回転速度を例えば10〜200rpm、より好ましくは30〜150rpmとし、ノズルの移動速度は、はじめ例えば30mm/sに設定し、徐々に一定の減速率にて減速させ、ウエハWの中心部付近で例えば5mm/sとなるように設定した。尚、ノズルの移動速度を一定とし、ウエハWの回転速度を変化させても良く、ウエハWの回転速度が、ノズルの位置がウエハWの中心部に近くなるほど相対的に遅くなるように設定すれば良い。
【0039】
このように洗浄されたウエハWは乾燥処理され、塗布現像処理システムのカセット部60のカセットCR内に載置される。
【0040】
次に、カセット部60から第3の処理ユニット群G3のエクステンションユニット(EXT)を介してウエハWを受け取ったメインアーム機構72は、先ず、このウエハWを第3の処理ユニット群G3のアドヒージョンユニット(AD)に搬入し、疎水化処理を行なう。ついで、アドヒージョンユニット(AD)からウエハWを搬出し、クーリングユニット(COL)で冷却処理する。
【0041】
冷却処理されたウエハWは、前記メインアーム機構72によって第1の処理ユニット群G1(若しくは第2の処理ユニット群G2)のレジスト液塗布装置(COT)に対向位置決めされ、搬入される。このレジスト液塗布装置(COT)によりレジスト液が塗布されたウエハWは、メインアーム機構72によってアンロードされ、第4の処理ユニット群G4を介して前記インタフェース部62に受け渡される。
【0042】
この第4の処理ユニット群G4は、図7に示すように、クーリングユニット(COL)、イクステンション・クーリングユニット(EXT・COL)、イクステンションユニット(EXT)、クーリングユニット(COL)、2つのプリベーキングユニット(PREBAKE)、及び2つのポストベーキングユニット(POBAKE)を下から上へと順次積み上げて構成したものである。
【0043】
レジスト液塗布装置(COT)から取り出されたウエハWは、先ず、プリベーキングユニット(PREBAKE)に挿入され、レジスト液から溶剤(シンナー)を飛ばして乾燥される。
【0044】
また、このプリベーキングユニットはレジスト液塗布装置(COT)と別に設置しても良いし、レジスト液塗布装置内に設置されていても良い。
【0045】
次に、このウエハWはクーリングユニット(COL)で冷却された後、エクステンションユニット(EXT)を介して前記インタフェース部62に設けられた第2のサブアーム機構64に受け渡される。
【0046】
ウエハWを受け取った第2のサブアーム機構64は、受け取ったウエハWを順次カセットCR内に収納する。このインターフェース部は、前記ウエハWをカセットCRに収納した状態で図示しない露光装置に受け渡し、露光処理後のウエハWが収納されたカセットCRを受け取る。
【0047】
露光処理された後のウエハWは、前記とは逆に第4の処理ユニット群G4を介してメインアーム機構72に受け渡され、このメインアーム機構72は、この露光後のウエハWをポストエキスポージャーベーキングユニット(PEBAKE)に挿入した後、所定の温度にてクーリングユニット(COL)にて冷却し、その後、現像処理装置(DEV)に挿入し現像処理を行なわせる。現像処理後のウエハWは、ポストベーキングユニット(POBAKE)に搬送され、加熱乾燥した後、この第3の処理ユニット群G3のエクステンションユニット(EXT)を介してカセット部60に排出される。
【0048】
現像処理装置内では、ウエハWは、スピンチャック10により水平に吸引保持される。次に、図4に示すように、ウエハWを時計回りに回転させた状態で、現像液及びリンス液を同時にウエハW上に供給しながら、ウエハWの外縁部からウエハ中心部に向かって、Y駆動機構16を駆動することにより現像液供給ノズル40及びリンス液供給ノズル11を矢印C方向に沿って移動させる。これにより、現像及びリンスを行うことができる。この際、ウエハWの回転速度は一定とし、ノズルの移動速度は、ウエハWの中心部に近くなるにつれて徐々に遅くなるように設定した。これにより、現像処理の終了のタイミングをウエハW面内で均一化することができる。具体的には、200mmの直径を有するウエハWを処理する場合には、ウエハWの回転速度を例えば10〜200rpm、より好ましくは30〜150rpmとし、ノズルの移動速度は、はじめ例えば30mm/sに設定し、徐々に一定の減速率にて減速させ、ウエハWの中心部付近で例えば5mm/sとなるように設定した。尚、ノズルの移動速度を一定とし、ウエハWの回転速度を変化させても良く、ウエハWの回転速度が、ノズルの位置がウハWの中心部に近くなるほど相対的に遅くなるように設定すれば良い。また、本実施形態では、ウエハWの回転速度に対するノズルの移動速度を、ノズルの位置がウハWの中心部に近くなるに従って、ノズルがウハWの周縁部付近に位置する場合の回転速度に対するノズルの移動速度に対して、相対的に遅くなるように設定することにより、ウエハW面内での現像処理終了のタイミングを均一にすることができるが、ノズルの移動速度をノズルの位置がウハWの中心部に近くなるほど速くなるように設定しても良い。この場合、例えばウエハWの回転速度を一定とし、ノズルの移動速度をノズルの位置がウエハWの中心部に近くなるに従って速くすることにより、ウエハWと現像液との接触時間をウエハW面内で均一化することができる。これは、ウエハWの中心部にノズルの位置が近くなるほど面積的に塗布領域が小さくなっていくためである。
なお、前記第5の処理ユニット群G5は、選択的に設けられるもので、この例では前記第4の処理ユニット群G4と同様に構成されている。また、この第5の処理ユニット群G5はレール65によって移動可能に保持され、前記メインアーム機構72及び前記第1〜第4の処理ユニット群G1〜G4に対するメンテナンス処理を容易に行ない得るようになっている。
【0049】
なお、前記第5の処理ユニット群G5は、選択的に設けられるもので、この例では前記第4の処理ユニット群G4と同様に構成されている。また、この第5の処理ユニット群G5はレール65によって移動可能に保持され、前記メインアーム機構72及び前記第1〜第4の処理ユニット群G1〜G4に対するメンテナンス処理を容易に行ない得るようになっている。
【0050】
ここで、本実施形態における洗浄処理装置及び現像処理装置による洗浄処理及び現像処理の効果について、現像処理装置を例に挙げて、図10及び図11を用いて説明する。図10は従来の実施形態、図11は本実施形態を説明する図である。図10(a)はウエハW上に現像液300を塗布している状態を示す図である。図10(b)は、横軸が図10(a)に対応したウエハW上の位置、縦軸がそのウエハWの位置における現像液の現像液の現像能力を示している。図11(a)はウエハW上に現像液300及びリンス液301を塗布している状態を示す図である。図11(b)は、横軸が図11(a)に対応したウエハWの位置、縦軸がそのウエハWの位置における現像液の現像液の現像能力を示している。
【0051】
従来では、ウエハW全面に現像液が供給された後、リンス液が供給される。図10(a)、(b)に示すように、現像液供給ノズル40より滴下された直後の現像液300aは新しいため、領域A−Bで区切られた領域での現像処理は、新しい現像液により現像処理される。これに対し、領域B−Cで区切られた領域では、領域A−Bで既に現像処理に使われた汚れた現像液300bが拡散し、ウエハW表面に接触することになる。この結果、領域B−Cにおける現像液300bの現像処理能力は、領域A−Bにおける現像液300aの現像処理能力と比較し、著しく劣ってしまう。
【0052】
これに対し、本実施形態においては、現像処理で既に使われた汚れた現像液はリンス液により除去されるため、常に新しい現像液がウエハW上に供給される。すなわち、図11(a)に示すように、現像液供給ノズル40より滴下された直後の現像液300aは新しく、滴下された領域では新しい現像液により現像処理される。更に、現像処理で既に使われた現像液は、リンス液301によって直ちに除去されるので、ノズルの移動及びウエハWの回転により、常にウエハW上には新しい現像液300aが供給されることになる。従って、図11(b)に示すように領域D−Eには新しい現像液が常に供給されることとなり、ウエハW面内で高い現像処理能力を得ることができる。なお、この効果は、洗浄処理装置においても同じことが言え、洗浄処理装置においては、常に新しい洗浄液がウエハW全面に供給されることになる。
【0053】
上述の実施形態においては、処理液ノズルとしての洗浄液供給ノズルとリンス液供給ノズルとの位置関係、処理液ノズルとしての現像液供給ノズルとリンス液供給ノズルとの位置関係は、それぞれ固定されているが、処理液ノズルとリンス液供給ノズルとの位置関係を可変するように設定しても良い。例えば、図8及び図9に示すように、処理液供給ノズル240に対して、リンス液供給ノズル211の位置が可変可能となるように設定することができる。尚、図8はノズル周辺部を横から見た図、図9は図8のノズルを上方から見た場合の平面図である。また、Z駆動機構215は、上述の実施形態のZ駆動機構15または115に相当する。
【0054】
図8及び図9に示すように、処理液供給ノズル240は、Z駆動機構215の先端にホルダ217を介して保持されている。更に、リンス液供給ノズル211は、ホルダ217と軸230により接続されたホルダ242に保持されている。処理液供給ノズル240及びリンス液供給ノズル211は、それぞれ図示しない処理液タンク、リンス液タンクに、供給管241、212を介して接続されている。ホルダ242は、軸230を中心に、図9に示すように、360度回転可能に設定されている。このような構造とすることにより、処理液ノズルに対してリンス液供給ノズルの位置を任意に設定することができるので、ウエハW上に滴下された処理液の拡散状態に応じて、リンス液供給ノズルの位置を好ましい位置に設定することができる。また、この位置の設定は、ノズルがウエハW上を移動している間に行えるように設定しても良い。更に、図8及び図9に示す構造に加え、処理液ノズルとリンス液供給ノズルとの距離を任意に設定可能な構造とすることにより、ウエハWの大きさ、ウエハWの回転速度やノズルの移動速度などの設計範囲の幅が更に広がる。
【0055】
また、上述の実施形態において、ノズルは、ウエハWの半径上を片道移動しているが、例えば、ウエハWの半径上を往復移動しても良く、ウエハWの直径上を移動しても良い。ウエハWの直径上をノズルが移動する場合では、ウエハWの中心部を境にリンス液供給ノズルの位置を変える必要があり、処理液ノズルから滴下される処理液の拡散方向にリンス液供給ノズルが位置するように設定すれば良い。
【0056】
なお、この実施形態は、その他発明の要旨を変更しない範囲で種々変形可能である。例えば、上記実施形態では、半導体ウエハに現像液を供給する現像処理装置を例にとって説明したが、半導体ウエハに限定されるものではなく、LCD製造に用いる矩形状のガラス基板に現像液を塗布する装置であってもよい。また、上述の洗浄液供給装置においても、LCD製造に用いる矩形状のガラス基板の洗浄工程に用いることができる。また、上記実施形態では、処理液として現像液や洗浄液を例に挙げているが、レジスト材の剥離液などであっても良く、変形可能である。
【0057】
【発明の効果】
以上説明したように、この発明によれば、被処理基板全面に新しい処理液を供給することができるので、基板面内における処理むらがなく、更に処理能力を高くすることができる。
【図面の簡単な説明】
【図1】この発明の一実施例に係る洗浄処理装置を示す概略構成図である。
【図2】図1の洗浄処理装置の上面図である。
【図3】この発明の一実施形態に係る現像処理装置を示す概略構成図である。
【図4】図3の洗浄処理装置の上面図である。
【図5】この発明に係わる現像処理装置を適用した塗布現像処理装置の平面図である。
【図6】図5の塗布現像処理装置の側面図である。
【図7】図5の塗布現像処理装置の正面図である。
【図8】他の実施形態に係るノズルの構造を示す側面図である。
【図9】図8の上面図である。
【図10】図10(a)は、従来の現像液塗布の状態を示す図であり、図10(b)は、横軸が現像液滴下位置と現像液の現像能力との関係を示す図である。
【図11】図11(a)は、一実施形態における現像液塗布の状態を示す図であり、図11(b)は、横軸が現像液滴下位置と現像液の現像能力との関係を示す図である。
【符号の説明】
W…ウエハ(被処理基板)
10、110…スピンチャック
11、111、211…リンス液供給ノズル
15、115…Z駆動機構
16、116…Y駆動機構
40…現像液供給ノズル
140…洗浄液供給ノズル
240…処理液供給ノズル
242…ホルダ
300…現像液
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a substrate processing apparatus for applying a processing solution such as a developing solution or a cleaning solution to the surface of a substrate to be processed such as a semiconductor wafer.
[0002]
[Prior art]
In a manufacturing process of a semiconductor device (IC chip) or LCD, a photolithography technique is used to form a fine pattern on a surface of a substrate to be processed such as a semiconductor wafer or a glass substrate with high accuracy and high density.
[0003]
For example, in the manufacture of semiconductor devices, after applying a resist solution to the surface of a semiconductor wafer, the resist solution is exposed to a predetermined pattern, and further developed and etched to form a predetermined circuit pattern. .
[0004]
In recent years, the line width of a semiconductor circuit to be formed by photolithography technology tends to become finer, and along with this, there is a strict demand for uniformity in the surface of a substrate to be processed during development processing.
[0005]
Application of the developer can be performed by, for example, a spin coating method. In this spin coating method, the developer is dropped near the center of the wafer and the wafer is rotated to diffuse the developer by centrifugal force. After the developing solution is applied to the entire surface of the wafer, a rinsing solution is dropped and diffused near the center of the wafer to remove the developing solution on the wafer.
[0006]
[Problems to be solved by the invention]
In such a developer application, a fresh developer is applied to the central portion of the wafer where the developer is dropped, but a liquid containing a developer that has been developed is applied to the peripheral portion of the wafer. The For this reason, the development processing capability is different between the central portion and the peripheral portion of the wafer, and it is difficult to uniformly perform the development processing within the surface.
[0007]
The present invention has been made in view of such circumstances, and a substrate processing apparatus capable of always supplying a fresh processing solution onto a substrate to be processed and processing the entire surface of the substrate with a developing solution having a high development processing capability. An object is to provide a substrate processing method.
[0008]
[Means for Solving the Problems]
In order to solve the above-described object, a substrate processing apparatus of the present invention includes a substrate holding mechanism that horizontally holds a substrate, a rotation mechanism that rotates the substrate in a horizontal plane, and a state in which the substrate is rotated by the rotation mechanism. And a processing liquid supply nozzle that moves along a direction connecting the outer edge portion and the center portion of the substrate to supply the processing liquid onto the substrate, and is positioned in a diffusion direction of the processing liquid supplied onto the substrate. And a rinsing liquid supply nozzle that is disposed adjacent to the processing liquid supply nozzle and supplies a rinsing liquid onto the substrate simultaneously with the supply of the processing liquid.
[0009]
According to such a configuration of the present invention, the processing liquid immediately after being dropped from the processing liquid nozzle is new, and the dropped area is processed with the new processing liquid. The processed liquid is diffused by the rotation of the substrate, and the diffused processed liquid is immediately removed by the rinse liquid supplied from the rinse liquid supply nozzle. For this reason, a new processing solution is always supplied onto the substrate, and a processing solution having a high processing capacity is supplied to the entire surface of the substrate. Therefore, there is no processing unevenness on the entire surface of the substrate, and the processing is performed efficiently.
[0010]
In addition, the substrate processing apparatus of the present invention includes a substrate holding mechanism that holds the substrate horizontally, a rotation mechanism that rotates the substrate in a horizontal plane, and an outer edge portion of the substrate that is rotated by the rotation mechanism. A processing liquid supply nozzle that moves along the direction connecting the center portion and the processing liquid to supply the processing liquid onto the substrate; and a processed liquid after the processing liquid supplied onto the substrate is used for processing. And a rinsing liquid supply nozzle for supplying a rinsing liquid to be removed to the substrate simultaneously with the supply of the processing liquid.
[0011]
According to such a configuration of the present invention, the processing liquid immediately after being dropped from the processing liquid nozzle is new, and the dropped area is processed with the new processing liquid. Since the processed liquid is immediately removed by the rinsing liquid, a new processing liquid is always supplied onto the substrate, and a processing liquid having a high processing capacity is supplied to the entire surface of the substrate. Therefore, there is no processing unevenness on the entire surface of the substrate, and the processing is performed efficiently.
[0012]
In the substrate processing method of the present invention, the processing liquid supply is performed while rotating the horizontally held substrate and moving the processing liquid supply nozzle and the rinsing liquid supply nozzle along the direction connecting the outer edge portion and the center portion of the substrate. A substrate processing method for supplying a processing liquid and a rinsing liquid to a substrate from a nozzle and a rinsing liquid supply nozzle, respectively, wherein the rinsing liquid supply nozzle is in a direction in which the processing liquid supplied on the substrate is diffused. It is characterized by being located.
[0013]
According to such a configuration of the present invention, the processing liquid immediately after being dropped from the processing liquid nozzle is new, and the dropped area is processed with the new processing liquid. The processed liquid is diffused by the rotation of the substrate, and the diffused processed liquid is immediately removed by the rinse liquid supplied from the rinse liquid supply nozzle. For this reason, a new processing solution is always supplied onto the substrate, and a processing solution having a high processing capacity is supplied to the entire surface of the substrate. Therefore, there is no processing unevenness on the entire surface of the substrate, and the processing is performed efficiently.
[0014]
In the substrate processing method of the present invention, the substrate held horizontally is rotated, and the processing liquid supply nozzle and the rinsing liquid supply nozzle are moved along a direction connecting the outer edge portion and the center portion of the substrate. A substrate processing method for supplying a processing liquid and a rinsing liquid to a substrate from a liquid supply nozzle and a rinsing liquid supply nozzle, respectively, wherein the rinsing liquid is used for processing the processing liquid supplied on the substrate. After that, the treated liquid is removed.
[0015]
  According to such a configuration of the present invention, the processing liquid immediately after being dropped from the processing liquid nozzle is new, and the dropped area is processed with the new processing liquid. Since the processed liquid is immediately removed by the rinsing liquid, a new processing liquid is always supplied onto the substrate, and a processing liquid having a high processing capacity is supplied to the entire surface of the substrate. Therefore, there is no processing unevenness on the entire surface of the substrate, and the processing is performed efficiently.
  Further, the substrate processing method of the present invention rotates the horizontally held substrate and supplies the processing liquid to the substrate so that the region on the substrate where the processing liquid is dropped moves. A method of processing, wherein the process liquid and the rinsing liquid are simultaneously supplied onto the substrate, and the treatment liquid dropped while the process liquid and the rinsing liquid are supplied simultaneously on the substrate. And the step of supplying the rinse liquid immediately after the treatment liquid is dripped into the region to be diffused in.
[0016]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, an embodiment of the present invention will be described with reference to the drawings.
FIG. 1 is a schematic configuration diagram showing an example in which the present invention is applied to a cleaning processing apparatus for supplying a cleaning liquid as a processing liquid to the surface of a semiconductor wafer (hereinafter referred to as “wafer W”). FIG. 2 is a plan view of the apparatus as viewed from above.
[0017]
FIG. 3 is a schematic configuration diagram showing an example in which the present invention is applied to a development processing apparatus that supplies a developer as a processing liquid to the surface of the wafer W. FIG. 4 is a plan view of the apparatus as viewed from above.
[0018]
As shown in FIG. 1, the cleaning processing apparatus has a spin chuck 110 that horizontally holds and holds a wafer W on its upper surface as a substrate holding mechanism, and that drives the wafer W to rotate and move up and down. Above the spin chuck 110, the wafer W is held so as to be opposed to the wafer W. A cleaning liquid supply nozzle 140 for dropping the cleaning liquid on the wafer W and a rinsing liquid supply for dropping pure water as a rinsing liquid are provided. The nozzle 111 is disposed adjacent to the nozzle 111. The cleaning liquid supply nozzle 140 is connected to the cleaning liquid tank 143 via a supply pipe 141 and a control valve 142 such as an air operated valve or an electromagnetic valve. The rinse liquid supply nozzle 111 is connected to the rinse liquid tank 114 via a supply pipe 112 and a control valve 113 such as an air operated valve or an electromagnetic valve.
[0019]
The cleaning liquid supply nozzle 140 and the rinsing liquid supply nozzle 111 are held via a holder 117 at the tip of a Z drive mechanism 115 configured in an L shape as shown in the figure. The base end portion of the Z drive mechanism 115 is held by the Y drive mechanism 116. As shown in FIG. 2, the Y drive mechanism 116 has a Y rail 120 extending to the outside of the cup 124 along the Y direction, and the cleaning liquid supply nozzle 140 and the rinsing liquid supply nozzle 111 are connected to the wafer W. It can move between the upper part and the nozzle standby part 121. The nozzle standby unit 121 is configured to store the cleaning liquid supply nozzle 140 and the rinsing liquid supply nozzle 111, and is configured to be able to clean the tip of these nozzles.
[0020]
As shown in FIG. 2, the cleaning liquid supply nozzle 140 and the rinsing liquid supply nozzle 111 move along the direction connecting the outer edge portion and the center portion of the wafer W, that is, the direction of the arrow A along the radius of the wafer W to supply the cleaning liquid. The nozzle 140 moves so as to be always located on the arrow A. On the other hand, the rinsing liquid supply nozzle 111 is located slightly off the arrow A, and is located behind the cleaning liquid supply nozzle 140 when viewed in the moving direction (arrow direction). The position of the rinsing liquid supply nozzle 111 is determined by the diffusion direction (arrow B) of the dropped cleaning liquid, and is set so that at least the rinsing liquid is supplied to the region where the cleaning liquid dropped on the wafer W diffuses. good. The application of the cleaning liquid is performed by the rotation of the wafer W and the movement of the nozzle, and the diffusion direction of the cleaning liquid is determined by the rotation direction of the wafer W.
[0021]
Around the spin chuck 110, there is provided a cup 124 that receives excess cleaning liquid that is scattered while the wafer W is being rotationally driven.
[0022]
As shown in FIG. 3, the development processing apparatus has the same configuration as the above-described cleaning processing apparatus, and is different in that the liquid supplied from the nozzle is different. The development processing apparatus has a spin chuck 10 that sucks and holds the wafer W on its upper surface as a substrate holding mechanism, and that drives the wafer W to rotate and lift. Above the spin chuck 10, the wafer W is held so as to be opposed to the wafer W, and a developer supply nozzle 40 for dropping the developer on the wafer W, and for dropping pure water, for example, as a rinse liquid, are provided. A rinsing liquid supply nozzle 11 is arranged. The developer supply nozzle 40 is connected to the developer tank 43 via a supply pipe 41 and a control valve 42. The rinsing liquid supply nozzle 11 is connected to a rinsing liquid tank 14 via a supply pipe 12 and a control valve 13 such as an air operated valve or an electromagnetic valve.
[0023]
Further, the developer supply nozzle 40 and the rinsing liquid supply nozzle 11 are held via a holder 17 at the tip of a Z drive mechanism 15 configured in an L shape as shown in the figure. The base end portion of the Z drive mechanism 15 is held by the Y drive mechanism 16. As shown in FIG. 4, the Y drive mechanism 16 has a Y rail 20 extending to the outside of the cup 24 along the Y direction, and the developer supply nozzle 40 and the rinse liquid supply nozzle 11 are connected to the wafer W. It can move between the upper part and the nozzle standby part 21. The nozzle standby unit 21 is configured to accommodate the developing solution supply nozzle 40 and the rinsing solution supply nozzle 11, and is configured to be able to clean the tip portions of these nozzles.
[0024]
As shown in FIG. 4, the developer supply nozzle 40 and the rinsing liquid supply nozzle 11 move along the direction connecting the outer edge portion and the center portion of the wafer W, that is, along the direction of the arrow C along the radius of the wafer W. The liquid supply nozzle 40 moves so as to be always positioned on the arrow C. On the other hand, the rinsing liquid supply nozzle 11 is located slightly off the arrow C, and is positioned behind the developer supply nozzle 40 when viewed in the moving direction (arrow direction). The position of the rinsing liquid supply nozzle 11 is determined by the diffusion direction (arrow D) of the dropped rinsing liquid, and is set so that at least the rinsing liquid is supplied to the region where the developer dropped on the wafer W diffuses. Just do it. Note that the application of the developer is performed by rotating the wafer W and moving the nozzle, and the diffusion direction of the developer is determined by the rotation direction of the wafer W.
[0025]
A wafer W is driven to rotate around the spin chuck 10.
A cup 24 is provided for receiving excess developer that scatters during the process.
[0026]
According to the cleaning processing apparatus of this embodiment, the rinsing liquid is supplied immediately after the cleaning liquid is dropped on the wafer W during the cleaning process. Since this rinse solution immediately removes the used dirty cleaning solution, a new cleaning solution is always supplied onto the wafer W. Accordingly, since the entire surface of the wafer W is cleaned with a new cleaning liquid, there is no uneven cleaning in the surface and the cleaning capability is very high.
[0027]
According to the development processing apparatus of the present embodiment, the rinse liquid is supplied immediately after the developer is dropped onto the wafer W during the development cleaning process. Since this rinse solution immediately removes the used dirty developer, a new developer is always supplied onto the wafer W. Therefore, since development is performed on the entire surface of the wafer W with a new developer, there is no uneven development in the surface and the development capability is very high.
[0028]
The above development processing apparatus is applied to the coating and developing processing system shown in FIGS. Further, the above-described cleaning processing apparatus is used in a pre-loading cleaning process for cleaning the wafer W before being loaded into the coating and developing treatment system.
[0029]
As shown in FIG. 5, this coating and developing processing system includes a cassette unit 60 for sequentially taking out wafers W from a cassette CR containing wafers W, and a resist solution coating and developing solution for the wafers W taken out by the cassette unit 60. A process processing unit 61 that performs a series of process processes such as coating and heat treatment, and an interface unit 62 that delivers a wafer W coated with a resist solution to an exposure apparatus (not shown) are provided.
[0030]
The cassette unit 60 is provided with four projections 70a for positioning and holding the cassette CR, and a first sub arm mechanism 71 for taking out the wafer W from the cassette held by the projections 70a. When the sub-arm mechanism 71 takes out the wafer W, the sub-arm mechanism 71 rotates in the θ direction and changes its direction, and the wafer W can be transferred to the main arm mechanism 72 provided in the process processing unit 61. Yes.
[0031]
The transfer of the wafer W between the cassette unit 60 and the process processing unit 61 is performed via the third processing unit group G3. The third processing unit group G3 is configured by stacking a plurality of process processing units vertically as shown in FIG. That is, the processing unit group G3 includes a cooling unit (COL) for cooling the wafer W, an adhesion unit (AD) for performing a hydrophobic treatment for improving the fixability of the resist solution on the wafer W, and alignment of the wafer W. Alignment unit (ALIM), an extension unit (EXT) for waiting the wafer W, two pre-baking units (PREBAKE) for drying the thinner solvent after resist coating, and a post for performing heat treatment after exposure processing An exposure bake unit (PEB) and a post-baking unit (POBAKE) used for removing water after development are sequentially stacked from bottom to top.
[0032]
The wafer W is transferred to the main arm mechanism 72 through the extension unit (EXT) and the alignment unit (ALIM).
[0033]
Further, as shown in FIG. 5, around the main arm mechanism 72, the first to fifth processing unit groups G1 to G5 including the third processing unit group G3 surround the main arm mechanism 72. Is provided. Similar to the third processing unit group G3 described above, the other processing unit groups G1, G2, G4, and G5 are configured by stacking various processing units in the vertical direction.
[0034]
The first and second processing unit groups G1 and G2 are provided with a development processing device (DEV) and a resist solution coating device (COT) of this embodiment. As shown in FIG. 6, the first and second processing unit groups G1 and G2 are configured by stacking a resist coating device (COT) and a development processing device (DEV) vertically.
[0035]
On the other hand, as shown in FIG. 7, the main arm mechanism 72 includes a cylindrical guide 79 extending in the vertical direction, and a main arm 78 driven up and down along the guide 79. The main arm 78 is configured to rotate in the plane direction and to be driven back and forth. Accordingly, by driving the main arm 78 in the vertical direction, the wafer W can be arbitrarily accessed to each processing unit of the processing unit groups G1 to G5.
[0036]
Hereinafter, a method for processing the wafer W in the coating and developing processing system will be described.
[0037]
First, the wafer W is transferred into the cleaning processing apparatus shown in FIG. The wafer W is sucked and held horizontally by the spin chuck 110 with the surface on which the exposure pattern is formed facing upward in the coating and developing processing system.
[0038]
  Next, as shown in FIG. 2, while the wafer W is rotated clockwise, the cleaning liquid and the rinsing liquid are supplied onto the wafer W at the same time, while the outer edge of the wafer W moves toward the center of the wafer. By driving the driving mechanism 116, the cleaning liquid supply nozzle 140 and the rinsing liquid supply nozzle 111 are moved along the arrow A direction. Thereby, the cleaning of the wafer W with the cleaning liquid and the rinsing of the cleaning liquid can be performed. At this time, the rotation speed of the wafer W is constant, and the moving speed of the nozzle is set so as to gradually decrease as it approaches the center of the wafer W. Thereby, the amount of the cleaning liquid supplied in the wafer W surface can be made uniform. Specifically, when processing a wafer W having a diameter of 200 mm, the rotation speed of the wafer W is, for example, 10 to 200 rpm, more preferably 30 to 150 rpm, and the nozzle moving speed is initially set to, for example, 30 mm / s. The speed was gradually reduced at a constant deceleration rate, and was set to 5 mm / s near the center of the wafer W, for example. The nozzle moving speed may be constant, and the rotation speed of the wafer W may be changed.The rotation speed of the wafer W isWhat is necessary is just to set so that it may become relatively late, so that the position of a nozzle approaches the center part of the wafer W. FIG.
[0039]
The wafer W thus cleaned is dried and placed in the cassette CR of the cassette unit 60 of the coating and developing treatment system.
[0040]
Next, when the main arm mechanism 72 receives the wafer W from the cassette unit 60 via the extension unit (EXT) of the third processing unit group G3, first, the main arm mechanism 72 transfers the wafer W to the adherence of the third processing unit group G3. It is carried into the John unit (AD) and hydrophobized. Next, the wafer W is unloaded from the adhesion unit (AD) and cooled by the cooling unit (COL).
[0041]
The cooled wafer W is positioned and transferred to the resist solution coating apparatus (COT) of the first processing unit group G1 (or the second processing unit group G2) by the main arm mechanism 72. The wafer W coated with the resist solution by the resist solution coating apparatus (COT) is unloaded by the main arm mechanism 72 and transferred to the interface unit 62 via the fourth processing unit group G4.
[0042]
As shown in FIG. 7, the fourth processing unit group G4 includes a cooling unit (COL), an extension / cooling unit (EXT / COL), an extension unit (EXT), a cooling unit (COL), two pre-processing units. A baking unit (PREBAKE) and two post baking units (POBAKE) are sequentially stacked from bottom to top.
[0043]
The wafer W taken out from the resist solution coating apparatus (COT) is first inserted into a pre-baking unit (PREBAKE), and dried by removing a solvent (thinner) from the resist solution.
[0044]
The pre-baking unit may be installed separately from the resist solution coating apparatus (COT), or may be installed in the resist solution coating apparatus.
[0045]
Next, the wafer W is cooled by a cooling unit (COL), and then transferred to a second sub arm mechanism 64 provided in the interface unit 62 via an extension unit (EXT).
[0046]
The second sub arm mechanism 64 that has received the wafers W sequentially stores the received wafers W in the cassette CR. The interface unit transfers the wafer W to an exposure apparatus (not shown) in a state in which the wafer W is stored in the cassette CR, and receives the cassette CR in which the wafer W after exposure processing is stored.
[0047]
The wafer W after the exposure processing is transferred to the main arm mechanism 72 via the fourth processing unit group G4, contrary to the above, and the main arm mechanism 72 post-extracts the wafer W after the exposure processing. After being inserted into the pager baking unit (PEBAKE), it is cooled by a cooling unit (COL) at a predetermined temperature, and then inserted into a development processing device (DEV) for development processing. The developed wafer W is transferred to a post-baking unit (POBAKE), heated and dried, and then discharged to the cassette unit 60 through the extension unit (EXT) of the third processing unit group G3.
[0048]
  In the development processing apparatus, the wafer W is horizontally sucked and held by the spin chuck 10. Next, as shown in FIG. 4, while the wafer W is rotated clockwise, the developer and the rinsing liquid are supplied onto the wafer W at the same time, from the outer edge of the wafer W toward the center of the wafer. By driving the Y drive mechanism 16, the developer supply nozzle 40 and the rinsing liquid supply nozzle 11 are moved along the arrow C direction. Thereby, development and rinsing can be performed. At this time, the rotation speed of the wafer W was fixed, and the moving speed of the nozzle was set so as to gradually decrease as it approached the center of the wafer W. Thereby, the end timing of the development processing can be made uniform in the wafer W plane. Specifically, when processing a wafer W having a diameter of 200 mm, the rotation speed of the wafer W is, for example, 10 to 200 rpm, more preferably 30 to 150 rpm, and the nozzle moving speed is initially set to, for example, 30 mm / s. The speed was gradually reduced at a constant deceleration rate, and was set to 5 mm / s near the center of the wafer W, for example. The nozzle moving speed may be constant, and the rotation speed of the wafer W may be changed.The rotation speed of the wafer W isThe nozzle position isDWhat is necessary is just to set so that it may become relatively late, so that it becomes close to the center part of C. Further, in this embodiment, the nozzle moving speed with respect to the rotation speed of the wafer W is determined by the nozzle position.DAs the nozzle gets closer to the center of the W, the nozzleDThe timing of completion of development processing within the wafer W surface is made uniform by setting the nozzle W so as to be relatively slow with respect to the rotational speed of the nozzle when it is located near the peripheral edge of the wafer W. However, the nozzle moving speedDYou may set so that it may become quick, so that the center part of C is close. In this case, for example, the rotation speed of the wafer W is kept constant,LeBy increasing the moving speed as the position of the nozzle becomes closer to the center of the wafer W, the contact time between the wafer W and the developer can be made uniform in the wafer W plane. This is because the coating area is smaller in area as the nozzle position is closer to the center of the wafer W.
  The fifth processing unit group G5 is selectively provided. In this example, the fifth processing unit group G5 is configured in the same manner as the fourth processing unit group G4. Further, the fifth processing unit group G5 is movably held by a rail 65, so that maintenance processing for the main arm mechanism 72 and the first to fourth processing unit groups G1 to G4 can be easily performed. ing.
[0049]
The fifth processing unit group G5 is selectively provided. In this example, the fifth processing unit group G5 is configured in the same manner as the fourth processing unit group G4. Further, the fifth processing unit group G5 is movably held by a rail 65, so that maintenance processing for the main arm mechanism 72 and the first to fourth processing unit groups G1 to G4 can be easily performed. ing.
[0050]
Here, the effects of the cleaning processing and the development processing by the cleaning processing device and the development processing device in the present embodiment will be described with reference to FIGS. 10 and 11 by taking the development processing device as an example. FIG. 10 is a diagram for explaining a conventional embodiment, and FIG. 11 is a diagram for explaining this embodiment. FIG. 10A is a view showing a state in which the developer 300 is applied on the wafer W. FIG. In FIG. 10B, the horizontal axis indicates the position on the wafer W corresponding to FIG. 10A, and the vertical axis indicates the developing ability of the developer at the position of the wafer W. FIG. 11A is a view showing a state in which the developing solution 300 and the rinsing solution 301 are applied on the wafer W. FIG. In FIG. 11B, the horizontal axis indicates the position of the wafer W corresponding to FIG. 11A, and the vertical axis indicates the developing ability of the developer at the position of the wafer W.
[0051]
Conventionally, after the developer is supplied to the entire surface of the wafer W, the rinse liquid is supplied. As shown in FIGS. 10A and 10B, since the developing solution 300a immediately after being dropped from the developing solution supply nozzle 40 is new, the development processing in the region delimited by the regions AB is a new developing solution. Is developed. On the other hand, in the area divided by the area BC, the dirty developer 300b already used in the development process in the area AB diffuses and comes into contact with the surface of the wafer W. As a result, the development processing capability of the developer 300b in the region BC is significantly inferior to the development processing capability of the developer 300a in the region AB.
[0052]
On the other hand, in this embodiment, the dirty developer already used in the development process is removed by the rinse solution, so that a new developer is always supplied onto the wafer W. That is, as shown in FIG. 11A, the developer 300a immediately after being dripped from the developer supply nozzle 40 is new, and the dripped region is developed with a new developer. Further, since the developing solution already used in the developing process is immediately removed by the rinsing solution 301, the new developing solution 300a is always supplied onto the wafer W by the movement of the nozzle and the rotation of the wafer W. . Accordingly, as shown in FIG. 11B, a new developer is always supplied to the area D-E, and a high development processing capability can be obtained in the wafer W plane. This effect can be applied to the cleaning processing apparatus. In the cleaning processing apparatus, a new cleaning liquid is always supplied to the entire surface of the wafer W.
[0053]
In the above-described embodiment, the positional relationship between the cleaning liquid supply nozzle and the rinse liquid supply nozzle as the processing liquid nozzle and the positional relationship between the developer supply nozzle and the rinse liquid supply nozzle as the processing liquid nozzle are fixed. However, the positional relationship between the processing liquid nozzle and the rinsing liquid supply nozzle may be set to be variable. For example, as shown in FIGS. 8 and 9, the position of the rinsing liquid supply nozzle 211 can be set to be variable with respect to the processing liquid supply nozzle 240. 8 is a view of the peripheral portion of the nozzle as viewed from the side, and FIG. 9 is a plan view of the nozzle of FIG. 8 as viewed from above. The Z drive mechanism 215 corresponds to the Z drive mechanism 15 or 115 of the above-described embodiment.
[0054]
As shown in FIGS. 8 and 9, the processing liquid supply nozzle 240 is held via a holder 217 at the tip of the Z drive mechanism 215. Further, the rinsing liquid supply nozzle 211 is held by a holder 242 connected by a holder 217 and a shaft 230. The treatment liquid supply nozzle 240 and the rinse liquid supply nozzle 211 are connected to a treatment liquid tank and a rinse liquid tank (not shown) via supply pipes 241 and 212, respectively. As shown in FIG. 9, the holder 242 is set to be able to rotate 360 degrees around the shaft 230. With such a structure, the position of the rinsing liquid supply nozzle can be arbitrarily set with respect to the processing liquid nozzle, so that the rinsing liquid supply is performed according to the diffusion state of the processing liquid dropped on the wafer W. The position of the nozzle can be set to a preferable position. The position may be set so that the nozzle can be set while moving on the wafer W. Furthermore, in addition to the structure shown in FIGS. 8 and 9, by adopting a structure in which the distance between the processing liquid nozzle and the rinsing liquid supply nozzle can be arbitrarily set, the size of the wafer W, the rotation speed of the wafer W, the nozzle The range of design range such as moving speed is further expanded.
[0055]
In the above-described embodiment, the nozzle moves one way on the radius of the wafer W. For example, the nozzle may reciprocate on the radius of the wafer W or move on the diameter of the wafer W. . When the nozzle moves on the diameter of the wafer W, it is necessary to change the position of the rinsing liquid supply nozzle with the central portion of the wafer W as a boundary, and the rinsing liquid supply nozzle in the diffusion direction of the processing liquid dripped from the processing liquid nozzle Should be set so that.
[0056]
In addition, this embodiment can be variously modified without changing the gist of the invention. For example, in the above embodiment, the development processing apparatus that supplies the developer to the semiconductor wafer has been described as an example. It may be a device. In addition, the above-described cleaning liquid supply apparatus can also be used for a cleaning process of a rectangular glass substrate used for LCD manufacturing. In the above-described embodiment, a developing solution or a cleaning solution is used as an example of a processing solution.
[0057]
【The invention's effect】
As described above, according to the present invention, since a new processing liquid can be supplied to the entire surface of the substrate to be processed, there is no processing unevenness in the substrate surface, and the processing capability can be further increased.
[Brief description of the drawings]
FIG. 1 is a schematic configuration diagram showing a cleaning processing apparatus according to an embodiment of the present invention.
FIG. 2 is a top view of the cleaning processing apparatus of FIG.
FIG. 3 is a schematic configuration diagram showing a development processing apparatus according to an embodiment of the present invention.
4 is a top view of the cleaning processing apparatus of FIG. 3. FIG.
FIG. 5 is a plan view of a coating and developing apparatus to which the developing apparatus according to the present invention is applied.
6 is a side view of the coating and developing treatment apparatus of FIG. 5. FIG.
7 is a front view of the coating and developing treatment apparatus of FIG. 5;
FIG. 8 is a side view showing the structure of a nozzle according to another embodiment.
9 is a top view of FIG. 8. FIG.
FIG. 10A is a diagram showing a state of conventional developer application, and FIG. 10B is a diagram in which the horizontal axis shows the relationship between the position under the developer droplet and the developing ability of the developer. It is.
FIG. 11A is a diagram showing a state of developer application in one embodiment, and FIG. 11B is a diagram in which the horizontal axis shows the relationship between the position under the developer droplet and the developing ability of the developer. FIG.
[Explanation of symbols]
W ... wafer (substrate to be processed)
10, 110 ... Spin chuck
11, 111, 211 ... rinse liquid supply nozzle
15, 115 ... Z drive mechanism
16, 116 ... Y drive mechanism
40 ... Developer supply nozzle
140 ... Cleaning liquid supply nozzle
240 ... treatment liquid supply nozzle
242 ... Holder
300 ... Developer

Claims (15)

基板を水平に保持する基板保持機構と、
前記基板を水平面内で回転させる回転機構と、
前記回転機構により前記基板が回転した状態で、前記基板の外縁部と中心部とを結ぶ方向に沿って移動して前記基板上に処理液を供給する処理液供給ノズルと、
前記基板上に供給された処理液の拡散方向に位置するように前記処理液供給ノズルに隣接して配置され、前記処理液の供給と同時に前記基板上にリンス液を供給するリンス液供給ノズルと、
を具備することを特徴とする基板処理装置。
A substrate holding mechanism for holding the substrate horizontally;
A rotation mechanism for rotating the substrate in a horizontal plane;
With the substrate rotated by the rotation mechanism, a processing liquid supply nozzle that moves along the direction connecting the outer edge and the center of the substrate and supplies the processing liquid onto the substrate;
A rinsing liquid supply nozzle disposed adjacent to the processing liquid supply nozzle so as to be positioned in a diffusion direction of the processing liquid supplied on the substrate, and supplying a rinsing liquid onto the substrate simultaneously with the supply of the processing liquid; ,
A substrate processing apparatus comprising:
基板を水平に保持する基板保持機構と、
前記基板を水平面内で回転させる回転機構と、
前記回転機構により前記基板が回転した状態で、前記基板の外縁部と中心部とを結ぶ方向に沿って移動して前記基板上に処理液を供給する処理液供給ノズルと、
前記基板上に供給された前記処理液が処理に使用された後の処理済み液を除去するリンス液を、前記処理液の供給と同時に前記基板に供給するリンス液供給ノズルと、
を具備することを特徴とする基板処理装置。
A substrate holding mechanism for holding the substrate horizontally;
A rotation mechanism for rotating the substrate in a horizontal plane;
With the substrate rotated by the rotation mechanism, a processing liquid supply nozzle that moves along the direction connecting the outer edge and the center of the substrate and supplies the processing liquid onto the substrate;
A rinsing liquid supply nozzle for supplying a rinsing liquid for removing the processed liquid after the processing liquid supplied on the substrate is used for processing to the substrate simultaneously with the supply of the processing liquid;
A substrate processing apparatus comprising:
前記基板上には露光処理されたパターンが形成され、
前記処理液は現像液からなることを特徴とする請求項1または請求項2記載の基板処理装置。
On the substrate, an exposed pattern is formed,
The substrate processing apparatus according to claim 1, wherein the processing liquid is a developer.
前記処理液は洗浄液からなることを特徴とする請求項1または請求項2記載の基板処理装置。  3. The substrate processing apparatus according to claim 1, wherein the processing liquid is a cleaning liquid. 前記基板の回転速度に対する前記処理液供給ノズルの移動速度は、前記処理液供給ノズルの位置が前記基板の中心部に近いほど、前記処理液供給ノズルが前記基板の周縁部付近に位置する場合の前記回転速度に対する前記移動速度に対して、相対的に遅いことを特徴とする請求項1から請求項4いずれか一項に記載の基板処理装置。  The movement speed of the processing liquid supply nozzle with respect to the rotation speed of the substrate is such that the processing liquid supply nozzle is positioned near the peripheral edge of the substrate as the position of the processing liquid supply nozzle is closer to the center of the substrate. The substrate processing apparatus according to claim 1, wherein the substrate processing apparatus is relatively slow with respect to the moving speed with respect to the rotational speed. 前記基板の回転速度に対する前記処理液供給ノズルの移動速度は、供給ノズルの位置が前記基板の中心部に近いほど、前記処理液供給ノズルが前記基板の周縁部付近に位置する場合の前記回転速度に対する前記移動速度に対して、相対的に速いことを特徴とする請求項1から請求項4いずれか一項に記載の基板処理装置。  The moving speed of the processing liquid supply nozzle with respect to the rotating speed of the substrate is the rotating speed when the processing liquid supplying nozzle is positioned near the peripheral edge of the substrate as the position of the supplying nozzle is closer to the center of the substrate. 5. The substrate processing apparatus according to claim 1, wherein the substrate processing apparatus is relatively fast with respect to the moving speed of the substrate. 前記リンス液供給ノズルと前記処理液供給ノズルとの位置関係を可変する可変機構を更に有することを特徴とする請求項1から請求項6のいずれか一項に記載の基板処理装置。  The substrate processing apparatus according to claim 1, further comprising a variable mechanism that changes a positional relationship between the rinse liquid supply nozzle and the processing liquid supply nozzle. 前記処理液供給ノズルの前記移動速度が一定の場合、前記基板の回転速度を前記処理液供給ノズルの位置が前記基板の中心部に近くなるほど、相対的に遅くなることを特徴とする請求項1から請求項4のいずれか一項に記載の基板処理装置。  2. The rotational speed of the substrate is relatively slowed as the position of the processing liquid supply nozzle is closer to the center of the substrate when the moving speed of the processing liquid supply nozzle is constant. The substrate processing apparatus according to claim 4. 前記可変機構は、前記処理液供給ノズルが前記基板の直径上を移動する場合、前記基板の中心部を境に、前記処理液の拡散方向に前記処理液供給ノズルの位置を変えることを特徴とする請求項7に記載の基板処理装置。  The variable mechanism is characterized in that when the processing liquid supply nozzle moves on the diameter of the substrate, the position of the processing liquid supply nozzle is changed in the diffusion direction of the processing liquid, with the central portion of the substrate as a boundary. The substrate processing apparatus according to claim 7. 水平保持された基板を回転させ、処理液供給ノズル及びリンス液供給ノズルを前記基板の外縁部と中心部とを結ぶ方向に沿って移動させながら、前記処理液供給ノズル及び前記リンス液供給ノズルからそれぞれ処理液及びリンス液を前記基板に対し供給する基板処理方法であって、
前記リンス液供給ノズルは、前記基板上に供給された前記処理液が拡散する方向に位置することを特徴とする基板処理方法。
The substrate held horizontally is rotated, and the processing liquid supply nozzle and the rinsing liquid supply nozzle are moved from the processing liquid supply nozzle and the rinsing liquid supply nozzle while moving the processing liquid supply nozzle and the rinsing liquid supply nozzle along the direction connecting the outer edge portion and the center portion of the substrate. A substrate processing method for supplying a processing liquid and a rinsing liquid to the substrate, respectively.
The substrate processing method according to claim 1, wherein the rinsing liquid supply nozzle is positioned in a direction in which the processing liquid supplied onto the substrate diffuses.
水平保持された基板を回転させ、処理液供給ノズル及びリンス液供給ノズルを前記基板の外縁部と中心部とを結ぶ方向に沿って移動させながら、前記処理液供給ノズル及び前記リンス液供給ノズルからそれぞれ処理液及びリンス液を前記基板に対し供給する基板処理方法であって、
前記リンス液は、前記基板上に供給された前記処理液が処理に使用された後の処理済み液を除去することを特徴とする基板処理方法。
The substrate held horizontally is rotated, and the processing liquid supply nozzle and the rinsing liquid supply nozzle are moved from the processing liquid supply nozzle and the rinsing liquid supply nozzle while moving the processing liquid supply nozzle and the rinsing liquid supply nozzle along the direction connecting the outer edge portion and the center portion of the substrate. A substrate processing method for supplying a processing liquid and a rinsing liquid to the substrate, respectively.
The rinsing liquid removes a processed liquid after the processing liquid supplied on the substrate is used for processing.
前記処理液供給ノズル及び前記リンス液供給ノズルが前記基板の外縁部から中心部に向けて移動するときに、前記処理液供給ノズルの後方に前記リンス液供給ノズルを位置させながら、滴下された前記処理液が前記基板上で拡散する領域に、前記リンス液を供給することを特徴とする請求項10または請求項11に記載の基板処理方法。  The treatment liquid supply nozzle and the rinse liquid supply nozzle are dropped while the rinse liquid supply nozzle is positioned behind the treatment liquid supply nozzle when the treatment liquid supply nozzle and the rinse liquid supply nozzle move from the outer edge portion of the substrate toward the center portion. The substrate processing method according to claim 10, wherein the rinsing liquid is supplied to a region where the processing liquid diffuses on the substrate. 水平保持された基板を回転させ、処理液が滴下される前記基板上の領域が移動していくように、前記基板に対して前記処理液を供給する基板処理方法であって、
前記基板上に前記処理液とリンス液とを同時に供給していく工程と、
前記処理液と前記リンス液とを同時に供給しながら、滴下された前記処理液が前記基板上で拡散する領域に前記処理液が滴下された直後に前記リンス液を供給していく工程と、
を具備することを特徴とする基板処理方法。
A substrate processing method of rotating the horizontally held substrate and supplying the processing liquid to the substrate so that a region on the substrate where the processing liquid is dropped moves.
Supplying the treatment liquid and the rinsing liquid onto the substrate simultaneously;
Supplying the rinsing liquid immediately after the treatment liquid is dripped into a region where the dropped treatment liquid diffuses on the substrate while simultaneously supplying the treatment liquid and the rinse liquid;
The substrate processing method characterized by comprising.
前記処理液を供給する基板は、露光処理された基板であり、
前記処理液は現像液であることを特徴とする請求項10から請求項13のいずれか一項に記載の基板処理方法。
The substrate for supplying the processing liquid is a substrate subjected to exposure processing,
The substrate processing method according to claim 10, wherein the processing solution is a developer.
前記処理液は洗浄液であり、
前記洗浄液を使用する際の前記リンス液は純水であることを特徴とする請求項10から請求項13のいずれか一項に記載の基板処理方法
The treatment liquid is a cleaning liquid,
The substrate processing method according to any one of claims 10 to 13, wherein the rinse liquid when using the cleaning liquid is pure water .
JP2000092660A 2000-03-13 2000-03-30 Substrate processing apparatus and substrate processing method Expired - Fee Related JP3843200B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000092660A JP3843200B2 (en) 2000-03-30 2000-03-30 Substrate processing apparatus and substrate processing method
US09/801,918 US6634806B2 (en) 2000-03-13 2001-03-09 Substrate processing method and substrate processing apparatus
TW090105741A TW494451B (en) 2000-03-13 2001-03-12 Substrate processing method and substrate processing apparatus
KR1020010012577A KR100588927B1 (en) 2000-03-13 2001-03-12 Substrate processing method and substrate processing apparatus
US10/653,999 US6817790B2 (en) 2000-03-13 2003-09-04 Substrate processing method and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000092660A JP3843200B2 (en) 2000-03-30 2000-03-30 Substrate processing apparatus and substrate processing method

Publications (3)

Publication Number Publication Date
JP2001284206A JP2001284206A (en) 2001-10-12
JP2001284206A5 JP2001284206A5 (en) 2005-06-23
JP3843200B2 true JP3843200B2 (en) 2006-11-08

Family

ID=18607957

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000092660A Expired - Fee Related JP3843200B2 (en) 2000-03-13 2000-03-30 Substrate processing apparatus and substrate processing method

Country Status (1)

Country Link
JP (1) JP3843200B2 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030083779A (en) * 2002-04-22 2003-11-01 주식회사 디엠에스 LCD development apparatus and development method thereof
US7300598B2 (en) * 2003-03-31 2007-11-27 Tokyo Electron Limited Substrate processing method and apparatus
JP4369325B2 (en) 2003-12-26 2009-11-18 東京エレクトロン株式会社 Development device and development processing method
JP4324527B2 (en) 2004-09-09 2009-09-02 東京エレクトロン株式会社 Substrate cleaning method and developing apparatus
JP4704173B2 (en) * 2005-09-30 2011-06-15 大日本印刷株式会社 Manufacturing method of fine pattern formed body
KR100908255B1 (en) * 2007-11-21 2009-07-20 김경희 Spin unit in which developer and cleaning arm are integrally formed
JP5305331B2 (en) 2008-06-17 2013-10-02 東京エレクトロン株式会社 Development processing method and development processing apparatus
JP4788785B2 (en) * 2009-02-06 2011-10-05 東京エレクトロン株式会社 Development device, development processing method, and storage medium
JP4700117B2 (en) * 2009-02-25 2011-06-15 東京エレクトロン株式会社 Development processing method
JP4794685B1 (en) 2010-10-19 2011-10-19 ミクロ技研株式会社 Substrate processing apparatus and substrate processing method
JP6545464B2 (en) * 2015-01-07 2019-07-17 株式会社Screenホールディングス Development method
CN111045299B (en) * 2020-01-02 2023-07-21 长江存储科技有限责任公司 Developing and edge washing equipment and developing and edge washing method

Also Published As

Publication number Publication date
JP2001284206A (en) 2001-10-12

Similar Documents

Publication Publication Date Title
KR100588927B1 (en) Substrate processing method and substrate processing apparatus
JP3587723B2 (en) Substrate processing apparatus and substrate processing method
JP4947711B2 (en) Development processing method, development processing program, and computer-readable recording medium recording the program
JP4090648B2 (en) Film forming method and film forming apparatus
JP3605545B2 (en) Development processing method and development processing apparatus
JP3843200B2 (en) Substrate processing apparatus and substrate processing method
JP3545676B2 (en) Development processing apparatus and development processing method
TW201629640A (en) Developing method, computer-readable storage medium and developing apparatus
JP4185710B2 (en) Substrate processing apparatus and substrate processing method
JP3527426B2 (en) Development processing method and development processing apparatus
JP2006332185A (en) Substrate processing apparatus and substrate processing method
JP3704059B2 (en) Development processing method and development processing apparatus
JP6370282B2 (en) Development processing method and development processing apparatus
JP3625752B2 (en) Liquid processing equipment
JP3904795B2 (en) Substrate processing method and substrate processing apparatus
JP2003045788A (en) Wafer processing method and apparatus
JP3730829B2 (en) Development processing method and development processing apparatus
JP3599323B2 (en) Substrate processing equipment
JP2000195773A (en) Development processing method and developer
JP3479602B2 (en) Substrate processing apparatus and substrate processing method
JP3652559B2 (en) Liquid processing apparatus and method
JP2001307984A (en) Resist application method and resist application device
JP3909574B2 (en) Resist coating device
JP3588277B2 (en) Substrate development processing method
JP3673704B2 (en) Substrate processing apparatus and method

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040928

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040928

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060509

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060630

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060814

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090818

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120818

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150818

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees