JP3803723B2 - 分岐予測を選択する分岐セレクタを採用する分岐予測機構 - Google Patents

分岐予測を選択する分岐セレクタを採用する分岐予測機構 Download PDF

Info

Publication number
JP3803723B2
JP3803723B2 JP52380898A JP52380898A JP3803723B2 JP 3803723 B2 JP3803723 B2 JP 3803723B2 JP 52380898 A JP52380898 A JP 52380898A JP 52380898 A JP52380898 A JP 52380898A JP 3803723 B2 JP3803723 B2 JP 3803723B2
Authority
JP
Japan
Prior art keywords
branch
instruction
prediction
branch prediction
selectors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP52380898A
Other languages
English (en)
Other versions
JP2001503899A5 (ja
JP2001503899A (ja
Inventor
トラン,タング・エム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2001503899A publication Critical patent/JP2001503899A/ja
Publication of JP2001503899A5 publication Critical patent/JP2001503899A5/ja
Application granted granted Critical
Publication of JP3803723B2 publication Critical patent/JP3803723B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • G06F9/3806Instruction prefetching for branches, e.g. hedging, branch folding using address prediction, e.g. return stack, branch history buffer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • G06F9/3844Speculative instruction execution using dynamic branch prediction, e.g. using branch history tables

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Advance Control (AREA)

Description

発明の背景
1.発明の分野
本発明は、マイクロプロセッサの分野に関連し、より詳細には、マイクロプロセッサ内の分岐予測機構に関連する。
2.関連技術の説明
EP−A0586057は、命令キャッシュからフェッチされる隣接する命令バイトのグループに対応するフェッチアドレスを使用して分岐予測を選択する分岐予測方法および装置を開示する。
スーパースカラマイクロプロセッサは、クロックサイクル当たり複数の命令を実行しかつその設計に矛盾しない最短のクロックサイクルを選択することにより高性能を発揮する。本明細書中では、「クロックサイクル」という用語は、マイクロプロセッサ内の命令処理パイプラインのさまざまな段に与えられる時間間隔を指す。記憶装置(レジスタおよびアレイ等)は、クロックサイクルにしたがってその値を捕らえる。たとえば、記憶装置は、クロックサイクルを規定するクロック信号の立上りまたは立下り端縁にしたがって値を捕らえ得る。記憶装置は、それから、クロック信号のそれぞれ次の立上りまたは立下り端縁まで、その値を記憶する。「命令処理パイプライン」という用語は、ここでは、パイプライン態様で命令を処理するために採用される論理回路を指す語として使用される。パイプラインは、命令処理の各部分を行ういくつもの段に分割してもよいが、命令処理は、一般に命令のフェッチ、デコード、実行および命令により表される宛先に実行結果を記憶することを含む。
スーパースカラマイクロプロセッサ(およびスーパーパイプラインマイクロプロセッサ)の重要な特徴は、その分岐予測機構にある。分岐予測機構は、分岐命令について予測される方向(分岐するまたは分岐しない)を示し、同分岐予測が示す予測される命令ストリーム内で後の命令のフェッチを続けることができる。分岐命令とは、後の命令が、少なくとも2以上のアドレス、すなわち分岐命令に直接続く命令で始まる命令ストリームを表す逐次アドレスとメモリの任意の場所で始まる命令ストリームを表すターゲットアドレスのうちの1つからフェッチされるようにする命令である。無条件分岐命令は、常にターゲットアドレスに分岐し、条件付分岐命令は、先行する命令の結果に基づいて逐次またはターゲットアドレスのいずれかを選択し得る。予測される命令ストリームからの命令は、分岐命令の実行に先立って投機的に実行されることが可能で、いずれにせよ分岐命令の実行前に命令処理のパイプライン内に置かれる。予測した命令ストリームが正しければ、クロックサイクル当りに実行される命令の数が増加し有利である。しかしながら、予測した命令ストリームが正しくない場合(すなわち、1以上の分岐命令を間違って予測した場合)、間違って予測した命令ストリームからの命令は、命令処理パイプラインから廃棄されるので、クロックサイクル当りに実行される命令の数は減る。
有効性を高めるため、予測した命令ストリームが正確である頻度をできるだけ高めるように、分岐予測機構は、かなり正確である必要がある。一般に、分岐予測機構の精度の向上は、分岐予測機構の複雑性を高めることによって行なわれる。たとえば、分岐予測が命令キャッシュの命令バイトの特定のキャッシュラインで記憶される、キャッシュライン系分岐予測スキームを採用することが可能である。キャッシュラインは、命令キャッシュ内の記憶スペースの割当ておよび割当ての解除の単位として扱ういくつかの隣接するバイトである。キャッシュラインがフェッチされると、対応の分岐予測もフェッチされる。さらに、特定のキャッシュラインが廃棄されると、対応の分岐予測も廃棄される。キャッシュラインはメモリ内で整列する。キャッシュライン系分岐予測スキームは、各キャッシュラインについてより多数の分岐予測を記憶することによってより正確にすることができる。所与のキャッシュラインは、複数の分岐命令を含むことが可能で、その各々がことなる分岐予測により表される。したがって、1つのキャッシュラインにより多数の分岐予測が割当てられれば、分岐予測機構により、より多数の分岐命令が表されかつ予測され得る。分岐予測機構内で表すことができない分岐命令は、予測されず、続いて、分岐が行なわれることがわかれば、「予測誤り」が検出され得る。しなしながら、追加の分岐予測の間で選択を行う必要性から、分岐予測機構の複雑性が増加する。ここで使用する「分岐予測」は、分岐命令が分岐するか分岐しないかの予測として、分岐予測機構により解釈される得る値である。さらに、分岐予測はターゲットアドレスを含み得る。キャッシュライン系分岐予測機構については、キャッシュラインからフェッチされている命令の中に分岐命令がない場合、フェッチされているキャッシュラインに続くラインの予測が、分岐予測である。
分岐予測機構の複雑性が増加することに関する問題は、複雑性が増すと一般に分岐予測を構成する時間を増加させる必要がある点である。たとえば、複数の分岐の中で選択するには、かなりの時間を要する。フェッチアドレスのオフセットは、キャッシュライン内でフェッチされている第1のバイトを表す。オフセットの前の分岐命令の分岐予測を選択するべきでない。どの分岐予測を使用するかを決めるために、キャッシュライン内のフェッチアドレスのオフセットを、キャッシュライン用に記憶された分岐予測により表される分岐命令のオフセットに比較する必要が生じるかもしれない。フェッチアドレスオフセットの後でかつフェッチアドレスオフセットの後の他の分岐命令よりフェッチアドレスオフセットに近い分岐命令に対応する分岐予測を選択する必要がある。分岐予測の数が増えるので、選択論理の複雑性(および必要な時間)が増大する。フェッチアドレスの分岐予測を構成するのに必要な時間がマイクロプロセッサのクロックサイクル時間を超える場合には、マイクロプロセッサの性能は低下するかもしれない。分岐予測は、1つのクロックサイクルでは形成できないので、前回のフェッチアドレスに対応する分岐予測がないために命令がフェッチできないクロックサイクルの間、命令処理パイプライン内に「バブル」を導入する。バブルは、続くクロックサイクルの間、命令処理パイプラインのさまざまな段を占め、バブルには命令が含まれていないので、バブルを含む段では作業が行なわれない。これにより、マイクロプロセッサの性能が低下し得る。
発明の概要
上記に挙げた問題は、大部分が本発明よる分岐予測装置により解決される。分岐予測装置は、命令のキャッシュラインまたはその部分内の命令バイトに対応する複数の分岐セレクタを収容する。対応の命令バイトがキャッシュラインをフェッチするのに使用するフェッチアドレスのオフセットにより表されるバイトである場合、分岐セレクタは、選択される分岐予測を表す。フェッチアドレスのオフセットと分岐命令の比較ポインタを比較する代わりに、フェッチアドレスのオフセットをデコードしかつ対応の分岐セレクタを選択するだけで、分岐予測を選択する。分岐予測装置は、分岐命令のポインタとフェッチアドレスが比較される場合(大きいか小さいかの比較)よりも高い周波数(すなわち低いクロックサイクル)で動作し得る点が有利である。分岐セレクタは、フェッチされている命令にしたがってどの分岐予測が適切であるかを直接的に決定し、それにより分岐予測を選択するために使用される論理の量を減らす。
広義には、本発明は、複数の命令を含む隣接する命令バイトのグループに対応する分岐予測を選択する方法を考慮する。複数の分岐セレクタは、分岐予測記憶部(ストレージ)内に収容され、複数の分岐セレクタのうちの少なくとも1つが複数の命令の内の第1のものに対応する。複数の命令の内の第1のものがフェッチされる場合には、分岐セレクタは、選択される特定の分岐予測を識別する。隣接する命令バイトのグループが、複数の分岐セレクタのフェッチと同時にフェッチされる。フェッチアドレスは、隣接する命令バイトのグループを表す。複数の分岐セレクタの内の1つがフェッチアドレスに応答して選択される。分岐予測は、複数の分岐セレクタの内の1つに応答して選択される。
本発明は、さらに、分岐予測記憶部および選択機構を含む分岐予測装置を考慮する。分岐予測記憶部は、命令キャッシュからフェッチされている隣接する命令バイトのグループに対応するフェッチアドレスを受けるように結合される。分岐予測記憶部は、複数の分岐セレクタの内の少なくとも1つが隣接する命令バイトのグループ内の第1の命令に対応する、複数の分岐セレクタを収容するよう構成される。複数の分岐セレクタの内の少なくとも1つは、第1の命令がフェッチされる場合、選択される特定の分岐予測を表す。複数の分岐セレクタを受ける分岐予測記憶部に結合されて、選択機構は、連続する命令バイトのグループをフェッチするのに使用するフェッチアドレスの複数の最下位ビットに応答して、複数の分岐セレクタの特定の1つを選択するよう構成される。
本発明は、さらに、命令キャッシュおよび分岐予測ユニットを含むマイクロプロセッサを考慮する。命令キャッシュは、命令バイトの複数のキャッシュラインを記憶しかつマイクロプロセッサの命令処理パイプラインへのフェッチアドレスを受けて、命令バイトのグループを提供するよう構成される。命令キャッシュに結合されかつ命令キャッシュと同時にフェッチアドレスを受けるよう結合されて、分岐予測ユニットは、命令バイトのグループに関して複数の分岐セレクタを収容しかつフェッチアドレスに応答して、複数の分岐セレクタの1つを選択するよう構成される。複数の分岐セレクタの1つは、命令キャッシュにより次のフェッチアドレスとして使用される分岐予測を識別する。
【図面の簡単な説明】
本発明の他の目的および利点については、以下の詳細な説明を読みかつ添付の図面を参照することでより明らかになるであろう。
図1は、スーパースカラマイクロプロセッサの一実施例のブロック図である。
図2は、図1のデコードユニット対の一実施例のブロック図である。
図3は、隣接する命令バイトのグループと対応の分岐セレクタのセットの図である。
図4は、図1に示す分岐予測ユニットの一実施例の部分ブロック図である。
図5は、図4に示す分岐予測ユニットに記憶されるような隣接する命令バイトのグループの予測ブロック図である。
図6は、分岐セレクタの符号化の例を示す表である。
図7は、隣接する命令バイトのグループに対応する分岐セレクタのセットを更新するために行なわれるステップを示すフローチャート図である。
図8は、分岐セレクタのセットの更新の第1の例を示す図である。
図9は、分岐セレクタのセットの更新の第2の例を示す図である。
図10は、分岐セレクタのセットの更新の第3の例を示す図である。
図11は、図1に示すマイクロプロセッサを含むコンピュータシステムのブロック図である。
本発明には、さまざまな変形例および代替例が可能であり、その特定の実施例について図面に例示し、ここに詳細に説明するものである。しかしながら、図面および詳細な説明は、本発明を開示される特定の形態に限定するものではなく、逆に、添付の請求項が規定する本発明の精神および範囲にあるすべての変形例、等価例および代替例を包含することを意図することを理解されたい。
発明の詳細な説明
図1は、マイクロプロセッサ10の一実施例のブロック図である。マイクロプロセッサ10は、プリフェッチ/プリデコードユニット12と、分岐予測ユニット14と、命令キャッシュ16と、命令整列ユニット18と、複数のデコードユニット20Aから20Cと、複数の予約ステーション22Aから22Cと、複数の機能ユニット24Aから24Cと、ロード/ストアユニット26と、データキャッシュ28と、レジスタファイル30と、リオーダバッファ32と、MROMユニット34とを含む。ここで、特定の参照番号の後に文字を続けて表す要素は、参照番号のみの場合は、それを総称するものとする。たとえば、デコードユニット20Aから20Cは、デコード20と総称する。
プリフェッチ/プリデコードユニット12は、主メモリサブシステム(図示せず)からの命令を受けるように結合され、かつさらに命令キャッシュ16および分岐予測ユニット14に結合される。同様に、分岐予測ユニット14は、命令キャッシュ16に結合される。分岐予測ユニット14は、デコードユニット20と機能ユニット24に結合される。命令キャッシュ16は、さらに、MROMユニット34と命令整列ユニット18とに結合される。命令整列ユニット18は、デコードユニット20に結合される。各デコードユニット20Aから20Cは、ロード/ストアユニット26とそれぞれの予約ステーション22Aから22Cとに結合される。予約ステーション22Aから22Cは、さらにそれぞれの機能ユニット24Aから24Cに結合される。さらに、デコードユニット20および予約ステーション22は、レジスタファイル30およびリオーダバッファ32に結合される。機能ユニット24は、ロード/ストア26と、レジスタファイル30と、リオーダバッファ32にも結合される。データキャッシュ28は、ロード/ストアユニット26と主メモリサブシステムに結合される。最後に、MROMユニット34は、デコードユニット20に結合される。
一般に、分岐予測ユニット14は、分岐命令を予測するためのキャッシュライン系分岐予測機構を採用する。各キャッシュラインごとに複数の分岐予測を記憶することができる。さらに、分岐セレクタが、キャッシュライン内のバイトごとにストアされる。特定のバイトの分岐セレクタは、キャッシュラインに関連して記憶され得る分岐予測のどれがその特定のバイトをフェッチする命令フェッチアドレスに適切な分岐予測であるかを示す。適切な分岐予測は、その特定のバイトに続いてキャッシュライン内で出会う最初の分岐が予測される分岐命令の分岐予測である。ここで使用する「後の」および「前の」と言う語は、キャッシュライン内のバイトの順序を指す。第2のバイトが記憶されるメモリアドレスより数字的に小さいメモリアドレスに記憶されるバイトは、第2のバイトより前のバイトである。逆に、第2のバイトのメモリアドレスより数字的に大きいメモリアドレスに記憶されるバイトは、第2のバイトの後のバイトである。同様に、プログラムを構成する命令のシーケンスで、一度に1つずつ進む時、第1の命令が第2の命令の前にある場合、第1の命令は、プログラム順では、第2の命令より前である。
一実施例において、マイクロプロセッサ10は、命令セットが可変のバイト長の命令セットであるマイクロプロセッサアーキテクチャ(たとえば、×86マイクロプロセッサアーキテクチャ)を採用する。可変バイト長の命令セットを採用する場合、キャッシュライン内のどのバイトも所与のフェッチアドレスによりフェッチされる第1のバイトとして識別され得る。たとえば、分岐命令が、キャッシュライン内のバイト位置2でターゲットアドレスを有し得る。その場合、バイト位置0および1は、現在のキャッシュアクセスにおいてフェッチされていない。さらに、第1のバイトの後で分岐を予測した分岐後のバイトは、現在のキャッシュアクセスにおいてフェッチされない。分岐セレクタは、各バイトについてストアされているので、分岐が予測される分岐の分岐予測は、キャッシュラインからフェッチされることになる第1のバイトの分岐セレクタを選択することにより見つけることができる。分岐セレクタを用いて適切な分岐予測を選択し、これを命令キャッシュ16内の命令フェッチ論理に与える。次のクロックサイクルでは、分岐予測がフェッチアドレスとして使用される。フェッチされている第1のバイトのバイト位置を分岐が予測される分岐命令と比較するプロセスが、フェチアドレスに応答する分岐予測の発生から省かれる。分岐予測を構成するのにかかる時間が応じて短くなり、より高いクロック周波数で(すなわちより短いクロックサイクルで)分岐予測機構を動作させることができる一方で、依然として単一サイクルの分岐予測が提供される。
なお、先の説明で「キャッシュライン」という用語を使用したが、命令キャッシュ16のいくつかの実施例は、所与のクロックサイクルの間にその出力に全キャッシュラインを提供しないかもしれない。たとえば、ある実施例では、命令キャッシュ16は、32バイトのキャッシュラインを有して構成される。しかしながら、16バイトのみが所与のクロックサイクルでフェッチされる(キャッシュラインの上半分かまたは下半分)。分岐予測記憶場所および分岐セレクタは、フェッチされているキャッシュラインの部分に割当てられる。ここで使用される「隣接する命令バイトのグループ」という用語は、フェッチアドレスに応答して、特定のクロックサイクルで命令キャッシュにより付与される命令バイトを指すために使用される。隣接する命令バイトのグループは、様々な実施例応じて、キャッシュラインの1部分かもしれないし、全体かもしれない。隣接する命令バイトのグループは、キャッシュラインの一部である場合でも、キャッシュラインの整列した部分である。たとえば、隣接する命令バイトのグループが、キャッシュラインの半分である場合、それはキャッシュラインの上半分か下半分である。いくつかの分岐予測記憶場所が隣接する命令バイトの各グループに割当てられ、分岐セレクタがそのグループに関連する分岐予測記憶場所の一つを示す。さらに、隣接する命令バイトのグループにおける対応のバイトと最後のバイトとの間に分岐命令がなければ、分岐セレクタは、リターンスタック構造からのリターンスタックアドレスまたは逐次アドレスを示し得る。
命令キャッシュ16は、命令を記憶するために設けられる高速キャッシュメモリである。命令は、命令キャッシュ16からフェッチされ、デコードユニット20へディスパッチされる。ある実施例では、命令キャッシュ16は、32バイトライン(1バイトは、8ビット)を有する4ウェイセットアソシアティブ構造で、32キロバイトまでの命令を記憶するよう構成される。命令キャッシュ16は、さらに命令キャッシュへのアクセス時間を速めるために、ウェイ予測スキームを採用し得る。命令の各ラインを表すタグをアクセスしてタグをフェッチアドレスと比較してウェイを選択する代わりに、命令キャッシュ16は、アクセスされるウェイを予測する。この方法で、ウェイは、命令記憶部をアクセスする前に選択される。命令キャッシュ16のアクセス時間は、ダイレクトマッピングのキャッシュと同じくらいが可能である。タグの比較を行い、ウェイ予測が間違っていれば、正しい命令をフェッチし、間違った命令は廃棄される。なお、命令キャッシュ16は、フルアソシアティブ、セットアソシアティブ、またはダイレクトマッピングのいずれの構成としても実現し得る。
命令は、主メモリからフェッチされ、プリフェッチ/プリデコードユニット12により命令キャッシュ16内へ記憶される。命令は、プリフェッチスキームにしたがい、要求される前に、命令キャッシュ16からプリフェッチされ得る。プリフェッチ/プリデコードユニット12により、さまざまなプリフェッチスキームを採用することができる。プリフェッチ/プリデコードユニット12は、命令を主メモリから命令キャッシュ16へ転送する際、命令の各バイトについて3つのプリデコードビット、すなわち、スタートビット、エンドビットおよび機能ビットを発生する。プリデコードビットは、各命令の境界を表すタグを構成する。プリデコードタグは、以下により詳細に説明する通り、所与の命令がデコードユニット20によりデコードできるかまたは命令がMROMユニット34により制御されるマイクロコード手続きを呼出すことにより実行されるのか等の付加情報も伝達し得る。さらに、プリフェッチ/プリデコードユニット12は、分岐命令を検出して、分岐命令に対応する分岐予測情報を分岐予測ユニット14に記憶するよう構成されてもよい。
×86命令セットを採用するマイクロプロセッサ10の実施例のプリデコードタグのある符号化方法について次に説明することにする。所与のバイトが命令の第1のバイトである場合、そのバイトのスタートビットがセットされる。そのバイトが命令の最後のバイトである場合、そのバイトのエンドビットがセットされる。デコードユニット20により直接デコードされ得る残りの×86の命令が、一実施例においては、MROM命令と呼ばれる。高速経路命令については、機能ビットをその命令に含まれる各プリフィックスバイトについてセットし、他のバイトについてはクリアする。代替的には、MROM命令については、機能ビットを各プリフィックスバイトについてクリアし、他のバイトについてセットする。命令のタイプは、エンドバイトに対応する機能ビットを調べることにより決定され得る。機能ビットがクリアなら、その命令は高速経路命令である。逆に、その機能ビットがセットされているなら、その命令はMROM命令である。命令の操作コードをそれにより、命令中の第1のクリア機能ビットと関連するバイトとしてデコードユニット20により直接的にデコードされ得る命令の中に見つけることができる。たとえば、2つのプリフィックスバイト、ModR/MバイトおよびSIBバイトを含む高速経路命令は、以下のようなスタート、エンドおよび機能ビットを有するものと考えられる。
スタートビット 10000
エンドビット 00001
機能ビット 11000
MROM命令は、デコードユニット20によりデコードするには複雑すぎると判断される命令である。MROM命令は、MROMユニット34を呼出すことにより実行される。より詳細には、MROM命令があると、MROMユニット34は、その命令を定義された高速経路命令のサブセットにパージングして発行し、所望の動作を実現する。MROMユニット34は、高速経路命令のサブセットをデコードユニット20へディスパッチする。高速経路命令として分類される例示的×86命令のリスティングについて以下に説明する。
マイクロプロセッサ10は、条件付分岐命令の後の命令を投機的にフェッチするために、分岐命令を採用する。分岐予測ユニット14は、分岐予測動作を実行するために含まれる。ある実施例では、2つまでの分岐ターゲットアドレスを、命令キャッシュ16の各キャッシュラインの各16バイト部分に関連して記憶する。プリフェッチ/プリデコードユニット12は、特定のラインがプリデコードされると初期分岐ターゲットを決定する。キャッシュラインに対応する分岐ターゲットへの次の更新は、キャッシュライン内の命令の実行により発生し得る。命令キャッシュ16は、フェッチされている命令アドレスの表示を行い、分岐予測ユニット14が、分岐予測を構成するのにどの分岐ターゲットアドレスを選択すべきかを判断できるようにする。デコードユニット20および機能ユニット24は、分岐予測ユニット14への更新情報を提供する。分岐予測ユニット14は、キャッシュラインの16バイトごとに2つのターゲットを記憶するので、そのラインの分岐命令はいくつか分岐予測ユニット14に記憶されないかもしれない。デコードユニット20は、分岐予測ユニット14が予測しなかった分岐命令を検出する。機能ユニット24は、分岐命令を実行し、予測された分岐方向が間違っているかどうかを決定する。分岐命令が「分岐する」場合、後の命令は、分岐命令のターゲットアドレスからフェッチされる。逆に、分岐命令が「分岐しない」場合、後の命令は、分岐命令に連続する記憶場所からフェッチされる。予測が誤っている分岐命令が検出されると、その予測誤り命令の後の命令はマイクロプロセッサの10の様々なユニットから廃棄される。様々な適切な分岐予測アルゴリズムが分岐予測ユニット14により採用され得る。
命令キャッシュ16からフェッチした命令は、命令整列ユニット18へ伝達される。命令は命令キャッシュ16からフェッチされるので、対応のプリデコードデータをスキャンして、フェッチされている命令に関する情報を命令整列ユニット18(およびMROMユニット34)に与える。命令整列ユニット18は、スキャンデータを利用してデコードユニット20の各々に対して命令を整列させる。ある実施例では、命令整列ユニット18は、8命令バイトの3セットから命令をデコードユニット20に対して整列させる。命令は、8命令バイトの各セットとは無関係に初期発行位置へ選択される。初期発行位置は、デコードユニット20に対応する整列した発行位置のセットにマージされ、整列した発行位置がプログラム順に初期発行位置の中で他の命令の前にある3つの命令を含むようにする。デコードユニット20Aは、デコードユニット20Bおよび20Cが(プログラム順に)同時に受ける命令の前にある命令を受ける。同様に、デコードユニット20Bは、プログラム順にデコードユニット20Cが同時に受ける命令の前の命令を受ける。
デコードユニット20は、命令整列ユニット18から受ける命令をデコードするように構成される。レジスタオペランド情報が検出され、レジスタファイル30とリオーダバッファ32へルート決めされる。さらに、命令が1以上の記憶動作の実行を要求する場合、デコードユニット20は、記憶動作をロード/ストアユニット26へディスパッチする。各命令は、機能ユニット24の制御値のセットにデコードされ、これらの制御値がオペランドアドレス情報および命令と一緒に含まれ得る変位または即値データとともに予約ステーション22へディスパッチされる。
マイクロプロセッサ10は、アウト・オブ・オーダ実行を支持し、したがってリオーダバッファ32を使用して、レジスタ読出しおよび書込み動作のための元のプログラムシーケンスの追跡を行い、レジスタのリネーミングを実行し、投機的命令実行および分岐予測誤り回復を図り、かつ正確な例外を容易にする。リオーダバッファ32内の一時記憶場所がレジスタの更新を含む命令のデコードの際に予約され、それにより投機的レジスタの状態を記憶する。分岐予測が間違っていれば、間違って予測された経路に沿って投機的に実行された命令の結果は、レジスタファイル30に書きこまれる前にバッファ内で無効にされる。同様に、特定の命令が例外を引き起こす場合、その特定の命令の後の命令が廃棄され得る。このようにして、例外が「正確」になる(すなわち、例外を引き起こす特定の命令の後の命令は、例外の前には完了しない)。なお、特定の命令が、プログラム順においてその特定の命令より前にある命令に先立って実行される場合には、その命令は、投機的に実行される。先行する命令が分岐命令かまたは例外を引起す命令である場合、投機的結果は、リオーダバッファ32により廃棄され得る。
デコードユニット20の出力に与えられる命令制御値および即値または変位データは、それぞれの予約ステーション22へ直接的にルート決めされる。ある実施例において、各予約ステーション22は、対応の機能ユニットへの発行を待っている3つまでの未処理命令の命令情報(すなわち命令の制御値およびオペランド値、オペランドタグおよび/または即値データ)を保持する能力がある。なお、図1の実施例については、各予約ステーション22は、専用の機能ユニット24に関連する。したがって、3つの専用「発行位置」が予約ステーション22Aと機能ユニット24により構成される。言いかえれば、発行位置0は、予約ステーション22Aと機能ユニット24とにより構成される。予約ステーション22Aに対し整列しディスパッチされる命令は、機能ユニット24Aにより実行される。同様に、発行位置1は、予約ステーション22Bと機能ユニット24Bにより構成され、発行位置2は、予約ステーション22Cと機能ユニット24Cとにより構成される。
特定の命令のデコードに際し、必要とされるオペランドがレジスタ場所なら、レジスタアドレス情報は、同時にリオーダバッファ32とレジスタファイル30へルート決めされる。当業者には、×86レジスタファイルが8つの32ビットリアルレジスタ(すなわち、一般にEAX、EBX、ECX、EDX、EBP、ESI、EDIおよびESPとよばれる)を含むことがわかるであろう。×86マイクロプロセッサアーキテクチャを採用するマイクロプロセッサ10の実施例では、レジスタファイル30が、32ビットリアルレジスタの各々につき記憶場所を含む。MROMユニット34が使用する、追加の記憶場所をレジスタファイル30に備えてもよい。リオーダバッファ32は、これらレジスタの内容を変える結果の一時的記憶場所を含み、それによりアウト・オブ・オーダ実行を可能にする。リオーダバッファ32の一時記憶場所は、各命令について予約されており、デコードの際にはリアルレジスタの1つの内容を変更するよう決定される。したがって、特定のプログラムの実行の際に様々なポイントでリオーダバッファ32は、所与のレジスタの投機的に実行した内容を含む1以上の場所を有し得る。所与の命令のデコードに続いて、リオーダバッファ32が所与の命令内のオペランドとして使用するレジスタに割当てられた以前の場所(単数または複数)を有していると判断される場合には、リオーダバッファ32は、対応の予約ステーションに、1)最も最近割当てられた場所の値、または2)この以前の命令を最終的に実行することになる機能ユニットによって、値がまだ生成されていない場合には、最も最近割当てられた場所のタグのいずれかを転送する。リオーダバッファ32が、所与のレジスタについて予約された場所を有している場合には、オペランドの値(またはリオーダバッファのタグ)が、レジスタファイル30からではなく、リオーダバッファ32から与えられる。リオーダバッファ32内に必要とされるレジスタ用に予約された場所がなければ、レジスタファイル30から値が直接的に取られる。オペランドが記憶場所に対応する場合には、オペランドの値が、ロード/ストアユニット26により予約ステーションへ与えられる。
ある特定の実施例では、リオーダバッファ32が同時にデコードされた命令を1単位として記憶しかつ操作するよう構成される。この構成は、ここでは「ライン構成の」と呼ぶことにする。いくつかの命令を一緒に操作することで、リオーダバッファ32内で採用されるハードウェアを簡略化することができる。たとえば、本実施例に含まれるライン構成のリオーダバッファは、1以上の命令がデコードユニット20によりディスパッチされるたびに、(各デコーダユニット20から1つずつ)3つの命令に関連する命令情報に十分な記憶部を割当てる。対照的に、従来技術のリオーダバッファでは、実際にディスパッチされる命令の数に応じて、可変量の記憶が割当てられる。可変量の記憶を割当てるためには、比較的多数の論理ゲートが必要になる。同時にデコードされる命令の各々を実行すると、命令の結果が同時にレジスタファイル30に記憶される。記憶部は、その後、同時にデコードされた命令のもう1つのセットに自由に割当てられる。また、命令ごとに採用される制御論理回路の量は、制御論理が複数の同時にデコードされた命令について償却されるので、減少する。特定の命令を表すリオーダバッファのタグは2つのフィールド、すなわちラインタグとオフセットタグとに分割され得る。ラインタグは、特定の命令を含む同時にデコードされる命令のセットを表し、オフセットタグは、セットのどの命令が特定の命令に対応するかを表す。なお、命令の結果をレジスタファイル30に記憶しかつ対応の記憶部を開放することを、その命令を「リタイアさせる」と称する。さらに、マイクロプロセッサ10の様々な実施例において、どのようなリオーダバッファの構成を採用してもよい。
上述のように、予約ステーション22は命令が対応の機能ユニット24によって実行されるまでその命令をストアする。命令が実行のために選択されるのは、(i)命令のオペランドが与えられている場合と、(ii)オペランドが、同じ予約ステーション22A−22C内にあり、かつプログラム順でその命令の前にある命令のために与えられていない場合とである。ここで、命令が機能ユニット24の1つによって実行される場合、その命令の結果は、結果がリオーダバッファ32を更新するために渡されるのと同時にその結果を待っているいずれかの予約ステーション22に直接渡される(この技術は通常「結果送り」と呼ばれる)。命令は、関連の結果が送られるクロックサイクルの間に、実行のために選択され、機能ユニット24A−24Cに渡され得る。予約ステーション22は送られた結果をこの場合の機能ユニット24に送る。
一実施例では、機能ユニット24の各々が加算および減算の整数算術演算、シフト、回転、論理演算および分岐演算を行なうように構成される。演算はデコードユニット20によって特定の命令のために復号化される制御値に応答して行なわれる。ここで、浮動小数点ユニット(図示せず)もまた浮動小数点演算に対処するために用いられてもよい。浮動小数点ユニットは、MROMユニット34からの命令を受取り、その後リオーダバッファ32と通信して命令を完了するコプロセッサとして動作できる。また、機能ユニット24はロード/ストアユニット26によって行なわれるロードおよびストア記憶動作(load and store memory operations)のためのアドレス発生を行なうように構成されてもよい。
各機能ユニット24はまた、分岐予測ユニット14への条件付分岐命令の実行に関する情報を与える。分岐予測が正しくなければ、分岐予測ユニット14は、命令処理パイプラインに入った誤って予測された分岐の後の命令を流し、命令キャッシュ16または主メモリからの必要とされる命令のフェッチを引き起こす。このような状況では、誤って予測された分岐命令の後に起こる元のプログラムシーケンスにおける命令の結果が破棄され、これには投機的に実行され、ロード/ストアユニット26およびリオーダバッファ32に一時的にストアされたものも含まれることに注目される。
機能ユニット24によって生じる結果は、レジスタ値が更新されるのであればリオーダバッファ32に送られ、記憶場所の内容が変化されるならばロード/ストアユニット26に送られる。結果がレジスタにストアされるべきであれば、リオーダバッファ32はその結果を、命令が復号化されたときにそのレジスタの値のために予約された場所にストアする。複数の結果バス38が機能ユニット24およびロード/ストアユニット26から結果を送るために含まれる。結果バス38は発生された結果と実行される命令を特定するリオーダバッファタグとを伝える。
ロード/ストアユニット26は機能ユニット24とデータキャッシュ28との間でインターフェイスとなる。一実施例では、ロード/ストアユニット26は未決のロードまたはストアのためにデータおよびアドレス情報に対して8つの記憶場所を有するロード/ストアバッファで構成される。デコードユニット20はロード/ストアユニット26へのアクセスのために調停を行なう。バッファがフルである場合、デコードユニットはロード/ストアユニット26が未決のロードまたはストア要求情報のための余地を有するようになるまで待機しなければならない。ロード/ストアユニット26はまた、データの一貫性が保たれることを確実とするために、未決のストア記憶動作に対してロード記憶動作の従属性検査を行なう。記憶動作はマイクロプロセッサ10と主メモリサブシステムとの間のデータ転送である。記憶動作はメモリにストアされるオペランドを利用する命令の結果であってもよく、データ転送を起こすが他の動作は起こさないロード/ストア命令の結果であってもよい。さらに、ロード/ストアユニット26はx86マイクロプロセッサアーキテクチャによって規定されるアドレス変換機構に関連したセグメントレジスタおよび他のレジスタのような特殊レジスタのための特殊レジスタストレージを含んでもよい。
一実施例では、ロード/ストアユニット26がロード記憶動作を投機的に行なうように構成される。ストア記憶動作はプログラム順に行なわれるが、予測されたウェイへと投機的にストアされてもよい。予測されたウェイが正確でなければ、ストア記憶動作の前のデータがその後予測されたウェイに再ストアされ、ストア記憶動作が正しいウェイに行なわれる。別の実施例では、ストアは投機的にも実行され得る。投機的に実行されたストアは、更新前のキャッシュラインのコピーとともにストアバッファに入れられる。投機的に実行されたストアが分岐予測誤りか例外のために後に破棄されれば、キャッシュラインはバッファにストアされる値に回復され得る。ここで、ロード/ストアユニット26は投機的な実行を含まない何らかの量の投機的実行を行なうよう構成されてもよい。
データキャッシュ28はロード/ストアユニット26と主メモリサブシステムとの間で転送されるデータを一時的にストアするために設けられる高速キャッシュメモリである。一実施例では、データキャッシュ28は8ウェイセットアソシアティブ構造において16キロバイトまでのデータをストアする能力を有する。命令キャッシュ16と同様に、データキャッシュ28はウェイ予測機構を用いることができる。データキャッシュ28がセットアソシアティブ構成を含むさまざまな具体的メモリ構成で実現され得ることが理解される。
x86マイクロプロセッサアーキテクチャを用いるマイクロプロセッサ10のある特定の実施例では、命令キャッシュ16およびデータキャッシュ28が線形的にアドレス指定される。線形アドレスは、命令によって特定されるオフセットと、x86アドレス変換機構のセグメント部分によって特定されるベースアドレスとから形成される。線形アドレスは主メモリにアクセスするために物理アドレスに変換されてもよい。線形から物理への変換はx86アドレス変換機構のページング部分によって特定される。ここで、線形的にアドレス指定されたキャッシュが線形アドレスタグをストアする。1組の物理タグ(図示せず)が線形アドレスを物理アドレスにマッピングし、かつ変換歪みを検出するために用いられ得る。また、物理タグブロックは線形アドレスから物理アドレスへの変換を行なうことができる。
ここで図2を参照すると、デコードユニット20Bおよび20Cの一実施例のブロック図が示される。各デコードユニット20は命令整列ユニット18から命令を受取る。また、MROMユニット34が特定のMROM命令に対応する高速パス命令をディスパッチするために各デコードユニット20に結合される。デコードユニット20Bは先行(early)デコードユニット40B、マルチプレクサ42Bおよび操作コードデコードユニット44Bを含む。同様に、デコードユニット20Cは先行デコードユニット40C、マルチプレクサ42Cおよび操作デコードユニット44Cを含む。
x86命令セットのある命令はかなり複雑化しており、頻繁に用いられる。マイクロプロセッサ10の一実施例では、このような命令は、特定の機能ユニット24A−24C内に含まれるハードウェアが実行するために構成されるよりも複雑な演算を含む。このような命令は「二重ディスパッチ」命令と呼ばれる特殊なタイプのMROM命令として分離される。これらの命令は1対の操作コードデコードユニット44にディスパッチされる。ここで、操作コードデコードユニット44はそれぞれの予約ステーション22に結合される。操作コードデコードユニット44A−44Cの各々は対応の予約ステーション22A−22Cと機能ユニット24A−24Cとで発行位置を形成する。命令は操作コードデコードユニット44から対応の予約ステーション22へと、さらに対応の機能ユニット24へと渡される。
マルチプレクサ42BはMROMユニット34によって与えられる命令か先行デコードユニット40Bによって与えられる命令かを選択するために含まれる。MROMユニット34が命令をディスパッチしている時間の間、マルチプレクサ42BはMROMユニット34によって与えられる命令を選択する。他の時間では、マルチプレクサ42Bは先行デコードユニット40Bによって与えられる命令を選択する。同様に、マルチプレクサ42CはMROMユニットによって与えられる命令か、先行デコードユニット40Bによって与えられる命令か、先行デコードユニット40Cによって与えられる命令かの選択を行なう。MROMユニット34からの命令はMROMユニット34が命令をディスパッチしている時間の間に選択される。デコードユニット20A(図示せず)内の先行デコードユニットが二重ディスパッチ命令を検出する時間の間、先行デコードユニット40Bからの命令がマルチプレクサ42Cによって選択される。さもなければ、先行デコードユニット40Cからの命令が選択される。操作コードデコードユニット44Cへと先行デコードユニット40Bからの命令を選択することによって、デコードユニット20Bによって復号化される高速経路命令がデコードユニット20Aによって復号化される二重ディスパッチ命令と同時にディスパッチされる。
x86命令セットを用いる一実施例に従うと、先行デコードユニット40は以下の動作を行なう。
(i) 命令のプリフィックスバイトを符号化されたプリフィックスバイトへとマージする。
(ii) 分岐予測の間に検出されなかった(無条件飛越し、呼出しおよびリターンを含み得る)無条件分岐命令を復号化する。
(iii) 出所フラグおよび行先フラグを復号化する。
(iv) レジスタオペランドであり、オペランドサイズ情報を発生する出所オペランドおよび行先オペランドを復号化する。
(v) 変位および即値データが操作コードデコードユニットに送られるように変位および/または即値サイズを決定する。
操作コードデコードユニット44は命令の操作コードを復号化するように構成され、機能ユニット24のための制御値を生じる。変位および即値データは制御値とともに予約ステーション22に送られる。
先行デコードユニット40がオペランドを検出するので、マルチプレクサ42の出力はレジスタファイル30およびリオーダバッファ32に送られる。オペランド値またはタグは従って予約ステーション22に送られ得る。また、メモリオペランドは先行デコードユニット40によって検出される。したがって、マルチプレクサ42の出力はロード/ストアユニット26に送られる。メモリオペランドを有する命令に対応する記憶動作がロード/ストアユニット26によってストアされる。
ここで図3を参照すると、連続する命令バイト50の例示的なグループと対応のセットの分岐セレクタ52との図が示される。図3では、命令内の各バイトが短い垂直線(たとえば、参照番号54)によって示される。また、グループ50内の命令を分離する垂直線はバイトの範囲を定める(たとえば、参照番号56)。図3に示す命令は可変長であり、したがって、図3に示す命令を含む命令セットは可変バイト長の命令セットである。すなわち、可変バイト長命令セット内の第1の命令は、命令セット内の第2の命令によって占められる第2の数のバイトとは異なる第1の数のバイトを占め得る。他の命令セットは、命令セット内の各命令が他の各命令と同じ数のバイトを占めるように固定長であってもよい。
図3に示すように、グループ50は非分岐命令IN0−IN5を含む。命令IN0、IN3、IN4およびIN5は2バイト命令である。命令IN1は1バイト命令であり、命令IN2は3バイト命令である。分岐を予測された2つの分岐命令PB0およびPB1も例示され、各々2バイトを占めるものとして示される。ここで、非分岐命令および分岐命令の両方がさまざまな数のバイトを占めてもよい。
分岐を予測された各分岐PB0およびPB1のエンドバイトはグループ50を、第1の領域58、第2の領域60および第3の領域62の3つの領域に分割する。フェッチアドレスを識別するグループ50が提示され、グループ内のフェッチアドレスのオフセットが第1の領域58内のバイト位置を識別すれば、遭遇されるべき分岐を予測された第1の分岐命令はPB0であり、したがって、PB0のための分岐予測が分岐予測機構によって選択される。同様に、フェッチアドレスのオフセットが第2の領域60内のバイトを識別すれば、適切な分岐予測はPB1のための分岐予測である。最後に、フェッチアドレスのオフセットが第3の領域62内のバイトを識別すれば、命令バイトのグループ内と識別されたバイトの後には分岐を予測された分岐命令は存在しない。したがって、第3の領域62のための分岐予測は逐次的である。逐次アドレスが主メモリ内でグループ50の直後に続く命令バイトのグループを識別する。
ここで用いられる場合、アドレスのオフセットはそのアドレスの多数の最下位ビットを含む。この数は、オフセットが関連するバイトのグループ内の各バイトのためのビットの種々の復号化を与えるのに十分なものである。たとえば、グループ50は16バイトである。したがって、グループ内のアドレスの4最下位ビットがアドレスのオフセットを形成する。アドレスの残りのビットが主メモリ内の命令バイトの他のグループからグループ50を識別する。さらに、残りのビットの多数の最下位ビットが、グループ50をストアするのに望ましい記憶場所の行を選択するために命令キャッシュ16によって用いられるインデックスを形成する。
セット52はグループ50のための分岐セレクタの例示的なセットである。1つの分岐セレクタがグループ50内のバイトごとに含まれる。セット52内の分岐セレクタは以下の図6に示す復号化を用いる。例では、PB0のための分岐予測が(「3」の分岐セレクタ値によって示すように)グループ50と関連した2つの分岐予測のうちの第2のものとしてストアされる。したがって、第1の領域58内の各バイトのための分岐セレクタは「3」にセットされる。同様に、PB1のための分岐予測は(「2」の分岐セレクタ値によって示すように)分岐予測の第1のものとしてストアされる。したがって、第2の領域60内の各バイトのための分岐セレクタは「2」にセットされる。最後に、逐次分岐予測が「0」の分岐セレクタ符号化によって第3の領域60内のバイトのための分岐セレクタによって示される。
ここで、x86命令セットの可変バイト長の性質のために、分岐命令は連続する命令バイトのあるグループ内で始まり、連続する命令バイトの第2のグループ内で終るかもしれない。このような場合、分岐命令のための分岐予測は連続する命令バイトの第2のグループでストアされる。特に、連続する命令バイトの第2のグループ内にストアされる分岐命令のバイトはフェッチされ、ディスパッチされる必要がある。連続する命令バイトの第1のグループにおいて分岐予測を形成すると、命令バイトの第2のグループ内にある分岐命令のバイトがフェッチされないであろう。
ここで図4を参照すると、分岐予測ユニット14の一実施例の一部が示される。分岐予測ユニット14の他の実施例と図4に示す部分とを考える。図4に示すように、分岐予測ユニット14は分岐予測ストレージ70、ウェイマルチプレクサ72、分岐セレクタマルチプレクサ74、分岐予測マルチプレクサ76、逐次/リターンマルチプレクサ78、最終予測マルチプレクサ80、更新論理ブロック82およびデコーダ84を含む。分岐予測ストレージ70およびデコーダ84は命令キャッシュ16からのフェッチアドレスバス86に結合される。命令キャッシュ16内の命令バイトストレージに同時に与えられるフェッチアドレスはフェッチアドレスバス86により伝えられる。デコーダブロック84は予測セレクタマルチプレクサ74に選択制御を与える。ウェイマルチプレクサ72のための予測制御は命令キャッシュ16からウェイ選択バス88を介して与えられる。ウェイ選択バス88は、フェッチアドレスバス86で与えられるフェッチアドレスに対応するキャッシュラインをストアしている命令キャッシュ16のウェイを与える。また、選択信号がキャッシュラインのどの部分がフェッチされているかに基づいてデコーダ84によって与えられる。ウェイマルチプレクサ72は、フェッチアドレスバス86上のフェッチアドレスによってインデックス付けされる分岐予測ストレージ70の行内の各記憶場所の内容を受取るために結合される。分岐セレクタマルチプレクサ74および分岐予測マルチプレクサ76はウェイマルチプレクサ72の出力の部分を入力として受取るよう結合される。また、分岐セレクタマルチプレクサ74の出力はマルチプレクサ76、78および80のための選択制御を与える。逐次/リターンマルチプレクサ78は、命令キャッシュ16からの逐次アドレスバス90で与えられる逐次アドレスか、リターンスタックからのリターンアドレスバス92で与えられるリターンアドレスかを選択する。マルチプレクサ76および78の出力は最終予測マルチプレクサ80に与えられ、これは命令キャッシュ16に分岐予測バス94を与える。命令キャッシュ16は分岐予測バス94で与えられる分岐予測を後のクロックサイクルのためのフェッチアドレスとして用いる。更新論理ブロック82は、そこでストアされる分岐予測情報を更新するために用いられる更新バス96を介して分岐予測ストレージ70に結合される。更新論理ブロック82は、機能ユニット24およびデコードユニット20から予測誤りバス98を介して信号される予測誤りに応答して更新を行なう。また、更新論理ブロック82はプリデコードバス100上のプリフェッチ−プリデコードユニット12によって示される新たにプリデコードされた命令に応答して更新を行なう。
分岐予測ストレージ70は命令キャッシュ16におけるウェイの数と等しい数のウェイを備えて配列される。各ウェイに対して、予測ブロックがキャッシュライン内に存在する連続する命令バイトの各グループのためにストアされる。図4の実施例では、命令バイトの2つのグループが各キャッシュラインに含まれる。したがって、予測ブロックP00が第1のウェイの連続する命令バイトの第1のグループに対応する予測ブロックであり、予測ブロックP01が第1のウェイの連続する命令バイトの第2のグループに対応する予測ブロックである。同様に、予測ブロックP10が第2のウェイの連続する命令バイトの第1のグループに対応する予測ブロックであり、予測ブロックP11が第2のウェイの連続する命令バイトの第2のグループに対応する予測ブロックであり、以下同様である。インデックス付けされた行における各予測ブロックP00からP31が分岐予測ストレージ70の出力、したがってウェイマルチプレクサ72の入力として設けられる。インデックス付けされた行はキャッシュへのインデックス付けと類似しており、フェッチアドレスのオフセット部分の一部でない多数のビットが分岐予測ストレージ70の行の1つを選択するために用いられる。ここで、分岐予測ストレージ70は命令キャッシュ16よりも少ない行で構成されてもよい。たとえば、分岐予測ストレージ70は命令キャッシュ16の行の数の4分の1の行を含んでもよい。このような場合、命令キャッシュ16のインデックスビットであるが分岐予測ストレージ70のインデックスビットでないアドレスビットが分岐予測情報とともにストアされてもよく、分岐予測情報がアクセスされている命令キャッシュ16の行と関連していることを確かめるためにフェッチアドレスの対応のビットに対して検査されてもよい。
ウェイマルチプレクサ72は、命令キャッシュ16から与えられるウェイ選択とフェッチアドレスによって参照される命令バイトのグループとに基づいて分岐予測情報P00−P31の組の1つを選択する。図示する実施例では、たとえば、32バイトキャッシュラインが2つの16バイトグループに分割される。したがって、アドレスの5番目の最下位ビットが2つのグループのうちのいずれがフェッチアドレスを含むかを選択するために用いられる。5番目の最下位ビットが0であれば、連続する命令バイトの第1のグループが選択される。5番目の最下位ビットが1であれば、連続する命令バイトの第2のグループが選択される。ここで、ウェイ選択バス88上に与えられるウェイ選択は、一実施例に従うと前のクロックサイクルからの分岐予測によって生み出されるウェイ予測であってもよい。代替的に、ウェイ選択は、フェッチアドレスと命令キャッシュの各ウェイにストアされるキャッシュラインを識別するアドレスタグとの間でのタグ比較により生じてもよい。ここで、アドレスタグはキャッシュライン内のオフセットでも命令キャッシュへのインデックスでもないアドレスの部分である。
ウェイマルチプレクサ72によって与えられる選択された予測ブロックは、連続する命令バイトのグループにおける各バイトのための分岐セレクタと、分岐予測PP1およびPP2とを含む。分岐セレクタは分岐セレクタマルチプレクサ74に与えられ、これはデコーダ84によって与えられる選択制御に基づいて分岐セレクタのうちの1つを選択する。デコーダ84はフェッチアドレスのオフセットを連続する命令バイトのグループへと復号化して対応の分岐セレクタを選択する。たとえば、連続する命令バイトのグループが16バイトであれば、デコーダ84はフェッチアドレスの4最下位ビットを復号化する。このように分岐セレクタは選択される。
選択された分岐セレクタは選択制御を分岐予測マルチプレクサ76、逐次/リターンマルチプレクサ78および最終予測マルチプレクサ80に与えるために用いられる。一実施例では、分岐セレクタの符号化がマルチプレクサ選択制御として直接的に用いられ得る。他の実施例では、論理ブロックが分岐セレクタマルチプレクサ74とマルチプレクサ76、78および80との間に挿入され得る。図示する実施例では分岐セレクタは2ビットを含む。選択された分岐セレクタの一方のビットが選択制御を予測マルチプレクサ76および逐次/リターンセレクタ78に与える。他方のビットは選択制御を最終予測マルチプレクサ80に与える。分岐予測はしたがって、フェッチされる連続する命令バイトのグループに対応する分岐予測ストレージ70にストアされた多数の分岐予測と、フェッチされる連続する命令バイトのグループに続いて起こる連続する命令バイトのグループの逐次アドレスと、リターンスタック構造からのリターンスタックアドレスとから選択される。ここで、マルチプレクサ76、78および80は単一の4対1マルチプレクサに組合されることができ、このマルチプレクサのために、選択された分岐セレクタは選択制御を与えて、分岐予測ストレージ70、逐次アドレスおよびリターンアドレスからの2つの分岐命令間で選択を行なう。
リターンスタック構造(図示せず)はマイクロプロセッサ10によって前にフェッチされたサブルーチン呼出命令に対応するリターンアドレスをストアするために用いられる。一実施例では、分岐命令ストレージ70によってストアされる分岐予測は分岐予測がサブルーチン呼出命令に対応するという指示を含む。サブルーチン呼出命令は、命令ストリームをサブルーチン呼出命令の目標アドレスに再び向けることに加えて逐次命令(リターンアドレス)のアドレスをセーブする分岐命令のサブセットである。たとえば、x86マイクロプロセッサアーキテクチャでは、サブルーチン呼出命令(CALL)がリターンアドレスをESPレジスタによって指示されるスタックへと押出す。
サブルーチンリターン命令は分岐予測の別のサブセットである。サブルーチンリターン命令は目標アドレスとして最も新しく実行されたサブルーチン呼出命令によってセーブされるリターンアドレスを用いる。したがって、分岐予測がそれがサブルーチン呼出命令に対応するという指示を含む場合、サブルーチン呼出命令への逐次アドレスがリターンスタックの先頭に置かれる。サブルーチン呼出命令が(特定の分岐セレクタ符号化によって示されるように)遭遇されると、予測としてこれまでに用いられていないリターンスタックの先頭に最も近いアドレスがアドレスの予測として用いられる。これまでに予測として用いられていないリターンスタックの先頭に最も近いアドレスが(それがウェイ選択バス88上に設けられるのと類似してリターンスタックに設けられるリターンアドレスの予測されたウェイとともに)リターンスタックによってリターンアドレスバス92に運ばれる。分岐予測ユニット14はリターンスタックにリターンアドレスがいつ予測として選択されるかを知らせる。例示的なリターンスタック構造に関する更なる詳細はMahalingaiah他によって1995年10月30日に提出され、共通の譲受人に譲渡され、同時係属中の特許出願連続番号第08/550,296号「スーパースカラマイクロプロセッサのための投機的リターンアドレス予測ユニット」(“Speculative Return Address Prediction Unit for Superscalar Microprocessor”)に見られ得る。引用された特許出願の開示は引用によりここに全体的に援用される。
逐次アドレスは命令キャッシュ16によって与えられる。逐次アドレスはフェッチアドレスバス86上のフェッチアドレスによって示される命令バイトのグループに対して主メモリ内の連続する命令バイトの次のグループを識別する。ここで、一実施例に従うとウェイ予測は逐次アドレスが選択されるときに逐次アドレスに供給される。ウェイ予測はフェッチアドレスのために選択されるウェイと同じであるように選択され得る。代替的に、逐次アドレスのウェイ予測が分岐予測ストレージ70内にストアされてもよい。
上述のように、更新論理ブロック82が、プリフェッチ/プリデコードユニット12における連続する命令バイトの対応のグループをプリデコードする間、分岐予測誤りの検出時または分岐命令の検出時に予測ブロックを更新するように構成される。各分岐命令に対応する予測ブロックは予測が行なわれているときに更新論理ブロック82にストアされる。分岐タグがフェッチされる命令とともに(分岐タグバス102によって)運ばれるので、プリデコードの間に予測誤りが検出されるか分岐命令が検出されれば、対応の予測ブロックが分岐タグによって識別され得る。一実施例では、図5に示すような予測ブロックが、予測ブロックをフェッチさせるフェッチアドレスのインデックスと予測ブロックがストアされるウェイとともにストアされる。
分岐予測誤りが検出されると、対応の分岐タグが、分岐命令を実行する機能ユニット24がデコードユニット20のいずれかから予測誤りバス98上に与えられる。デコードユニット20が分岐タグを与えれば、予測誤りは前に検出されなかったタイプのものである(たとえば、対応の分岐予測を用いて予測できるよりも多くの分岐命令がグループ内にある)。デコードユニット20は無条件分岐命令(すなわち、常に目標アドレスを選択する分岐命令)の予測誤りを検出する。機能ユニット24は前に検出されなかった条件付き分岐命令によるか不正確な分岐/非分岐を予測された予測かによる予測誤りを検出できる。更新論理82は上述のストレージから対応の予測ブロックを選択する。前に検出されなかった分岐命令の場合、予測ブロック内の分岐予測の1つが前に検出されなかった分岐命令に割当てられる。一実施例に従うと、分岐予測の1つを選択して前に検出されなかった分岐命令のための分岐予測をストアするアルゴリズムは以下のとおりである。分岐命令がサブルーチンリターン命令であれば、その命令のための分岐セレクタがリターンスタックを示す値であるように選択される。さもなければ、現在非分岐を予測されている分岐予測が選択される。各分岐予測が現在分岐を予測されていれば、分岐予測はランダムに選択される。新しい予測のための分岐セレクタが選択された分岐予測を示すようにセットされる。また、新たに検出された分岐命令の前の第1の分岐命令と新たに検出された分岐命令との間のバイトに対応する分岐セレクタが新しい予測に対応する分岐セレクタにセットされる。以下の図7は分岐セレクタを更新するための1つの方法を説明する。予測が非分岐を予測されるようにする誤って予測された分岐予測では、誤って予測された予測に対応する分岐セレクタは誤って予測された分岐命令の後のバイトに対応する分岐セレクタにセットされる。このように、後の分岐命令のための予測が用いられるのは命令が後のクロックサイクルで再びフェッチされる場合である。
連続する命令バイトのグループをプリデコードする間にプリフェッチ/プリデコードユニット12が分岐命令を検出するとき、プリフェッチ/プリデコードユニット12は、無効なプリデコード情報がキャッシュラインのための命令キャッシュにストアされているのでプリデコードが行なわれるならば連続する命令バイトのグループのために分岐タグを与える(場合(i))。代替的に、主メモリサブシステムからフェッチされるキャッシュライン上でプリデコードが行なわれるならば、プリフェッチ/プリデコードユニット12はプリデコードされる連続する命令バイトのグループのアドレスと、グループ内の分岐命令の最終バイトのオフセットと、グループをストアするために選択される命令キャッシュのウェイとを与える(場合(ii))。場合(i)では、更新は上の分岐予測誤りの場合と同様に行なわれる。場合(ii)では、命令のグループのために分岐予測ストレージ70にストアされる有効予測ブロックはまだない。この場合では、更新論理ブロック82は検出された分岐の前の分岐セレクタを検出された分岐のために選択される分岐セレクタに初期化する。さらに、検出された分岐の後の分岐セレクタが逐次的な値に初期化される。代替的に、分岐セレクタの各々が命令キャッシュ16における対応のキャッシュラインが割当てられるときに逐次的に初期化されてもよく、場合(i)に類似した態様でプリデコードの間に分岐命令の検出により後に更新されてもよい。
更新が発生すると、更新論理ブロック82は更新された予測ブロックをフェッチアドレスインデックスおよび対応のウェイとともに分岐予測ストレージ70にストアするために更新バス96で運ぶ。ここで、分岐予測ストレージ70を単一ポートのストレージとして保つために、分岐予測ストレージ70は分岐保持レジスタを用いてもよい。更新された分岐情報は分岐保持レジスタにストアされ、フェッチアドレスバス8上のアイドルサイクルで分岐予測ストレージへと更新される。例示的なキャッシュ保持レジスタ構造はTran他によって1995年6月7日に提出され、共通の譲受人に譲渡され、同時係属中である特許出願連続番号第08/481,914号「アレイのための遅延された更新レジスタ」(Delayed Update Register for an Array)に説明される。これは引用によりここに全体的に援用される。
ここで、正しく予測された分岐命令は対応の分岐命令も更新させる。(分岐命令の分岐/非分岐予測を形成するために用いられる)分岐命令の前の実行を示すカウンタがたとえばインクリメントまたはデクリメントされる必要が有り得る。このような更新は対応の分岐予測のリタイア時に行なわれる。リタイアはリオーダバッファ32からのリタイアタグバス104上の分岐タグによって示される。
ここで、図4の構造が予測された分岐セレクタの使用によってさらに加速され得る。予測された分岐セレクタは各予測ブロックでストアされ、連続する命令バイトの連続するグループの前のフェッチにおいて選択された分岐セレクタにセットされる。予測された分岐セレクタは分岐予測を選択するために用いられ、分岐セレクタマルチプレクサ74を分岐予測発生の経路から除去する。しかしながら、選択された分岐セレクタが予測された分岐セレクタと等しいか確かめるために分岐セレクタマルチプレクサ74がなお用いられる。選択された分岐セレクタと予測された分岐セレクタとが等しくなければ、選択された分岐セレクタが続くクロックサイクルの間に正しい分岐予測を与えるために用いられ、正しくない分岐予測のフェッチがキャンセルされる。
ここで図5を参照すると、図4に示すような分岐予測ユニット14の一実施例によって用いられる例示的な予測ブロック110が示される。予測ブロック110は分岐セレクタ112、第1の分岐予測(PP1)114、および第2の分岐予測(PP2)116の組を含む。分岐セレクタ112の組は予測ブロック110に対応する連続する命令バイトのグループの各バイトのための分岐セレクタを含む。
第1の分岐予測114が図5の展開図に示される。第2の分岐予測116も同様に構成される。第1の分岐予測114は目標アドレスを含むキャッシュラインのためのインデックス118とキャッシュラインのためのウェイ選択120とを含む。一実施例に従うと、インデックス118は目標アドレスのオフセット部分とインデックスとを含む。インデックス118はウェイ選択120によって示されるウェイのタグと連結されて分岐予測アドレスを形成する。また、予測カウンタ122が各分岐予測ごとにストアされる。予測カウンタは、対応の分岐命令が実行され、分岐されるごとにインクリメントされ、対応の分岐命令が実行され、非分岐とされるごとにデクリメントされる。予測カウンタの最上位ビットは分岐/非分岐の予測として用いられる。最上位ビットがセットされれば分岐命令は分岐を予測される。逆に、最上位ビットがクリアであれば分岐命令は非分岐を予測される。一実施例では、予測カウンタは2ビット飽和カウンタである。カウンタは二進数の「11」でインクリメントされると飽和し、二進数の「01」でデクリメントされると飽和する。別の実施例では、予測カウンタは強い(二進数1)または弱い(二進数0)分岐予測を示す単一ビットである。強い分岐予測が誤って予測されれば、これは弱い分岐予測となる。弱い分岐予測が誤って予測されれば、分岐は非分岐を予測され、分岐セレクタが更新される(すなわち、非分岐となる誤って予測された分岐の場合)。最後に、呼出ビット124が第1の分岐予測114に含まれる。セットされると、呼出ビット124は対応の分岐命令がサブルーチン呼出命令であることを示す。呼出ビット124がセットされれば、現在のフェッチアドレスおよびウェイが上述のリターンスタック構造にストアされる。
次に図6を参照すると、例示的な分岐セレクタ符号化を例示する表130が示される。2進数符号化がリストにされ(初めに最上位ビット)、次に分岐セレクタが対応の値で符号化されるときに選択される分岐予測が示される。表130が示すように、分岐セレクタの最下位ビットは分岐予測マルチプレクサ76および逐次/リターンマルチプレクサ78のための選択制御として用いられ得る。最下位ビットがクリアであれば、第1の分岐予測が分岐予測マルチプレクサ76によって選択され、逐次アドレスが逐次/リターンマルチプレクサ78によって選択される。他方、第2の分岐予測は分岐予測マルチプレクサ76によって選択され、リターンアドレスは最下位ビットがクリアであれば逐次/リターンマルチプレクサによって選択される。更に、分岐セレクタの最上位ビットが最終予測マルチプレクサ80のための選択制御として用いられ得る。最上位ビットがセットされれば、分岐予測マルチプレクサ76の出力が選択される。最上位ビットがクリアであれば、逐次/リターンマルチプレクサ78の出力が選択される。
ここで図7を参照すると、誤って予測された分岐命令に応答して連続する命令バイトのグループの分岐セレクタを更新するために用いられるステップを表わすフローチャートが示される。プリデコードの間に発見される分岐命令による更新も同様に行なわれ得る。予測誤りは、予測情報がそのために分岐予測ストレージ70にストアされていない分岐命令が検出された結果であるかもしれず、対応の予測カウンタに非分岐を示させる正くない分岐/非分岐予測の結果であるかもしれない。
予測誤りが検出されると、分岐予測ユニット14は「エンドポインタ」、すなわち、連続する命令バイトの対応のグループ内の誤って予測された分岐命令のエンドバイトのオフセットを用いる。また、予測ブロックが予測誤りに応じて受取られる分岐タグを用いて更新のために選択される。分岐予測ユニット14はエンドポインタを更新マスクへと復号化する(ステップ140)。更新マスクは連続する命令バイトのグループ内の各バイトのための2進数の桁を含む。キャッシュライン内の分岐命令のエンドバイトに先行し、かつそれを含むバイトに対応する桁がセットされ、残りの桁がクリアのままである。
分岐予測ユニット14は現在の分岐セレクタを識別する。誤って予測された分岐/非分岐予測では、現在の予測セレクタは誤って予測された分岐命令に対応する分岐セレクタである。検出されていない分岐による予測誤りでは、現在の分岐セレクタは検出されていない分岐命令のエンドバイトに対応する分岐セレクタである。現在の分岐セレクタは分岐マスクを生じるために分岐セレクタの各々とで排他的否定論理和を取られる(ステップ142)。分岐マスクは、現在の分岐セレクタと一致する分岐セレクタを有した各バイトに対してセットされる2進数の桁と、現在の分岐セレクタと一致しない分岐セレクタを有した各バイトに対してクリアである2進数の桁とを含む。
ステップ140で生じる更新マスクとステップ142で生じる分岐マスクとは後に論理積を取られ、最終的な更新マスクを作る(ステップ144)。最終的な更新マスクは新しい分岐セレクタに更新されるべき連続する命令バイトのグループの各バイトのためにセットされる2進数の桁を含む。誤って予測された分岐の分岐では、新しい分岐セレクタは誤って予測された分岐の分岐命令のエンドバイトの後のバイトの分岐セレクタである。検出されていない分岐では、新しい分岐セレクタは論理ブロック82を更新することによってこれまでに検出されていない分岐に割当てられる分岐予測ストレージを示す分岐セレクタである。
拡張されたマスクも発生される(ステップ146および148)。拡張マスクは、どの分岐セレクタが消去されるべきかを示し、これは、分岐セレクタに対応する分岐予測が新たに発見された分岐命令に再び割当てられているか、または分岐予測が今や非分岐を示すためである。拡張マスクは、現在の分岐セレクタの代わりに新しい分岐セレクタを用いることを除き、分岐マスクと同様の第2の分岐マスクを初めに作ることによって発生される(すなわち、マスクはキャッシュラインに対応する分岐セレクタを新しい分岐セレクタと排他的否定論理和を取ることによって生じる(ステップ146))。結果として生じるマスクは次に最終的な更新マスクの反転と論理積を取られて拡張マスクを作る(ステップ148)。セットされている拡張マスク内のビットに対応する分岐セレクタが更新されて、拡張マスク内のビットがそのためにセットされる最終バイトの直後のバイトの分岐セレクタを示す。このように、分岐セレクタによって前に示された分岐予測が消去され、キャッシュライン内の後続の分岐セレクタと置換えられる。ステップ150の間、分岐セレクタは最終更新マスクおよび拡張マスクに応じて更新される。
ここで図8を参照すると、図7のフローチャートに示すステップを用いた分岐セレクタの更新の例が示される。各バイト位置がリストにされ(参照番号160)、更新前の分岐セレクタの組が続く。分岐セレクタ162の初期の組では、サブルーチン命令がバイト位置1で終わり、同様に、第1の分岐命令が(分岐セレクタ番号3によって示すように)バイト位置8で終わり、第2の分岐命令が(分岐セレクタ番号2によって示すように)バイト位置11で終わる。
図8の例では、これまでに検出されていない分岐命令が検出されてバイト位置6で終了する。第2の分岐予測が選択されてこれまでに検出されていない分岐命令のための分岐予測を表わす。これまでに検出されていない分岐命令のエンドポインタがバイト位置6であれば、更新マスクが参照番号164で示すように発生される。例はこれまでに検出されていない分岐命令による予測誤りの場合であり、バイト位置6の分岐セレクタが「3」であるので、現在の分岐セレクタは「3」である。現在の分岐セレクタと初期分岐セレクタ162との排他的否定論理和が参照番号166で示す分岐マスクを生む。更新マスクと分岐マスクとの後の論理積によって、参照番号168で示す最終的な更新マスクが生じる。最終更新マスク168で示すように、バイト位置2から6は新しい分岐セレクタに更新される
第2の分岐マスクは新しい分岐セレクタを初期分岐セレクタ162で排他的否定論理和と取ることによって生じる(参照番号170)。新しい分岐セレクタは「3」であるので、第2の分岐マスク170はこの例の分岐マスク166と等しい。分岐マスク170を最終更新マスク168の論理反転と論理積を取ると、参照番号172で示す拡張マスクが生じる。拡張マスク172が示すように、バイト位置7および8は第1の分岐予測を示すように更新されるべきであり、これは第2の分岐予測がバイト位置6で終わる分岐命令とバイト11で終了する第1の分岐命令によって表わされる分岐命令とに割当てられているためである。分岐セレクタの更新された組が参照番号174に示される。参照番号174における分岐セレクタの更新された組は、これまでに検出されていない分岐命令に対応する分岐予測情報をストアするために、分岐セレクタ「3」に対応する分岐予測が選択されたことを反映している。
次に図9を参照して、図7のフローチャートに示されるステップを用いた分岐セレクタの更新の第2の例が示される。図8の例と同様に、各バイト位置が示され(参照番号160)、次に更新前の分岐セレクタの組が示される(参照番号162)。分岐セレクタ162の最初の組では、サブルーチンリターン命令はバイト位置1で終り、第1の分岐命令は(分岐セレクタ番号3で示される)バイト位置8で終り、第2の分岐命令は(分岐セレクタ番号2で示される)バイト位置11で終る。
図9の例では、バイト位置6で終るまだ検出されていない分岐命令が再び検出される。しかしながら、(図8に示される第1の分岐命令とは反対に)まだ検出されていない分岐命令に関する分岐予測を表わすために第1の分岐予測が選択される。予測誤りは図8の場合と同じバイト位置であるため、図8の場合と同じ更新マスク、分岐マスクおよび最終更新マスク(参照番号164、166および168)が生成される。
新しい分岐セレクタと最初の分岐セレクタ162との排他的否定論理和をとることにより第2の分岐マスク(参照番号180)が生成される。新しい分岐セレクタはこの例では「2」であるため、第2の分岐マスク180はバイト位置9から11を示す。分岐マスク180と最終更新マスク168の論理反転との論理積をとることにより、参照番号182で示される拡張マスクがもたらされる。拡張マスク182が示すように、バイト位置11に後続する分岐予測(すなわち逐次分岐予測)を示すようバイト位置9から11が更新されることとなる。これは、第1の分岐予測がバイト位置6で終る分岐命令に割当てられ、第2の分岐予測によって表わされる分岐命令がバイト8で終るからである。更新された分岐セレクタの組は参照番号184で示される。参照番号184で示される更新された分岐セレクタの組は、まだ検出されていない分岐命令に対応する分岐予測情報をストアするための、分岐セレクタ「2」に対応する分岐予測の選択を反映する。
次に図10を参照して、図7のフローチャートに示されるステップを用いた分岐セレクタの更新の第3の例が示される。図8の例と同様に、各バイト位置が示され(参照番号160)、次いで更新前の分岐セレクタの組が示される(参照番号162)。最初の組の分岐セレクタ162では、サブルーチンリターン命令はバイト位置1で終り、第1の分岐命令は(分岐セレクタ番号3で示される)バイト位置8で終り、第2の分岐命令は(分岐セレクタ番号2で示される)バイト位置11で終る。
図10の例の場合、バイト位置8で終る分岐命令は分岐すると誤予測され、次いで第2の分岐予測を更新することにより、分岐カウンタが非分岐を示すようになる。分岐予測が非分岐であるため、分岐予測を示す分岐セレクタは後の分岐命令を示すよう更新される(または隣接する命令バイトのグループ内に後の分岐命令がない場合には逐次的であることを示すよう更新される)べきである。分岐予測が非分岐となる場合には、新たに検出された分岐命令がないため「新しい」分岐命令のエンドポインタは無効である。したがって更新マスクはすべて0として生成される(参照番号190)。現在の分岐セレクタは「3」であるため、分岐マスクは参照番号191で示されるように生成される。したがって最終更新マスク(参照番号192)はすべて0である。
新しい分岐セレクタと最初の分岐セレクタ162との排他的否定論理和をとることにより第2の分岐マスク(参照番号194)が生成される。この例では新しい分岐セレクタは「3」に設定されるため、「3」にコーディングされた分岐セレクタの各々は第2の分岐マスク194で示される。分岐マスク180と最終更新マスク192の論理反転との論理積をとることにより、参照番号196で示される拡張マスクがもたらされる。拡張マスク196が示すように、バイト位置2から8はバイト位置8に後続する分岐予測(すなわち第1の分岐予測)を示すよう更新されることとなる。これは第1の分岐予測がバイト位置11で終る分岐命令に割当てられるからである。更新された分岐セレクタの組は参照番号198で示される。参照番号198で示される更新された分岐セレクタの組は、隣接した命令バイトのグループに対応する分岐セレクタの組から分岐セレクタ「3」を削除することを反映する。これは第1の分岐予測には分岐すると予測された分岐予測がストアされないからである。
図10に示されるように、非分岐を予測が示すときに分岐セレクタを除去するための手順は分岐予測を再度割当てる手順と似ている。2つの手順の相違点は、分岐セレクタを除去するための更新マスクが常に0として生成され、現在の分岐セレクタは拡張マスクを生成するために「新しい」分岐セレクタとして与えられる点である。
上記の説明では(たとえばx86の命令の組である)可変バイト長命令の組を採用する実施例に焦点を当てたが、分岐セレクタは固定バイト長命令の組に関する分岐予測機構にも採用され得ることに注目されたい。固定バイト長命令の組の実施例では各命令に関する分岐セレクタがストアされ得る。なぜなら、命令は隣接した命令バイトのキャッシュラインまたはグループ内に一定のオフセットでストアされるからである。
さらに、上記の実施例では隣接した命令バイトのグループに対して多数の分岐予測が示されたが、各グループに対して1つしか分岐予測がストアされない場合でも分岐セレクタを採用してもよいことに注目されたい。この場合の分岐セレクタは単一ビットであろう。ビットが設定されると分岐予測が選択される。ビットがクリアされると逐次予測が選択される。
上述のとおり、まだ検出されていない分岐命令は対応する予測ブロック内の分岐予測のうちいずれによっても表わされない分岐命令であることに注目されたい。まだ検出されていない分岐命令はまだ検出されていなくてもよい(すなわち、対応するキャッシュラインが命令キャッシュ16にストアされているため実行されない)。これに代えて、まだ検出されていない分岐命令に対応する分岐予測は、隣接した命令バイトを有する対応するグループ内の異なった分岐命令に再度割当てられていてもよい。
次に図11を参照して、マイクロプロセッサ10を含むコンピュータシステム200が示される。コンピュータシステム200は、バスブリッジ(bus bridge)202と、主メモリ204と、複数の入力/出力(I/O)デバイス206A−206Nとをさらに含む。複数のI/Oデバイス206A−206NをI/Oデバイス206と総称する。マイクロプロセッサ10、バスブリッジ202および主メモリ204はシステムバス208に結合される。I/Oデバイス206はバスブリッジ202との通信のためにI/Oバス210に結合される。
バスブリッジ202はI/Oデバイス206とシステムバス208に結合されたデバイスとの間の通信を補助するために設けられる。典型的にI/Oデバイス206にはマイクロプロセッサ10およびシステムバス208に結合された他のデバイスよりも長いバスクロックサイクルが要求される。したがって、バスブリッジ202はシステムバス208と入力/出力バス210との間にバッファをもたらす。さらに、バスブリッジ202は1つのバスプロトコルから別のものにトランザクションを変換する。1つの実施例では、入力/出力バス210はエンハンスト産業規格アーキテクチャ(EISA)バスであり、バスブリッジ202はシステムバスプロトコルからEISAバスプロトコルへの変換を行なう。別の実施例では、入力/出力バス210は周辺コンポーネント相互接続(PCI)バスであり、バスブリッジ202はシステムバスプロトコルからPCIバスプロトコルへの変換を行なう。多くの種類のシステムバスプロトコルが存在することに注目されたい。マイクロプロセッサ10は適切ないかなるシステムバスプロトコルを採用してもよい。
I/Oデバイス206はコンピュータシステム200とコンピュータシステムの外部にある他のデバイスとの間にインターフェイスを提供する。例示的なI/Oデバイスは、モデム、直列または平行ポートおよび音声カードなどを含む。I/Oデバイス206は周辺デバイスとも呼ばれ得る。主メモリ204はマイクロプロセッサ10によって用いられるデータおよび命令をストアする。1つの実施例において、主メモリ204は少なくとも1つのダイナミックランダムアクセスメモリ(DRAM)およびDRAMメモリコントローラを含む。
図11に示されるコンピュータシステム200には1つのバスブリッジ202が含まれるが、コンピュータシステム200の他の実施例では、類似していないかまたは類似している多数のI/Oバスプロトコルに変換するための多数のバスブリッジ202を含んでもよいことに注目されたい。さらに、高速メモリストレージにマイクロプロセッサ10が用いる命令およびデータをストアすることによりコンピュータシステム200の性能を高めるためのキャッシュメモリを含んでもよい。キャッシュメモリはマイクロプロセッサ10とシステムバス208との間に挿入されるか、または「ルックアサイド(lookaside)」構成でシステムバス208上に置かれてもよい。
上記のさまざまなコンポーネントはマルチプレクサとして説明されたが、直列または並列の多数のマルチプレクサを採用して、示されるマルチプレクサによって表わされる選択を行なうようにしてもよい。
さらに、この説明はさまざまな信号のアサーションに関連し得ることに注目されたい。ここで用いられるように、特定の条件を示す値を伝える場合には信号は「アサート(assert)」される。これとは逆に、特定の条件の欠如を示す値を伝える場合には信号は「デアサート(deassert)」される。信号は論理0の値を伝える場合はアサートされていると定義され、論理1の値を伝える場合にはこの逆である。さらに、上記の説明ではさまざまな値は破棄されるものとして説明された。値は多くの態様において破棄され得るが、一般には、値を受ける論理回路によって無視されるように値を変形することにかかわる。たとえば、値がビットを含む場合、値を破棄するよう値の論理状態が反転され得る。値がnビットの値である場合、nビットのエンコーディングのうちの1つは、値が無効であることを示し得る。無効なエンコーディングに値を設定することにより値は破棄される。さらに、nビットの値は、設定されたときにnビットの値が有効であることを示す有効ビットを含み得る。有効ビットを再度設定することは値を破棄することを含み得る。値を破棄する他の方法を用いてもよい。
下記の表1は、x86の命令の組を採用するマイクロプロセッサ10の1つの実施例に関する高速経路、ダブルディスパッチおよびMROM命令を示す。
Figure 0003803723
Figure 0003803723
Figure 0003803723
注:SIBバイトを含む命令はダブルディスパッチ命令とも考えられる。
上記のことに従うスーパースカラマイクロプロセッサは、プフラム他(Pflum et al.)による米国特許第5381462号の「条件付ラッチ機構およびそれを採用するパイプラインマイクロプロセッサ(“Conditional Latching Mechanism and Pipelined Microprocessor Employing the Same”)」と題された、同一人に譲渡された同時係属中の特許出願に開示されているようなラッチ構造をさらに採用してもよいことに注目されたい。
さらに、アレイ回路に関する局面はトラン(Tran)による米国特許第5619464号の「アレイアクセスを可能にするためのセルフタイムクロックジェネレータを採用する高性能ラムアレイ回路(“High Performance Ram Array Circuit Employing Self-Time Clock Generator for Enabling Array Access”)」と題された、同一人に譲渡された同時係属中の特許出願に見い出されることに注目されたい。
さらに、スーパースカラマイクロプロセッサに関する他の局面は下記の同一人に譲渡された同時係属中の特許出願に見い出されることに注目されたい。これらの特許出願とは、ウイット(Witt)による米国特許第5623619号の「線形にアドレス指定可能なマイクロプロセッサキャッシュ(“Linearly Addressable Microprocessor Cache”)」、ウィット他(Witt et al.)による米国特許第5819057号の「高性能な命令整列ユニットを含むスーパースカラマイクロプロセッサ(“Superscalar Microprocessor Including a High Performance Instruction Alignment Unit”)」、ロバート他(Roberts et al.)による米国特許第5845523号の「ウェイ予測構造(“A Way Prediction Structure”)」、ウイット他(Witt et al.)によって1995年8月31日に出願された連続番号第08/521,627号である「単一クロックサイクルでストアアクセスを行なうことが可能がデータキャッシュ(“A Data Cache Capable of Performing Store Accesses in a Single Clock Cycle”)」、ナラヤン(Narayan)によって1995年6月7日に出願された連続番号第08/475,400号である「並列でありかつスケーリング可能な命令スキャンユニット(“A Parallel and Scalable Instruction Scanning Unit”)」、およびトラン他(Tran et al.)による米国特許第5822559号の「可変バイト長命令を複数の発行位置に整列させるための装置および方法(“An Apparatus and Method for Aligning Variable-Byte Length Instructions to a Plurality of Issue Positions”)」である。
上記の開示によると、分岐セレクタを用いる分岐予測機構が説明された。分岐予測機構は、所与のフェッチアドレスで示されるバイトに対応する分岐セレクタを選択し、その分岐セレクタで示される分岐予測を選択することにより、所与のフェッチアドレスに対応する分岐予測を迅速につきとめる。この分岐予測機構は先行する分岐予測機構よりも高い周波数で動作可能であろう。
上記の開示が十分に認められると当業者には多くの変更および変形が明らかとなるであろう。以下の請求の範囲はこのような変更および変形のすべてを包含するものと解されることが意図される。

Claims (22)

  1. 複数の命令を含む隣接した命令バイトのグループに対応する分岐予測を選択するための方法であって、
    分岐予測ストレージに複数の分岐セレクタをストアするステップを備え、前記複数の分岐セレクタのうちの少なくとも1つは前記複数の命令のうちの第1のものに対応し、前記複数の命令のうちの前記第1のものがフェッチされた場合、前記複数の分岐セレクタのうちの前記1つは、選択されることとなる特定の分岐予測を特定し、複数の分岐セレクタの各々は、隣接した命令バイトのグループ内の命令の位置と、複数の分岐セレクタ内のその分岐セレクタの位置とに応じて、複数の命令の少なくとも1つに対応し、前記方法はさらに、
    隣接した命令バイトの前記グループをフェッチし、同時に、隣接した命令バイトの前記グループを特定するフェッチアドレスを用いて前記複数の分岐セレクタをフェッチするステップと、
    前記フェッチアドレスに応答して前記複数の分岐セレクタのうちの1つを選択するステップと、
    前記複数の前記分岐セレクタのうちの前記1つで特定される、前記複数の分岐予測の前記特定の分岐予測を選択するステップとを備える、方法。
  2. 前記特定の分岐予測は、隣接した命令バイトの前記グループに対して前記分岐予測ストレージにストアされた複数の分岐予測のうちの1つを含む、請求項1に記載の方法。
  3. 分岐予測誤りに応答して前記複数の分岐予測を更新するステップをさらに含む、請求項2に記載の方法。
  4. 前記分岐予測誤りがまだ検出されていない分岐命令の結果である場合、前記更新するステップは、前記分岐予測ストレージにストアされた前記複数の分岐予測のうちの1つを、隣接した命令バイトの前記グループ内の前記まだ検出されていない分岐命令に割当てるステップを含む、請求項3に記載の方法。
  5. 前記まだ検出されていない分岐命令を反映し、かつ前記複数の分岐予測のうちの前記1つによって既に予測されている分岐命令に対応する分岐セレクタを削除するよう、前記複数の分岐セレクタを更新するステップをさらに含む、請求項4に記載の方法。
  6. 前記特定の分岐予測は、既にフェッチされているサブルーチン呼出命令に対応するリターンスタックアドレスを含む、請求項1に記載の方法。
  7. 前記特定の分岐予測は、主メモリ内の隣接した命令バイトの前記グループの直後にある隣接した命令バイトの第2のグループに対応する逐次アドレスを含む、請求項1に記載の方法。
  8. 前記複数の分岐セレクタのうちの1つを選択する前記ステップは、前記フェッチアドレスの複数の最下位ビットをデコーディングするステップを含む、請求項1に記載の方法。
  9. 前記フェッチアドレスの前記複数の最下位ビットは、隣接した命令バイトの前記グループへのオフセットを含み、それにより、隣接した命令バイトの前記グループのうちのいずれか1つが前記オフセットの異なる値によって特定可能である、請求項8に記載の方法。
  10. 前記複数の命令は、可変バイト長の命令の組に属する、請求項1に記載の方法。
  11. 前記複数の分岐セレクタの各々は、隣接した命令バイトの前記グループのうちの異なるものに対応する、請求項1に記載の方法。
  12. 分岐予測装置であって、
    命令キャッシュからフェッチされている隣接した命令バイトのグループに対応するフェッチアドレスを受けるよう結合された分岐予測ストレージを備え、前記分岐予測ストレージは、複数の分岐セレクタをストアするよう構成され、前記複数の分岐セレクタのうちの少なくとも1つは、隣接した命令バイトの前記グループ内の複数の命令のうちの第1の命令に対応し、前記第1の命令がフェッチされた場合、前記複数の分岐セレクタのうちの前記1つは、選択されることとなる特定の分岐予測を特定し、複数の分岐セレクタの各々は、隣接した命令バイトのグループ内の命令の位置と、複数の分岐セレクタ内のその分岐セレクタの位置とに応じて、複数の命令の少なくとも1つに対応し、前記装置はさらに、
    前記複数の分岐セレクタを受けるよう前記分岐予測ストレージに結合された選択機構を備え、前記選択機構は、隣接した命令バイトの前記グループをフェッチするために用いられるフェッチアドレスの複数の最下位ビットに応答して前記複数の分岐セレクタのうちの特定のものを選択するよう構成される、分岐予測装置。
  13. 隣接した命令バイトの前記グループは、隣接した命令バイトの前記グループをストアする命令キャッシュのキャッシュラインの一部分を含む、請求項12に記載の分岐予測装置。
  14. 前記キャッシュラインの前記部分は、前記キャッシュラインの半分を含む、請求項13に記載の分岐予測装置。
  15. 前記特定の分岐予測は、隣接した命令バイトの前記グループに対して前記分岐予測ストレージにストアされた複数の分岐予測のうちの1つを含む、請求項12に記載の分岐予測装置。
  16. 前記複数の分岐セレクタのうちの少なくとも1つは、前記特定の分岐予測として逐次アドレスを特定し、前記逐次アドレスは、主メモリ内の隣接した命令バイトの前記グループの直後にある隣接した命令バイトの第2のグループに対応する、請求項15に記載の分岐予測装置。
  17. 前記複数の分岐セレクタのうちの前記1つは、隣接した命令バイトの前記グループ内にある対応する分岐命令がサブルーチンリターン命令である場合に、前記特定の分岐予測としてリターンスタックアドレスを特定する、請求項16に記載の分岐予測装置。
  18. 前記複数の分岐セレクタのうちの前記特定のものを受けるよう結合され、かつ前記複数の分岐予測、前記逐次アドレスおよび前記リターンスタックアドレスを受けるよう結合された第2の選択機構をさらに含み、前記第2の選択機構は、前記複数の分岐セレクタのうちの前記特定のものに応答して前記分岐予測装置の出力分岐予測を選択するよう構成される、請求項17に記載の分岐予測装置。
  19. 前記第2の選択機構は、前記複数の分岐予測のうちの1つと前記複数の分岐予測のうちの別のものとの間での選択を行なうために前記分岐セレクタのうちの前記1つの第1のビットを用いるよう構成され、前記逐次アドレスと前記リターンスタックアドレスとの間での選択をさらに行なう、請求項18に記載の分岐予測装置。
  20. 前記第2の選択機構は、前記第1のビットを用いて選択されたアドレス間での選択を行なうために前記分岐セレクタのうちの前記1つの第2のビットを用いるよう構成される、請求項19に記載の分岐予測装置。
  21. マイクロプロセッサであって、
    命令バイトの複数のキャッシュラインをストアし、かつフェッチアドレスを受けるのと同時に命令バイトのグループを前記マイクロプロセッサの命令処理パイプラインに与えるよう構成された命令キャッシュと、
    前記命令キャッシュに結合され、かつ前記命令キャッシュと同時に前記フェッチアドレスを受けるよう結合された分岐予測ユニットとを含み、前記分岐予測ユニットは、命令バイトの前記グループに対して複数の分岐セレクタをストアするよう構成され、かつ前記フェッチアドレスに応答して前記複数の分岐セレクタのうちの1つを選択するよう構成され、前記複数の分岐セレクタのうちの前記1つは、前記命令キャッシュによって後のフェッチアドレスとして用いられる分岐予測を特定し、複数の分岐セレクタの各々は、隣接した命令バイトのグループ内の命令の位置と、複数の分岐セレクタ内のその分岐セレクタの位置とに応じて、複数の命令の少なくとも1つに対応する、マイクロプロセッサ。
  22. 前記複数の分岐セレクタのうちの前記1つは、前記フェッチアドレスの複数の最下位ビットをデコーディングすることによって選択される、請求項21に記載のマイクロプロセッサ。
JP52380898A 1996-11-19 1997-11-19 分岐予測を選択する分岐セレクタを採用する分岐予測機構 Expired - Fee Related JP3803723B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/752,691 1996-11-19
US08/752,691 US5995749A (en) 1996-11-19 1996-11-19 Branch prediction mechanism employing branch selectors to select a branch prediction
PCT/US1997/021048 WO1998022873A1 (en) 1996-11-19 1997-11-19 Branch prediction mechanism employing branch selectors to select a branch prediction

Publications (3)

Publication Number Publication Date
JP2001503899A JP2001503899A (ja) 2001-03-21
JP2001503899A5 JP2001503899A5 (ja) 2005-07-14
JP3803723B2 true JP3803723B2 (ja) 2006-08-02

Family

ID=25027374

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52380898A Expired - Fee Related JP3803723B2 (ja) 1996-11-19 1997-11-19 分岐予測を選択する分岐セレクタを採用する分岐予測機構

Country Status (5)

Country Link
US (3) US5995749A (ja)
EP (1) EP1008036B1 (ja)
JP (1) JP3803723B2 (ja)
DE (1) DE69710503T2 (ja)
WO (1) WO1998022873A1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6253316B1 (en) 1996-11-19 2001-06-26 Advanced Micro Devices, Inc. Three state branch history using one bit in a branch prediction mechanism
US5995749A (en) 1996-11-19 1999-11-30 Advanced Micro Devices, Inc. Branch prediction mechanism employing branch selectors to select a branch prediction
US5978906A (en) * 1996-11-19 1999-11-02 Advanced Micro Devices, Inc. Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions
US6170050B1 (en) 1998-04-22 2001-01-02 Sun Microsystems, Inc. Length decoder for variable length data
US6553488B2 (en) * 1998-09-08 2003-04-22 Intel Corporation Method and apparatus for branch prediction using first and second level branch prediction tables
US6115810A (en) * 1998-09-16 2000-09-05 Sun Microsystems, Inc. Bi-level branch target prediction scheme with mux select prediction
US6279106B1 (en) * 1998-09-21 2001-08-21 Advanced Micro Devices, Inc. Method for reducing branch target storage by calculating direct branch targets on the fly
US6502188B1 (en) 1999-11-16 2002-12-31 Advanced Micro Devices, Inc. Dynamic classification of conditional branches in global history branch prediction
JP2001273138A (ja) * 2000-03-24 2001-10-05 Fujitsu Ltd プログラム変換装置および方法
JP2001273137A (ja) * 2000-03-28 2001-10-05 Toshiba Corp マイクロプロセッサ
DE60133855D1 (de) * 2000-06-20 2008-06-19 Nxp Bv Datenverarbeitungsgerät
US8285976B2 (en) * 2000-12-28 2012-10-09 Micron Technology, Inc. Method and apparatus for predicting branches using a meta predictor
JP4027620B2 (ja) * 2001-06-20 2007-12-26 富士通株式会社 分岐予測装置、プロセッサ、及び分岐予測方法
US7024545B1 (en) 2001-07-24 2006-04-04 Advanced Micro Devices, Inc. Hybrid branch prediction device with two levels of branch prediction cache
KR100721454B1 (ko) * 2005-11-10 2007-05-23 서울옵토디바이스주식회사 광 결정 구조체를 갖는 교류용 발광소자 및 그것을제조하는 방법
US8904156B2 (en) * 2009-10-14 2014-12-02 Oracle America, Inc. Perceptron-based branch prediction mechanism for predicting conditional branch instructions on a multithreaded processor
US9213551B2 (en) 2011-03-11 2015-12-15 Oracle International Corporation Return address prediction in multithreaded processors
US10338928B2 (en) 2011-05-20 2019-07-02 Oracle International Corporation Utilizing a stack head register with a call return stack for each instruction fetch
US9304932B2 (en) * 2012-12-20 2016-04-05 Qualcomm Incorporated Instruction cache having a multi-bit way prediction mask
US11106466B2 (en) 2018-06-18 2021-08-31 International Business Machines Corporation Decoupling of conditional branches
US10990405B2 (en) 2019-02-19 2021-04-27 International Business Machines Corporation Call/return stack branch target predictor to multiple next sequential instruction addresses
US11138014B2 (en) * 2020-01-29 2021-10-05 Arm Limited Branch predictor
CN113254083B (zh) * 2021-06-28 2021-11-02 北京智芯微电子科技有限公司 指令处理方法、指令处理系统及处理器、芯片
DE102022125248B4 (de) 2022-09-30 2024-05-02 Oliver Bartels Prozessor und Computer mit mindestens einem Prozessor mit vorzeitiger Programmvariantenauswahl bei noch unbekannten Vergleichsergebnissen

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT354159B (de) * 1975-02-10 1979-12-27 Siemens Ag Assoziativspeicher mit getrennt assoziierbaren bereichen
US4179737A (en) * 1977-12-23 1979-12-18 Burroughs Corporation Means and methods for providing greater speed and flexibility of microinstruction sequencing
US4453212A (en) * 1981-07-13 1984-06-05 Burroughs Corporation Extended address generating apparatus and method
US4928223A (en) * 1982-10-06 1990-05-22 Fairchild Semiconductor Corporation Floating point microprocessor with directable two level microinstructions
US4807115A (en) * 1983-10-07 1989-02-21 Cornell Research Foundation, Inc. Instruction issuing mechanism for processors with multiple functional units
US4679141A (en) * 1985-04-29 1987-07-07 International Business Machines Corporation Pageable branch history table
DE3751503T2 (de) * 1986-03-26 1996-05-09 Hitachi Ltd Datenprozessor in Pipelinestruktur mit der Fähigkeit mehrere Befehle parallel zu dekodieren und auszuführen.
AU587714B2 (en) * 1986-08-27 1989-08-24 Amdahl Corporation Cache storage queue
US4860197A (en) * 1987-07-31 1989-08-22 Prime Computer, Inc. Branch cache system with instruction boundary determination independent of parcel boundary
US5067069A (en) * 1989-02-03 1991-11-19 Digital Equipment Corporation Control of multiple functional units with parallel operation in a microcoded execution unit
US5142634A (en) * 1989-02-03 1992-08-25 Digital Equipment Corporation Branch prediction
US5226126A (en) * 1989-02-24 1993-07-06 Nexgen Microsystems Processor having plurality of functional units for orderly retiring outstanding operations based upon its associated tags
JPH02287626A (ja) * 1989-04-28 1990-11-27 Toshiba Corp パイプライン方式の分岐命令制御装置
US5129067A (en) * 1989-06-06 1992-07-07 Advanced Micro Devices, Inc. Multiple instruction decoder for minimizing register port requirements
US5136697A (en) * 1989-06-06 1992-08-04 Advanced Micro Devices, Inc. System for reducing delay for execution subsequent to correctly predicted branch instruction using fetch information stored with each block of instructions in cache
US5226130A (en) * 1990-02-26 1993-07-06 Nexgen Microsystems Method and apparatus for store-into-instruction-stream detection and maintaining branch prediction cache consistency
US5053631A (en) * 1990-04-02 1991-10-01 Advanced Micro Devices, Inc. Pipelined floating point processing unit
US5058048A (en) * 1990-04-02 1991-10-15 Advanced Micro Devices, Inc. Normalizing pipelined floating point processing unit
DE69130588T2 (de) * 1990-05-29 1999-05-27 Nat Semiconductor Corp Cache-Speicher von partiell decodierten Befehlen und Verfahren hierfür
GB2263985B (en) * 1992-02-06 1995-06-14 Intel Corp Two stage window multiplexors for deriving variable length instructions from a stream of instructions
SG45269A1 (en) * 1992-02-06 1998-01-16 Intel Corp End bit markers for instruction decode
WO1993017385A1 (en) * 1992-02-27 1993-09-02 Intel Corporation Dynamic flow instruction cache memory
EP0586057B1 (en) * 1992-08-31 2000-03-01 Sun Microsystems, Inc. Rapid instruction (pre)fetching and dispatching using prior (pre)fetch predictive annotations
US5367703A (en) * 1993-01-08 1994-11-22 International Business Machines Corporation Method and system for enhanced branch history prediction accuracy in a superscalar processor system
JP2744882B2 (ja) * 1993-01-15 1998-04-28 インターナショナル・ビジネス・マシーンズ・コーポレイション キューにより命令の実行を制御する装置及び方法
US5454117A (en) * 1993-08-25 1995-09-26 Nexgen, Inc. Configurable branch prediction for a processor performing speculative execution
IE80854B1 (en) * 1993-08-26 1999-04-07 Intel Corp Processor ordering consistency for a processor performing out-of-order instruction execution
JP3639927B2 (ja) * 1993-10-04 2005-04-20 株式会社ルネサステクノロジ データ処理装置
US5574871A (en) * 1994-01-04 1996-11-12 Intel Corporation Method and apparatus for implementing a set-associative branch target buffer
US5592634A (en) * 1994-05-16 1997-01-07 Motorola Inc. Zero-cycle multi-state branch cache prediction data processing system and method thereof
US5758142A (en) * 1994-05-31 1998-05-26 Digital Equipment Corporation Trainable apparatus for predicting instruction outcomes in pipelined processors
US5692168A (en) 1994-10-18 1997-11-25 Cyrix Corporation Prefetch buffer using flow control bit to identify changes of flow within the code stream
US5732253A (en) 1994-10-18 1998-03-24 Cyrix Corporation Branch processing unit with target cache storing history for predicted taken branches and history cache storing history for predicted not-taken branches
US5649137A (en) * 1994-10-20 1997-07-15 Advanced Micro Devices, Inc. Method and apparatus for store-into-instruction-stream detection and maintaining branch prediction cache consistency
JP3494736B2 (ja) * 1995-02-27 2004-02-09 株式会社ルネサステクノロジ 分岐先バッファを用いた分岐予測システム
US5764946A (en) * 1995-04-12 1998-06-09 Advanced Micro Devices Superscalar microprocessor employing a way prediction unit to predict the way of an instruction fetch address and to concurrently provide a branch prediction address corresponding to the fetch address
US5822574A (en) 1995-04-12 1998-10-13 Advanced Micro Devices, Inc. Functional unit with a pointer for mispredicted resolution, and a superscalar microprocessor employing the same
US5649178A (en) * 1995-06-07 1997-07-15 International Business Machines, Corporation Apparatus and method for storing and initializing branch prediction with selective information transfer
US5881278A (en) 1995-10-30 1999-03-09 Advanced Micro Devices, Inc. Return address prediction system which adjusts the contents of return stack storage to enable continued prediction after a mispredicted branch
US5864707A (en) 1995-12-11 1999-01-26 Advanced Micro Devices, Inc. Superscalar microprocessor configured to predict return addresses from a return stack storage
US5794946A (en) 1996-05-21 1998-08-18 Lamons Metal Gasket Co. Spiral wound gasket
US5822575A (en) 1996-09-12 1998-10-13 Advanced Micro Devices, Inc. Branch prediction storage for storing branch prediction information such that a corresponding tag may be routed with the branch instruction
US5995749A (en) 1996-11-19 1999-11-30 Advanced Micro Devices, Inc. Branch prediction mechanism employing branch selectors to select a branch prediction
US5978906A (en) 1996-11-19 1999-11-02 Advanced Micro Devices, Inc. Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions
US5954816A (en) 1996-11-19 1999-09-21 Advanced Micro Devices, Inc. Branch selector prediction

Also Published As

Publication number Publication date
EP1008036A1 (en) 2000-06-14
DE69710503D1 (de) 2002-03-21
US5961638A (en) 1999-10-05
DE69710503T2 (de) 2002-10-02
WO1998022873A1 (en) 1998-05-28
US6247123B1 (en) 2001-06-12
EP1008036B1 (en) 2002-02-13
US5995749A (en) 1999-11-30
JP2001503899A (ja) 2001-03-21

Similar Documents

Publication Publication Date Title
JP3794917B2 (ja) 分岐予測を迅速に特定するための命令キャッシュ内のバイト範囲に関連する分岐セレクタ
JP3803723B2 (ja) 分岐予測を選択する分岐セレクタを採用する分岐予測機構
US5794028A (en) Shared branch prediction structure
US5748978A (en) Byte queue divided into multiple subqueues for optimizing instruction selection logic
US6367006B1 (en) Predecode buffer including buffer pointer indicating another buffer for predecoding
US5933626A (en) Apparatus and method for tracing microprocessor instructions
US5822575A (en) Branch prediction storage for storing branch prediction information such that a corresponding tag may be routed with the branch instruction
US6101577A (en) Pipelined instruction cache and branch prediction mechanism therefor
US6292884B1 (en) Reorder buffer employing last in line indication
US6161172A (en) Method for concurrently dispatching microcode and directly-decoded instructions in a microprocessor
US5850532A (en) Invalid instruction scan unit for detecting invalid predecode data corresponding to instructions being fetched
US5765016A (en) Reorder buffer configured to store both speculative and committed register states
JP2002508865A (ja) 高周波数での命令ディスパッチのための二重命令キューを用いた命令アライメントユニット
US5954816A (en) Branch selector prediction
US6460132B1 (en) Massively parallel instruction predecoding
US6202142B1 (en) Microcode scan unit for scanning microcode instructions using predecode data
JP3794918B2 (ja) 復帰選択ビットを用いて分岐予測のタイプを分類する分岐予測
US6212621B1 (en) Method and system using tagged instructions to allow out-of-program-order instruction decoding
US6016545A (en) Reduced size storage apparatus for storing cache-line-related data in a high frequency microprocessor
US5987235A (en) Method and apparatus for predecoding variable byte length instructions for fast scanning of instructions
US5872943A (en) Apparatus for aligning instructions using predecoded shift amounts
US5822560A (en) Apparatus for efficient instruction execution via variable issue and variable control vectors per issue
US5852727A (en) Instruction scanning unit for locating instructions via parallel scanning of start and end byte information
US5890006A (en) Apparatus for extracting instruction specific bytes from an instruction
US5974542A (en) Branch prediction unit which approximates a larger number of branch predictions using a smaller number of branch predictions and an alternate target indication

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041115

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051025

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060307

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060405

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100519

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100519

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110519

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120519

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120519

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120519

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees