US6553488B2 - Method and apparatus for branch prediction using first and second level branch prediction tables - Google Patents

Method and apparatus for branch prediction using first and second level branch prediction tables Download PDF

Info

Publication number
US6553488B2
US6553488B2 US09/149,885 US14988598A US6553488B2 US 6553488 B2 US6553488 B2 US 6553488B2 US 14988598 A US14988598 A US 14988598A US 6553488 B2 US6553488 B2 US 6553488B2
Authority
US
United States
Prior art keywords
branch
bpt
processor
target address
taken
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/149,885
Other versions
US20010047467A1 (en
Inventor
Tse-Yu Yeh
Harshvardhan P. Sharangpani
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHARANGPANI, HARSHVARDHAN P., YEH, TSE-YU
Priority to US09/149,885 priority Critical patent/US6553488B2/en
Priority to TW088113818A priority patent/TW455810B/en
Priority to PCT/US1999/019892 priority patent/WO2000014628A1/en
Priority to AU56992/99A priority patent/AU5699299A/en
Priority to CNB998130613A priority patent/CN1302376C/en
Priority to GB0105285A priority patent/GB2357871B/en
Priority to KR10-2001-7002916A priority patent/KR100411529B1/en
Priority to DE19983517T priority patent/DE19983517B4/en
Publication of US20010047467A1 publication Critical patent/US20010047467A1/en
Publication of US6553488B2 publication Critical patent/US6553488B2/en
Application granted granted Critical
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • G06F9/3806Instruction prefetching for branches, e.g. hedging, branch folding using address prediction, e.g. return stack, branch history buffer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • G06F9/3844Speculative instruction execution using dynamic branch prediction, e.g. using branch history tables

Definitions

  • the present invention relates to computer systems and more particularly to a processor that performs branch prediction using first level and second level branch prediction tables.
  • Advanced processors employ pipelining techniques to execute instructions at very high speeds.
  • the overall machine is organized as multiple pipelines consisting of several cascaded stages of hardware. Instruction processing is divided into a sequence of operations, and each operation is performed by hardware in a corresponding pipeline stage (“pipe stage”). Independent operations from several instructions may be processed simultaneously by different pipe stages, increasing the instruction throughput of the processor.
  • a pipelined processor includes multiple execution resources in each pipe stage, the throughput of the processor can exceed one instruction per clock cycle. To make full use of this instruction execution capability, the execution resources of the processor must be provided with sufficient instructions from the correct execution path.
  • an instruction pointer directs the processor from one instruction of the program code to the next instruction.
  • An instruction might direct this IP to the next instruction in the normal program code sequence, or it may direct the IP to skip a portion of the program code and resume execution with a non-sequential instruction.
  • the instruction that causes the processor to either continue executing the next instruction in sequence or “branch” to a different, non-sequential instruction is called a branch instruction.
  • a word processor when a word processor does spell-checking, software instructions are executed to verify that each word is spelled correctly. As long as the words are spelled correctly, the instructions execute sequentially. Once an incorrectly spelled word is found, however, a branch instruction directs the IP to branch to a subroutine that notifies the user about the incorrectly spelled word. This subroutine is then executed by the processor.
  • Branch instructions pose major challenges to keeping the pipeline filled with instructions from the correct execution path.
  • branch execution typically occurs at the back end of the pipeline, while instructions are fetched at the front end of the pipeline. If instruction fetching relies on branch execution to determine the correct execution path, the processor pipeline may be filled with instructions from the wrong execution path before the branch condition is resolved. These instructions would then have to be flushed from the pipeline, leaving resources in the affected pipe stages idle while instructions from the correct execution path are fetched.
  • the idle pipe stages are referred to as pipeline bubbles, since they provide no useful output until they are filled by instructions from the correct execution path.
  • Modern processors incorporate branch prediction modules at the front ends of their pipelines to reduce the number of pipeline bubbles.
  • the branch prediction module predicts whether the branch instruction will be taken when it is executed at the back end of the pipeline. If the branch is predicted taken (non-sequential instruction execution), the branch prediction module provides a branch target address to the instruction fetch module, redirecting the IP by setting the IP address equal to the address containing the first instruction of the branched program code. The address containing this first instruction of the branched code is called the “target address.”
  • the fetch module which is also located at the front end of the pipeline, begins fetching instructions from the target address.
  • a branch predictor predicts that a branch will not be taken (sequential instruction execution)
  • the branch predictor increments the IP address so that the IP points to the next instruction in the normal program code sequence.
  • the processor can validate whether the prediction made in the front end was correct. If incorrect, the pipeline is flushed. The higher the branch prediction accuracy, the fewer the number of pipeline bubbles and flushes.
  • branch prediction modules employ branch target buffers (BTBs) to store prediction entries containing information such as whether a branch will be taken and the likely target address when the branch is taken.
  • BTBs branch target buffers
  • These branch prediction entries are associated with the IP addresses that contain the branch instructions. For each IP address that is tracked in a branch prediction table, its associated branch prediction entry includes the IP address along with historical information that is helpful to predict whether or not the branch will be taken in the future.
  • BTB branch target buffers
  • determining whether the branch is taken, and providing a target address to the fetch module on a taken prediction causes a delay in resteering the processor to the target address. This delay allows instructions from the wrong execution path to enter and propagate down the pipeline.
  • a branch predictor is described.
  • a first branch prediction table is coupled to an IP generator to store branch prediction entries.
  • a second branch prediction table is also coupled to the IP generator to store a greater number of branch prediction entries.
  • the two level branch prediction structure may be found to combine the benefits of high speed (low latency) branch prediction and resteering for the highest locality of branches, with overall high accuracy branch detection and prediction for the overall working set at large, albeit at reduced speed. This may be accomplished without significant die size growth.
  • FIG. 2 shows a branch prediction pipeline in accordance with an embodiment of the present invention
  • FIG. 3 shows the branch predictors of FIG. 2
  • FIG. 1 is a flow chart showing a method of the present invention.
  • a branch predictor for a processor having first level and second level branch prediction tables is described.
  • An initial instruction pointer (IP) address is generated by an IP generator.
  • the first level (L1) branch prediction table (BPT) and the second level (L2) BPT are searched for branch prediction entries associated with the initial IP address.
  • the L1 BPT is associative (i.e. fully associative or multi-way set associative) and the L2 BPT is direct-mapped.
  • branch prediction entries are tagged, and these tags, along with branch prediction information, are stored in the L1 BPT.
  • Branch prediction entries in the direct-mapped L2 BPT are untagged, so only branch prediction information is stored in the L2 BPT.
  • the L2 BPT can have more branch prediction entries in the same amount of space as the L1 BPT. Therefore, although the L1 BPT may be more accurate and faster than the L2 BPT, the L2 BPT acts as a “back-up” to the L1 BPT, allowing additional branch prediction information to be stored for a relatively small increase in processor size.
  • L1 BPT and the L2 BPT By including both the L1 BPT and the L2 BPT in a processor, branch prediction accuracy and overall speed may be simultaneously improved without a significant increase in hardware cost. Additional, modest increases in the size of the L2 BPT can further enhance the overall storage capacity to be able to hold the bulk of the branches in programs with large working sets.
  • a branch prediction entry associated with the initial IP address is found in the L1 BPT (called a “hit” in the L1 BPT)
  • the branch prediction information associated with the entry is used to predict a branch as being taken or not taken (i.e. to predict the subsequent IP address).
  • a branch prediction entry associated with the initial IP address is not found in the L1 BPT (called a “miss” in the L1 BPT)
  • the instruction associated with the IP address is decoded to determine if it is a branch instruction. If it is a branch instruction, then the branch prediction information from the L2 BPT is used to predict a branch as being taken or not taken.
  • the software provides hints to the processor to aid in branch prediction.
  • these hints are used to determine whether to use the branch prediction information from the L2 BPT or branch prediction information encoded in the software itself to predict a branch as being taken or not taken.
  • a two level branch predictor design such as this may be found particularly useful for processors that are tasked with executing large applications, such as those that run on servers and workstations.
  • a more detailed description of embodiments of the present invention, including various configurations and implementations, is provided below.
  • FIG. 1 is a flow chart showing a method of the present invention.
  • L1 and L2 branch prediction tables are searched for branch prediction entries associated with an initial IP address.
  • the L1 BPT is a tagged, associative table and the L2 BPT is an untagged direct-mapped table.
  • the L1 BPT stores fewer branch prediction entries than the L2 BPT, but the L1 BPT is faster and provides for better branch prediction accuracy than the L2 BPT. Because the L1 BPT stores fewer branch prediction entries, the L1 BPT stores only those branch prediction entries that are most recently used and, therefore, most likely to be used again in the near future.
  • step 210 of FIG. 1 it is determined whether or not there is a hit in the L1 BPT associated with the initial IP address. If there is a hit in the L1 BPT, it is next determined at step 215 whether or not the branch prediction information in the L1 BPT associated with the initial IP address indicates that the branch should be predicted as taken. If the branch is predicted to be taken, then at step 220 the subsequent IP address is resteered to an address from the TAC or RSB. If, instead, the branch is predicted to be not taken, then at step 225 no resteer signal is sent.
  • a decoder decodes at least a portion of the instruction at step 230 of FIG. 1 to determine if the instruction associated with the initial IP address is a branch instruction. If the instruction is determined to be a branch instruction, a target address is also decoded by the decoder at step 230 as well as whether or not the instruction is a return. For an embodiment in which branch prediction hints are provided to the branch predictor by branch instructions, the decoder also decodes this hint information at step 230 .
  • step 235 of FIG. 1 If it is determined at step 235 of FIG. 1 that the instruction is not a branch instruction, then at step 240 no resteer signal is sent. If the instruction is determined to be a branch instruction, then it is next determined at step 250 whether or not a hint associated with the branch instruction is static. Note that for an alternate embodiment of the present invention in which hints are not implemented, steps 250 and 260 are eliminated, and if the instruction is determined to be a branch, then the process flow skips from step 235 to step 255 .
  • the hint associated with the branch instruction is not static (i.e. it is dynamic)
  • step 260 of FIG. 1 it is next determined at step 260 of FIG. 1 if the hint indicates whether the branch should be predicted as taken or not taken. If the branch is hinted to be taken, then the process flow proceeds to step 265 as described above. If, instead, the branch is hinted to be not taken, then the process flow proceeds to step 240 as described above.
  • branch predictions made at steps 220 or 225 of FIG. 1 are completed earlier than branch predictions made at steps 240 or 265 .
  • FIG. 2 shows a branch prediction pipeline in accordance with an embodiment of the present invention.
  • the output of IP multiplexer 10 provides an initial IP address to incrementer 20 , first level (L1) branch predictor 21 , and second level (L2) branch predictor 22 .
  • Incrementer 20 appropriately increments the initial IP address to create a subsequent IP address, and provides the subsequent IP address back to the input of IP multiplexer 10 during a first pipeline stage.
  • IP incrementor 20 takes an initial IP address and increments it by a predetermined amount.
  • the predetermined amount that is added to the initial IP address is the difference between 2 consecutive memory addresses that store consecutive instructions, or groups of instructions, of the program code being executed.
  • L1 branch predictor 21 may generate a resteer signal and provide this signal to an input to IP Control 11 . This signal indicates whether or not the subsequent IP address is sequential to the initial IP address. If L1 branch predictor 21 sends a resteer signal to IP Control 11 , this indicates that the subsequent IP is non-sequential, and L1 branch predictor 21 then provides a subsequent IP address to the input of IP multiplexer 10 during a second pipeline stage.
  • L2 branch predictor 22 may also generates a resteer signal and provide this signal to another input to IP Control 11 . This signal similarly indicates whether or not the subsequent IP address is sequential to the initial IP address. If L2 branch predictor 22 sends a resteer signal to IP Control 11 , this indicates that the subsequent IP is non-sequential, and L2 branch predictor 22 then provides a subsequent IP address to the input of IP multiplexer 10 during a third pipeline stage.
  • IP Control 11 determines, based on the signals from L2 branch predictor 22 and L1 branch predictor 21 , which of the three inputs to IP multiplexer 10 may be passed along to the output of the multiplexer. If neither L1 branch predictor 21 nor L2 branch predictor 22 sends a resteer signal, the incremented IP address from incrementer 20 is selected as the subsequent IP address output from multiplexer 10 . If L1 branch predictor 21 sends a resteer signal, the IP address from the output of L1 branch predictor 21 is selected as the subsequent IP address output from multiplexer 10 . If L2 branch predictor 21 sends a resteer signal, the IP address from the output of L2 branch predictor 21 is selected as the subsequent IP address output from multiplexer 10 .
  • initial IP address refers to any IP address that is used as a reference point from which to predict a subsequent IP address. “Initial IP address” is not intended to be limited to the IP address associated with the first line of program code of a particular software application. An initial IP address may be any IP address associated with any line of program code of an application.
  • FIG. 3 shows L1 branch predictor 21 and L2 branch predictor 22 of FIG. 2 in accordance with an embodiment of the present invention.
  • the branch predictor of FIG. 3 may be entirely contained on the same semiconductor substrate as the processor for which the branch predictor performs branch prediction.
  • one or more functional blocks of the branch predictor are located on a separate semiconductor substrate.
  • L2 BPT 102 may be located on a separate semiconductor substrate.
  • L1 BPT 100 is an associative table that includes branch prediction entries referenced by address tags. Each address tag is associated with an IP address that contains a branch instruction. Each branch prediction entry in L1 BPT 100 includes, in addition to its associated address tag, branch prediction information. This branch prediction information is used by the branch predictor to predict whether or not the branch will be taken.
  • the specific type of branch prediction information stored in L1 BPT 100 is commensurate with any of a variety of types of branch prediction algorithms that may be implemented by a branch predictor, many of which are well known to those skilled in the art.
  • a local history prediction algorithm is implemented in conjunction with L1 BPT 100 .
  • a global history branch prediction algorithm or a counter predictor e.g. a 2 bit up-down counter, also known a bimodal branch predictor
  • L1 BPT 100 is divided into two or more separate branch prediction tables, each table implementing a different branch prediction algorithm. A selector circuit then determines which algorithm would provide the most accurate prediction for a particular instance and selects the appropriate table.
  • L1 BPT 100 of FIG. 3 is multi-way set associative.
  • L1 BPT 100 is fully associative.
  • the table is kept relatively small, having a storage capacity of approximately 512 to 2K branch prediction entries.
  • the initial IP address to provided to the input to L1 branch predictor 21 of FIG. 3 is used to search L1 BPT 100 and the target address cache (TAC) 101 . If the address is found in the L1 BPT, this is a hit, and a hit signal is sent along hit/miss signal line 121 to an input of AND gate 144 . If the address is not found in L1 BPT 100 , this is a miss, and a miss signal is sent along hit/miss signal line 121 . If there is a hit in L1 BPT 100 , and the associated entry in L1 BPT 100 indicates that the branch is taken, this is indicated by a taken signal sent along taken/not taken signal line 122 to the other input of AND gate 144 .
  • TAC target address cache
  • L1 BPT 100 If there is a hit in L1 BPT 100 , and the associated entry in L1 BPT 100 indicates that the branch is not taken, this is indicated by a not taken signal sent along taken/not taken signal line 122 . If there is a hit that is taken in L1 BPT 100 , and the L1 BPT further indicates that the branch is a return, this is indicated by a return signal set along return/not return signal line 143 to the control input of multiplexer 106 . If there is a hit that is taken in L1 BPT 100 , and the L1 BPT indicates that the branch is not a return, this is indicated by a not return signal set along return/not return signal line 143 .
  • signal line 143 indicates that the branch is a return instruction
  • the return address from return stack buffer (RSB) 142 which is coupled to an input to multiplexer 106
  • the target address from TAC 101 is propagated to the output of multiplexer 106 and, consequently, to IP multiplexer 10 .
  • the target address found in TAC 101 along with a hit miss signal, is also provided to an input to multiplexer 109 of L2 branch predictor 22 , as described below.
  • L2 BPT 102 of FIG. 3 is a direct-mapped table that includes branch prediction entries containing branch prediction information without address tags. This branch prediction information is used by the branch predictor to predict whether or not a branch will be taken.
  • the specific type of branch prediction information stored in L2 BPT 102 is commensurate with any of a variety of types of branch prediction algorithms that may be implemented by a branch predictor, many of which are well known to those skilled in the art. Some of these branch prediction algorithms are described above in conjunction with L1 BPT 100 .
  • L2 BPT 102 may implement any of these algorithms, or any combination of these algorithms, regardless of the type of algorithm implemented by L1 BPT 100 .
  • L2 BPT 102 implements a two bit counter algorithm as its method of branch prediction.
  • a two bit counter algorithm may not be as accurate as, for example, the local or global branch prediction algorithm implemented in L1 BPT 100
  • a branch prediction table that uses a two bit counter algorithm requires only two bits of storage per branch prediction entry.
  • Branch prediction tables that implement either local or global branch prediction algorithms can require well over two bits of storage per branch prediction entry.
  • L2 BPT 102 can store anywhere from four to eight or more times as many branch prediction entries as L1 BPT 100 in approximately the same amount of space. Thus, for one embodiment of the present invention, L2 BPT 102 has a relatively large storage capacity of approximately 2K to 8K or more branch prediction entries. For one embodiment in which the L2 BPT is untagged, a branch that does not have an associated static hint (described in more detail below) may update the L2 BPT prediction upon retirement.
  • the branch prediction information stored in the branch prediction entry associated with the initial IP address is read from L2 BPT 102 of FIG. 3, and a taken or not taken branch prediction is calculated using this information.
  • a taken or not taken signal is sent out along t/n line 126 to an input of multiplexer 107 .
  • L2 BPT 102 is direct-mapped
  • One way to avoid this problem is to store address tags in L2 BPT 102 , and compare those tags to the tags of incoming IP addresses. The cost benefit of reduced table size by not storing tags in L2 BPT 102 , however, may be found to be more valuable than the increased branch prediction accuracy gained by storing tags.
  • Input instruction buffer 103 is searched using the initial IP address from IP multiplexer 10 of FIG. 3, and the associated instruction is provided to instruction decoder 104 .
  • decoder 104 partially or fully decodes the instruction to determine whether or not the instruction is a branch instruction, and, if so, the decoder additionally determines the target address and whether or not the instruction is a return.
  • an appropriate signal is sent to the input of AND gate 108 via b/nb line 129 .
  • Target address 130 is sent from decoder 104 to an input of multiplexer 109 .
  • decoder 104 of FIG. 3 also determines if the branch prediction hint is static or dynamic, and, if static, the decoder determines if the hint is taken or not taken.
  • a signal indicating a hint as being static or dynamic is provided to the control input of multiplexer 107 via s/d hint line 127 .
  • a signal indicating a hint as being taken or not taken is provided to an input of multiplexer 107 via t/n hint line 128 .
  • a static hint indicates to the branch predictor that the associated branch instruction should always be predicted as taken or not taken (depending on the taken/not taken hint value) regardless of any branch prediction information found in L2 BPT 102 .
  • a dynamic hint indicates to the branch predictor that the branch prediction information found in L2 BPT 102 should be used to predict the branch as taken or not taken.
  • an instruction that includes a dynamic hint also includes a taken/not taken hint that is used as the branch prediction upon initial execution of the branch instruction. Thereafter, branch prediction information stored in a branch prediction table is used to determine subsequent branch predictions for this branch instruction.
  • the multiplexer selects, as its output, the taken/not taken signal from L2 BPT 102 across t/n line 126 . If the signal provided to the control input of multiplexer 107 instead indicates that the hint is static, then the multiplexer selects, as its output, the taken/not taken hint signal from decoder 104 across t/n hint line 128 . For one embodiment in which the L2 BPT is tagged, the hint is dynamic, and there is a miss in the L2 BPT, the decoded prediction is still used.
  • a hit/miss line (or the inverse thereof from L2 BPT 102 may be ANDed (or NANDed) with s/d hint line 127 (or the inverse thereof), with the output being coupled to the control input of multiplexer 107 .
  • AND gate 108 If the signal provided to the input of AND gate 108 via b/nb line 129 of FIG. 3 indicates that the instruction associated with the IP address is a branch (or return) instruction, and the output of multiplexer 107 indicates that the branch is taken, then AND gate 108 outputs a resteer signal to an input of AND gate 141 . Otherwise, AND gate 108 does not output a resteer signal.
  • the other input of AND gate 141 is an inverting input coupled to hit/miss signal line 121 from L1 BPT 100 .
  • AND gate 141 functions to prevent a resteer signal from being sent to IP Control 11 if the output of AND gate 108 indicates a resteer, but there is a hit in the L1 BPT.
  • AND gate 141 outputs a resteer signal to IP Control 11 when the output of AND gate 108 indicates resteer and there is a miss in L1 BPT 100 .
  • Multiplexer 109 of FIG. 3 is controlled by a return/no return signal from instruction decoder 104 via r/nr signal line 140 , and by a TAC 101 hit/miss signal via h/m signal line 150 .
  • Instruction decoder 104 selects the appropriate output for multiplexer 109 . For example, If n/nr signal line 140 indicates that the instruction is a return instruction, the return address from return stack buffer (RSB) 142 (the same RSB output provided to L1 branch predictor 21 ), which is coupled to an input to multiplexer 109 , is propagated to the output of multiplexer 109 and, consequently, to IP multiplexer 10 .
  • RSB return stack buffer
  • the target address from TAC 101 is propagated to the output of multiplexer 109 if there is a hit in TAC 101 as indicated by h/m signal line 150 . Otherwise, the target address decoded by decoder 104 and provided to another input to multiplexer 109 via target bus 130 is propagated to the output of multiplexer 109 .
  • the subsequent IP prediction is then provided to the processor, and the instructions located at that address are executed by the processor. This prediction is later determined to be either correct or incorrect.
  • the branch prediction information stored in the L1 BPT 100 and in the L2 BPT 102 associated with the predicted branch instruction may then be updated to improve the prediction accuracy the next time the branch instruction is executed. For one embodiment of the present invention, if the IP address associated with a branch instruction missed in the L1 BPT, and the branch instruction does not provide a static hint, the L1 BPT is updated to include a branch prediction entry associated with the IP address.

Abstract

A branch predictor. A first branch prediction table is coupled to an instruction pointer generator to store tagged branch prediction entries and to provide branch predictions at high speed. A second branch prediction table is also coupled to the instruction pointer generator to store untagged branch prediction entries and to provide branch predictions for a much larger working set of branches, albeit at a slower speed.

Description

FIELD OF THE INVENTION
The present invention relates to computer systems and more particularly to a processor that performs branch prediction using first level and second level branch prediction tables.
BACKGROUND OF THE INVENTION
Advanced processors employ pipelining techniques to execute instructions at very high speeds. On such processors, the overall machine is organized as multiple pipelines consisting of several cascaded stages of hardware. Instruction processing is divided into a sequence of operations, and each operation is performed by hardware in a corresponding pipeline stage (“pipe stage”). Independent operations from several instructions may be processed simultaneously by different pipe stages, increasing the instruction throughput of the processor. Where a pipelined processor includes multiple execution resources in each pipe stage, the throughput of the processor can exceed one instruction per clock cycle. To make full use of this instruction execution capability, the execution resources of the processor must be provided with sufficient instructions from the correct execution path.
In a typical computer system, an instruction pointer (IP) directs the processor from one instruction of the program code to the next instruction. An instruction might direct this IP to the next instruction in the normal program code sequence, or it may direct the IP to skip a portion of the program code and resume execution with a non-sequential instruction. The instruction that causes the processor to either continue executing the next instruction in sequence or “branch” to a different, non-sequential instruction is called a branch instruction.
For example, when a word processor does spell-checking, software instructions are executed to verify that each word is spelled correctly. As long as the words are spelled correctly, the instructions execute sequentially. Once an incorrectly spelled word is found, however, a branch instruction directs the IP to branch to a subroutine that notifies the user about the incorrectly spelled word. This subroutine is then executed by the processor.
Branch instructions pose major challenges to keeping the pipeline filled with instructions from the correct execution path. When a branch instruction is executed and the branch condition met, control flow of the processor jumps to a new code sequence, and instructions from the new code sequence are transferred to the pipeline. Branch execution typically occurs at the back end of the pipeline, while instructions are fetched at the front end of the pipeline. If instruction fetching relies on branch execution to determine the correct execution path, the processor pipeline may be filled with instructions from the wrong execution path before the branch condition is resolved. These instructions would then have to be flushed from the pipeline, leaving resources in the affected pipe stages idle while instructions from the correct execution path are fetched. The idle pipe stages are referred to as pipeline bubbles, since they provide no useful output until they are filled by instructions from the correct execution path.
Modern processors incorporate branch prediction modules at the front ends of their pipelines to reduce the number of pipeline bubbles. When a branch instruction enters the front end of the pipeline, the branch prediction module predicts whether the branch instruction will be taken when it is executed at the back end of the pipeline. If the branch is predicted taken (non-sequential instruction execution), the branch prediction module provides a branch target address to the instruction fetch module, redirecting the IP by setting the IP address equal to the address containing the first instruction of the branched program code. The address containing this first instruction of the branched code is called the “target address.” The fetch module, which is also located at the front end of the pipeline, begins fetching instructions from the target address. If, on the other hand, a branch predictor predicts that a branch will not be taken (sequential instruction execution), the branch predictor increments the IP address so that the IP points to the next instruction in the normal program code sequence. When branch execution occurs in the backend of the pipeline, the processor can validate whether the prediction made in the front end was correct. If incorrect, the pipeline is flushed. The higher the branch prediction accuracy, the fewer the number of pipeline bubbles and flushes.
Conventional branch prediction modules employ branch target buffers (BTBs) to store prediction entries containing information such as whether a branch will be taken and the likely target address when the branch is taken. These branch prediction entries are associated with the IP addresses that contain the branch instructions. For each IP address that is tracked in a branch prediction table, its associated branch prediction entry includes the IP address along with historical information that is helpful to predict whether or not the branch will be taken in the future. However, even the process of looking up an instruction in the BTB, determining whether the branch is taken, and providing a target address to the fetch module on a taken prediction causes a delay in resteering the processor to the target address. This delay allows instructions from the wrong execution path to enter and propagate down the pipeline. Since these instructions do not add to forward progress on the predicted execution path, they create “bubbles” in the pipeline when they are flushed. More accurate and complete branch prediction algorithms (using larger sized branch tables) take longer to complete and generate greater delays in the resteer process. The greater the number of clock cycles required to resteer the pipeline, the greater the number of bubbles created in the pipeline. Thus there is a tradeoff between the speed of access of the branch prediction structures, and the size and accuracy of the content in these structures.
For speed and cost reasons, modern processors often limit the size of the BTB employed. This reduces the accuracy of the branch detection and prediction, especially on large workloads. Given the smaller size of the BTB, a new branch prediction entry sometimes must overwrite an older branch prediction entry. If a branch instruction associated with an overwritten branch prediction entry is then re-executed by the processor, no historical information exists to help the branch predictor predict whether or not the branch should be taken. As a result, branch prediction accuracy decreases, reducing processor performance. As the size of software applications increases, the number of branch instructions in those applications increases, and the limited size of the branch prediction table becomes a significant problem. Thus there is a need to provide a solution that yields low latency branch predictions for the most frequent subset of branches (those with high locality), and yet provides meaningful predictions for the overall working set.
SUMMARY OF THE INVENTION
A branch predictor is described. A first branch prediction table is coupled to an IP generator to store branch prediction entries. A second branch prediction table is also coupled to the IP generator to store a greater number of branch prediction entries.
In accordance with an embodiment of the present invention, the two level branch prediction structure may be found to combine the benefits of high speed (low latency) branch prediction and resteering for the highest locality of branches, with overall high accuracy branch detection and prediction for the overall working set at large, albeit at reduced speed. This may be accomplished without significant die size growth.
Other features and advantages of the present invention will be apparent from the accompanying drawings and the detailed description that follows.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention is illustrated by way of example and not limitation in the figures of the accompanying drawings in which like references indicate similar elements and in which:
FIG. 2 shows a branch prediction pipeline in accordance with an embodiment of the present invention;
FIG. 3 shows the branch predictors of FIG. 2; and
FIG. 1 is a flow chart showing a method of the present invention.
DETAILED DESCRIPTION
A branch predictor for a processor having first level and second level branch prediction tables is described. An initial instruction pointer (IP) address is generated by an IP generator. The first level (L1) branch prediction table (BPT) and the second level (L2) BPT are searched for branch prediction entries associated with the initial IP address. For one embodiment of the present invention, the L1 BPT is associative (i.e. fully associative or multi-way set associative) and the L2 BPT is direct-mapped.
Because the L1 BPT is associative, branch prediction entries are tagged, and these tags, along with branch prediction information, are stored in the L1 BPT. Branch prediction entries in the direct-mapped L2 BPT are untagged, so only branch prediction information is stored in the L2 BPT. Because the branch prediction entries in the L1 BPT are larger than the branch prediction entries in the L2 BPT, the L2 BPT can have more branch prediction entries in the same amount of space as the L1 BPT. Therefore, although the L1 BPT may be more accurate and faster than the L2 BPT, the L2 BPT acts as a “back-up” to the L1 BPT, allowing additional branch prediction information to be stored for a relatively small increase in processor size. By including both the L1 BPT and the L2 BPT in a processor, branch prediction accuracy and overall speed may be simultaneously improved without a significant increase in hardware cost. Additional, modest increases in the size of the L2 BPT can further enhance the overall storage capacity to be able to hold the bulk of the branches in programs with large working sets.
If a branch prediction entry associated with the initial IP address is found in the L1 BPT (called a “hit” in the L1 BPT), then the branch prediction information associated with the entry is used to predict a branch as being taken or not taken (i.e. to predict the subsequent IP address). If a branch prediction entry associated with the initial IP address is not found in the L1 BPT (called a “miss” in the L1 BPT), then the instruction associated with the IP address is decoded to determine if it is a branch instruction. If it is a branch instruction, then the branch prediction information from the L2 BPT is used to predict a branch as being taken or not taken.
For an alternate embodiment of the present invention, the software provides hints to the processor to aid in branch prediction. For this embodiment, these hints are used to determine whether to use the branch prediction information from the L2 BPT or branch prediction information encoded in the software itself to predict a branch as being taken or not taken.
A two level branch predictor design such as this may be found particularly useful for processors that are tasked with executing large applications, such as those that run on servers and workstations. A more detailed description of embodiments of the present invention, including various configurations and implementations, is provided below.
FIG. 1 is a flow chart showing a method of the present invention. At step 205, L1 and L2 branch prediction tables are searched for branch prediction entries associated with an initial IP address. The L1 BPT is a tagged, associative table and the L2 BPT is an untagged direct-mapped table. For one embodiment, the L1 BPT stores fewer branch prediction entries than the L2 BPT, but the L1 BPT is faster and provides for better branch prediction accuracy than the L2 BPT. Because the L1 BPT stores fewer branch prediction entries, the L1 BPT stores only those branch prediction entries that are most recently used and, therefore, most likely to be used again in the near future.
At step 210 of FIG. 1 it is determined whether or not there is a hit in the L1 BPT associated with the initial IP address. If there is a hit in the L1 BPT, it is next determined at step 215 whether or not the branch prediction information in the L1 BPT associated with the initial IP address indicates that the branch should be predicted as taken. If the branch is predicted to be taken, then at step 220 the subsequent IP address is resteered to an address from the TAC or RSB. If, instead, the branch is predicted to be not taken, then at step 225 no resteer signal is sent.
If there is no hit in the L1 BPT (a miss in the L1 BPT), a decoder decodes at least a portion of the instruction at step 230 of FIG. 1 to determine if the instruction associated with the initial IP address is a branch instruction. If the instruction is determined to be a branch instruction, a target address is also decoded by the decoder at step 230 as well as whether or not the instruction is a return. For an embodiment in which branch prediction hints are provided to the branch predictor by branch instructions, the decoder also decodes this hint information at step 230.
If it is determined at step 235 of FIG. 1 that the instruction is not a branch instruction, then at step 240 no resteer signal is sent. If the instruction is determined to be a branch instruction, then it is next determined at step 250 whether or not a hint associated with the branch instruction is static. Note that for an alternate embodiment of the present invention in which hints are not implemented, steps 250 and 260 are eliminated, and if the instruction is determined to be a branch, then the process flow skips from step 235 to step 255.
If the hint associated with the branch instruction is not static (i.e. it is dynamic), then it is next determined at step 255 of FIG. 1 whether or not the branch prediction information in the L2 BPT associated with the initial IP address indicates that the branch should be predicted as taken. If the branch is predicted to be taken, then at step 265 the subsequent IP address is resteered, predicting the subsequent IP to be an address from the TAC, the RSB (if the instruction is determined to be a return instruction), or an address decoded by the decoder. If, instead, the branch is predicted to be not taken, then at step 240 no resteer signal is sent.
If, instead, the hint associated with the branch instruction is static, then it is next determined at step 260 of FIG. 1 if the hint indicates whether the branch should be predicted as taken or not taken. If the branch is hinted to be taken, then the process flow proceeds to step 265 as described above. If, instead, the branch is hinted to be not taken, then the process flow proceeds to step 240 as described above.
Note that in accordance with an embodiment of the present invention, branch predictions made at steps 220 or 225 of FIG. 1 are completed earlier than branch predictions made at steps 240 or 265.
FIG. 2 shows a branch prediction pipeline in accordance with an embodiment of the present invention. According to the pipeline of FIG. 2, the output of IP multiplexer 10 provides an initial IP address to incrementer 20, first level (L1) branch predictor 21, and second level (L2) branch predictor 22. Incrementer 20 appropriately increments the initial IP address to create a subsequent IP address, and provides the subsequent IP address back to the input of IP multiplexer 10 during a first pipeline stage. IP incrementor 20 takes an initial IP address and increments it by a predetermined amount. The predetermined amount that is added to the initial IP address is the difference between 2 consecutive memory addresses that store consecutive instructions, or groups of instructions, of the program code being executed.
L1 branch predictor 21 may generate a resteer signal and provide this signal to an input to IP Control 11. This signal indicates whether or not the subsequent IP address is sequential to the initial IP address. If L1 branch predictor 21 sends a resteer signal to IP Control 11, this indicates that the subsequent IP is non-sequential, and L1 branch predictor 21 then provides a subsequent IP address to the input of IP multiplexer 10 during a second pipeline stage.
L2 branch predictor 22 may also generates a resteer signal and provide this signal to another input to IP Control 11. This signal similarly indicates whether or not the subsequent IP address is sequential to the initial IP address. If L2 branch predictor 22 sends a resteer signal to IP Control 11, this indicates that the subsequent IP is non-sequential, and L2 branch predictor 22 then provides a subsequent IP address to the input of IP multiplexer 10 during a third pipeline stage.
IP Control 11 then determines, based on the signals from L2 branch predictor 22 and L1 branch predictor 21, which of the three inputs to IP multiplexer 10 may be passed along to the output of the multiplexer. If neither L1 branch predictor 21 nor L2 branch predictor 22 sends a resteer signal, the incremented IP address from incrementer 20 is selected as the subsequent IP address output from multiplexer 10. If L1 branch predictor 21 sends a resteer signal, the IP address from the output of L1 branch predictor 21 is selected as the subsequent IP address output from multiplexer 10. If L2 branch predictor 21 sends a resteer signal, the IP address from the output of L2 branch predictor 21 is selected as the subsequent IP address output from multiplexer 10.
Note that as used herein, the term “initial IP address” refers to any IP address that is used as a reference point from which to predict a subsequent IP address. “Initial IP address” is not intended to be limited to the IP address associated with the first line of program code of a particular software application. An initial IP address may be any IP address associated with any line of program code of an application.
FIG. 3 shows L1 branch predictor 21 and L2 branch predictor 22 of FIG. 2 in accordance with an embodiment of the present invention. The branch predictor of FIG. 3 may be entirely contained on the same semiconductor substrate as the processor for which the branch predictor performs branch prediction. For another embodiment, one or more functional blocks of the branch predictor are located on a separate semiconductor substrate. For example, to reduce the overall size of the processor, L2 BPT 102 may be located on a separate semiconductor substrate.
L1 BPT 100 is an associative table that includes branch prediction entries referenced by address tags. Each address tag is associated with an IP address that contains a branch instruction. Each branch prediction entry in L1 BPT 100 includes, in addition to its associated address tag, branch prediction information. This branch prediction information is used by the branch predictor to predict whether or not the branch will be taken. The specific type of branch prediction information stored in L1 BPT 100 is commensurate with any of a variety of types of branch prediction algorithms that may be implemented by a branch predictor, many of which are well known to those skilled in the art.
For example, in accordance with one embodiment of the present invention, a local history prediction algorithm is implemented in conjunction with L1 BPT 100. For another embodiment, a global history branch prediction algorithm or a counter predictor (e.g. a 2 bit up-down counter, also known a bimodal branch predictor) is implemented in L1 BPT 100. For an alternate embodiment, L1 BPT 100 is divided into two or more separate branch prediction tables, each table implementing a different branch prediction algorithm. A selector circuit then determines which algorithm would provide the most accurate prediction for a particular instance and selects the appropriate table.
For one embodiment of the present invention, L1 BPT 100 of FIG. 3 is multi-way set associative. For another embodiment of the present invention, L1 BPT 100 is fully associative. To improve the speed with which branch prediction entries in L1 BPT 100 are searched, the table is kept relatively small, having a storage capacity of approximately 512 to 2K branch prediction entries.
The initial IP address to provided to the input to L1 branch predictor 21 of FIG. 3. This initial IP address is used to search L1 BPT 100 and the target address cache (TAC) 101. If the address is found in the L1 BPT, this is a hit, and a hit signal is sent along hit/miss signal line 121 to an input of AND gate 144. If the address is not found in L1 BPT 100, this is a miss, and a miss signal is sent along hit/miss signal line 121. If there is a hit in L1 BPT 100, and the associated entry in L1 BPT 100 indicates that the branch is taken, this is indicated by a taken signal sent along taken/not taken signal line 122 to the other input of AND gate 144. If there is a hit in L1 BPT 100, and the associated entry in L1 BPT 100 indicates that the branch is not taken, this is indicated by a not taken signal sent along taken/not taken signal line 122. If there is a hit that is taken in L1 BPT 100, and the L1 BPT further indicates that the branch is a return, this is indicated by a return signal set along return/not return signal line 143 to the control input of multiplexer 106. If there is a hit that is taken in L1 BPT 100, and the L1 BPT indicates that the branch is not a return, this is indicated by a not return signal set along return/not return signal line 143.
If there is a hit in L1 BPT 100 of FIG. 3, and the L1 BPT indicates that the branch is taken, AND gate 144 outputs a resteer signal to IP control 11. If there is either a miss in L1 BPT 100 or a not taken hit in the L1 BPT, AND gate 144 does not output a resteer signal. If AND gate 144 outputs a resteer signal, an IP address is also output from multiplexer 106. Return/not return signal line 143 determines the output of multiplexer 106. If signal line 143 indicates that the branch is a return instruction, the return address from return stack buffer (RSB) 142, which is coupled to an input to multiplexer 106, is propagated to the output of multiplexer 106 and, consequently, to IP multiplexer 10. If signal line 143 indicates that the branch is not a return instruction (for a taken hit in L1 BPT 100), the target address from TAC 101, which is coupled to the other input to multiplexer 106 via target bus 123, is propagated to the output of multiplexer 106 and, consequently, to IP multiplexer 10. In addition, a target address found in TAC 101, along with a hit miss signal, is also provided to an input to multiplexer 109 of L2 branch predictor 22, as described below.
L2 BPT 102 of FIG. 3 is a direct-mapped table that includes branch prediction entries containing branch prediction information without address tags. This branch prediction information is used by the branch predictor to predict whether or not a branch will be taken. The specific type of branch prediction information stored in L2 BPT 102 is commensurate with any of a variety of types of branch prediction algorithms that may be implemented by a branch predictor, many of which are well known to those skilled in the art. Some of these branch prediction algorithms are described above in conjunction with L1 BPT 100. L2 BPT 102 may implement any of these algorithms, or any combination of these algorithms, regardless of the type of algorithm implemented by L1 BPT 100.
It is advantageous, particularly from a cost perspective, for the branch prediction algorithm implemented in L2 BPT 102 of FIG. 3 to occupy a small amount of space. Therefore, in accordance with one embodiment of the present invention, L2 BPT 102 implements a two bit counter algorithm as its method of branch prediction. Although a two bit counter algorithm may not be as accurate as, for example, the local or global branch prediction algorithm implemented in L1 BPT 100, a branch prediction table that uses a two bit counter algorithm requires only two bits of storage per branch prediction entry. Branch prediction tables that implement either local or global branch prediction algorithms can require well over two bits of storage per branch prediction entry. By using untagged branch prediction entries and a two bit counter algorithm, L2 BPT 102 can store anywhere from four to eight or more times as many branch prediction entries as L1 BPT 100 in approximately the same amount of space. Thus, for one embodiment of the present invention, L2 BPT 102 has a relatively large storage capacity of approximately 2K to 8K or more branch prediction entries. For one embodiment in which the L2 BPT is untagged, a branch that does not have an associated static hint (described in more detail below) may update the L2 BPT prediction upon retirement.
The branch prediction information stored in the branch prediction entry associated with the initial IP address is read from L2 BPT 102 of FIG. 3, and a taken or not taken branch prediction is calculated using this information. Depending on the branch prediction, a taken or not taken signal is sent out along t/n line 126 to an input of multiplexer 107. For an embodiment of the present invention in which L2 BPT 102 is direct-mapped, there is always be a hit in this table. This means that some percentage of these hits may associate one IP address with branch prediction information of a different IP address. One way to avoid this problem is to store address tags in L2 BPT 102, and compare those tags to the tags of incoming IP addresses. The cost benefit of reduced table size by not storing tags in L2 BPT 102, however, may be found to be more valuable than the increased branch prediction accuracy gained by storing tags.
Input instruction buffer 103 is searched using the initial IP address from IP multiplexer 10 of FIG. 3, and the associated instruction is provided to instruction decoder 104. For one embodiment of the present invention, decoder 104 partially or fully decodes the instruction to determine whether or not the instruction is a branch instruction, and, if so, the decoder additionally determines the target address and whether or not the instruction is a return. Depending on whether or not the instruction is a branch (or return), an appropriate signal is sent to the input of AND gate 108 via b/nb line 129. Target address 130 is sent from decoder 104 to an input of multiplexer 109.
For an embodiment in which branch prediction hints are included in the instruction, decoder 104 of FIG. 3 also determines if the branch prediction hint is static or dynamic, and, if static, the decoder determines if the hint is taken or not taken. A signal indicating a hint as being static or dynamic is provided to the control input of multiplexer 107 via s/d hint line 127. A signal indicating a hint as being taken or not taken is provided to an input of multiplexer 107 via t/n hint line 128. A static hint indicates to the branch predictor that the associated branch instruction should always be predicted as taken or not taken (depending on the taken/not taken hint value) regardless of any branch prediction information found in L2 BPT 102. A dynamic hint indicates to the branch predictor that the branch prediction information found in L2 BPT 102 should be used to predict the branch as taken or not taken. For an alternate embodiment of the present invention, an instruction that includes a dynamic hint also includes a taken/not taken hint that is used as the branch prediction upon initial execution of the branch instruction. Thereafter, branch prediction information stored in a branch prediction table is used to determine subsequent branch predictions for this branch instruction.
If the signal provided to the control input of multiplexer 107 of FIG. 3 via s/d hint line 127 indicates that the hint is dynamic, then the multiplexer selects, as its output, the taken/not taken signal from L2 BPT 102 across t/n line 126. If the signal provided to the control input of multiplexer 107 instead indicates that the hint is static, then the multiplexer selects, as its output, the taken/not taken hint signal from decoder 104 across t/n hint line 128. For one embodiment in which the L2 BPT is tagged, the hint is dynamic, and there is a miss in the L2 BPT, the decoded prediction is still used. For this embodiment, a hit/miss line (or the inverse thereof from L2 BPT 102 may be ANDed (or NANDed) with s/d hint line 127 (or the inverse thereof), with the output being coupled to the control input of multiplexer 107.
If the signal provided to the input of AND gate 108 via b/nb line 129 of FIG. 3 indicates that the instruction associated with the IP address is a branch (or return) instruction, and the output of multiplexer 107 indicates that the branch is taken, then AND gate 108 outputs a resteer signal to an input of AND gate 141. Otherwise, AND gate 108 does not output a resteer signal. The other input of AND gate 141 is an inverting input coupled to hit/miss signal line 121 from L1 BPT 100. AND gate 141 functions to prevent a resteer signal from being sent to IP Control 11 if the output of AND gate 108 indicates a resteer, but there is a hit in the L1 BPT. This is done because an early prediction from L1 BPT 100 may be found to be more accurate than the late prediction from L2 BPT 102. Hence, AND gate 141 outputs a resteer signal to IP Control 11 when the output of AND gate 108 indicates resteer and there is a miss in L1 BPT 100.
Multiplexer 109 of FIG. 3 is controlled by a return/no return signal from instruction decoder 104 via r/nr signal line 140, and by a TAC 101 hit/miss signal via h/m signal line 150. Instruction decoder 104 selects the appropriate output for multiplexer 109. For example, If n/nr signal line 140 indicates that the instruction is a return instruction, the return address from return stack buffer (RSB) 142 (the same RSB output provided to L1 branch predictor 21), which is coupled to an input to multiplexer 109, is propagated to the output of multiplexer 109 and, consequently, to IP multiplexer 10. If signal line 140 indicates that the branch is not a return instruction (for a taken branch), the target address from TAC 101 is propagated to the output of multiplexer 109 if there is a hit in TAC 101 as indicated by h/m signal line 150. Otherwise, the target address decoded by decoder 104 and provided to another input to multiplexer 109 via target bus 130 is propagated to the output of multiplexer 109.
The subsequent IP prediction is then provided to the processor, and the instructions located at that address are executed by the processor. This prediction is later determined to be either correct or incorrect. The branch prediction information stored in the L1 BPT 100 and in the L2 BPT 102 associated with the predicted branch instruction may then be updated to improve the prediction accuracy the next time the branch instruction is executed. For one embodiment of the present invention, if the IP address associated with a branch instruction missed in the L1 BPT, and the branch instruction does not provide a static hint, the L1 BPT is updated to include a branch prediction entry associated with the IP address.
This invention has been described with reference to specific exemplary embodiments thereof. It will, however, be evident to persons having the benefit of this disclosure that various modifications and changes may be made to these embodiments without departing from the broader spirit and scope of the invention. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.

Claims (25)

What is claimed is:
1. A processor comprising:
a first branch prediction table (BPT), coupled to an instruction pointer (IP) generator, to store a first plurality of branch prediction entries; and
a second BPT, coupled to the IP generator, to store a second plurality of branch prediction entries to predict both taken and not taken branches, wherein the processor does not include storage space for target address information associated with the second plurality of branch prediction entries.
2. The processor of claim 1, the first BPT to store tagged branch prediction entries and the second BPT to store untagged branch prediction entries.
3. The processor of claim 1, further comprising a circuit coupled to the first BPT to predict a subsequent IP address as being a target address stored in a target address cache if the first BPT indicates that a branch is taken.
4. The processor of claim 1, further comprising a circuit coupled to the second BPT to predict a subsequent IP address as being a target address stored in a return stack buffer if the second BPT indicates that a branch is taken.
5. The processor of claim 1, wherein the second BPT is sized to store two or more times the number of branch prediction entries as the first BPT.
6. The processor of claim 1, wherein the first BPT is to implement a first type of prediction algorithm and the second BPT is to implement a second type of prediction algorithm that is different from the first type of prediction algorithm.
7. A processor comprising:
an instruction pointer (IP) generator;
a first level branch prediction table (BPT), coupled to the IP generator, the first level BPT having stored therein a first plurality of branch prediction entries associated with a first plurality of addresses;
a second level BPT, coupled to the IP generator, the second level BPT having stored therein a second plurality of branch prediction entries associated with a second plurality of addresses, wherein the second level BPT does not store target address information, and the second plurality of branch prediction entries are to predict both taken and not taken branches; and
a decoder to determine the target address information.
8. The processor of claim 7, further comprising a target address cache coupled to the IP generator and having stored therein a plurality of target addresses associated with the first plurality of addresses.
9. The processor of claim 8, if further comprising a circuit to select, as its output, a target address from the target address cache if there is a hit in the first level BPT indicating that a branch is taken.
10. The processor of claim 7, further comprising groups of instructions in a memory location coupled to the IP generator and having stored therein a plurality of branch hints.
11. The processor of claim 10, further comprising a circuit to select, as its output, a target address from the memory location if a hit in the second level BPT indicates that a branch is taken and an associated hint in the memory location is dynamic.
12. The processor of claim 7, wherein there are between two to four times as many addresses in the second plurality of addresses as there are in the first plurality of addresses, and the second plurality of addresses includes the first plurality of addresses.
13. A computer system comprising:
program code including first and second branches that may be taken or not taken; and
a processor including:
a first branch predictor to store a first branch prediction entry and a first target address, both associated with the first branch;
a second branch predictor to store a second branch prediction entry and to exclude a second target address, both associated with the second branch; and
an instruction decoder to determine the second target address.
14. The system of claim 13, wherein the first branch predictor comprises a first branch prediction table to store the first branch prediction entry and the first target address.
15. The system of claim 14, wherein the second branch predictor comprises a second branch prediction table to store the second branch prediction entry and to exclude the second target address.
16. The system of claim 13, wherein the processor further comprises a target address cache to store the first target address.
17. The system of claim 16, wherein the target address cache is to exclude the second target address.
18. The system of claim 13, wherein the second branch predictor is to store two or more times the number of branch prediction entries as the first branch predictor.
19. The system of claim 13, wherein the first branch predictor is to implement a first type of prediction algorithm and the second branch predictor is to implement a second type of prediction algorithm that is different from the first type of prediction algorithm.
20. A processor comprising:
a first branch predictor to store a first branch prediction entry and a first target address, both associated with a first branch that may be taken or not taken;
a second branch predictor to store a second branch prediction entry and to exclude a second target address, both associated with a second branch that may be taken or not taken; and
an instruction decoder to determine the second target address.
21. The processor of claim 20, wherein the processor further comprises a target address cache to store the first target address and to exclude the second target address.
22. The processor of claim 21, wherein the first and second branches are the same.
23. The processor of claim 20, wherein the second branch is the first branch.
24. The processor of claim 20, wherein the second branch predictor is to store two or more times the number of branch prediction entries as the first branch predictor.
25. The system of claim 20, wherein the first branch predictor is to implement a first type of prediction algorithm and the second branch predictor is to implement a second type of prediction algorithm that is different from the first type of prediction algorithm.
US09/149,885 1998-09-08 1998-09-08 Method and apparatus for branch prediction using first and second level branch prediction tables Expired - Lifetime US6553488B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US09/149,885 US6553488B2 (en) 1998-09-08 1998-09-08 Method and apparatus for branch prediction using first and second level branch prediction tables
TW088113818A TW455810B (en) 1998-09-08 1999-08-12 A method and apparatus for branch prediction using a second level branch prediction table
CNB998130613A CN1302376C (en) 1998-09-08 1999-08-26 Method and apparatus for branch prediction using second level branch prediction table
AU56992/99A AU5699299A (en) 1998-09-08 1999-08-26 A method and apparatus for branch prediction using a second level branch prediction table
PCT/US1999/019892 WO2000014628A1 (en) 1998-09-08 1999-08-26 A method and apparatus for branch prediction using a second level branch prediction table
GB0105285A GB2357871B (en) 1998-09-08 1999-08-26 A method and apparatus for branch prediction using a second level branch prediction table
KR10-2001-7002916A KR100411529B1 (en) 1998-09-08 1999-08-26 A method and apparatus for branch prediction using a second level branch prediction table
DE19983517T DE19983517B4 (en) 1998-09-08 1999-08-26 A branch prediction method and apparatus using a second stage branch prediction table

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/149,885 US6553488B2 (en) 1998-09-08 1998-09-08 Method and apparatus for branch prediction using first and second level branch prediction tables

Publications (2)

Publication Number Publication Date
US20010047467A1 US20010047467A1 (en) 2001-11-29
US6553488B2 true US6553488B2 (en) 2003-04-22

Family

ID=22532208

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/149,885 Expired - Lifetime US6553488B2 (en) 1998-09-08 1998-09-08 Method and apparatus for branch prediction using first and second level branch prediction tables

Country Status (8)

Country Link
US (1) US6553488B2 (en)
KR (1) KR100411529B1 (en)
CN (1) CN1302376C (en)
AU (1) AU5699299A (en)
DE (1) DE19983517B4 (en)
GB (1) GB2357871B (en)
TW (1) TW455810B (en)
WO (1) WO2000014628A1 (en)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030187746A1 (en) * 2002-03-29 2003-10-02 Kochanski Gregory P. System and method for distributing web content on a network
US6697937B1 (en) * 1999-11-05 2004-02-24 Ip-First, L.L.C. Split history tables for branch prediction
US20040059899A1 (en) * 2002-09-20 2004-03-25 International Business Machines Corporation Effectively infinite branch prediction table mechanism
US20040210749A1 (en) * 2003-04-15 2004-10-21 Biles Stuart David Branch prediction in a data processing apparatus
US20050283593A1 (en) * 2004-06-18 2005-12-22 Vladimir Vasekin Loop end prediction
US7024545B1 (en) * 2001-07-24 2006-04-04 Advanced Micro Devices, Inc. Hybrid branch prediction device with two levels of branch prediction cache
US20060095750A1 (en) * 2004-08-30 2006-05-04 Nye Jeffrey L Processes, circuits, devices, and systems for branch prediction and other processor improvements
US20060095749A1 (en) * 2004-09-14 2006-05-04 Arm Limited Branch prediction mechanism using a branch cache memory and an extended pattern cache
US20060095747A1 (en) * 2004-09-14 2006-05-04 Arm Limited Branch prediction mechanism including a branch prediction memory and a branch prediction cache
US20060179292A1 (en) * 2005-01-13 2006-08-10 Infineon Technologies Ag Microprocessor device and branch prediction method
US7107437B1 (en) * 2000-06-30 2006-09-12 Intel Corporation Branch target buffer (BTB) including a speculative BTB (SBTB) and an architectural BTB (ABTB)
US20070204137A1 (en) * 2004-08-30 2007-08-30 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US20080005545A1 (en) * 2006-06-30 2008-01-03 Yip Edmond H Dynamically shared high-speed jump target predictor
US20080059779A1 (en) * 2006-08-31 2008-03-06 Davis Mark C Overriding a static prediction
US20090164766A1 (en) * 2007-12-20 2009-06-25 David Suggs Branch history with polymorphic indirect branch information
US20090204799A1 (en) * 2008-02-12 2009-08-13 International Business Machines Corporation Method and system for reducing branch prediction latency using a branch target buffer with most recently used column prediction
US20110093658A1 (en) * 2009-10-19 2011-04-21 Zuraski Jr Gerald D Classifying and segregating branch targets
US20130151823A1 (en) * 2011-12-07 2013-06-13 Andrew J. Beaumont-Smith Next fetch predictor training with hysteresis
US20130332716A1 (en) * 2012-06-11 2013-12-12 International Business Machines Corporation Branch target buffer preload table
US20150268961A1 (en) * 2014-03-21 2015-09-24 Samsung Electronics Co., Ltd. Decoupling l2 btb from l2 cache to accelerate search for miss after miss
US9280351B2 (en) 2012-06-15 2016-03-08 International Business Machines Corporation Second-level branch target buffer bulk transfer filtering
US9298465B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Asynchronous lookahead hierarchical branch prediction
US9411598B2 (en) 2012-06-15 2016-08-09 International Business Machines Corporation Semi-exclusive second-level branch target buffer
US9563430B2 (en) 2014-03-19 2017-02-07 International Business Machines Corporation Dynamic thread sharing in branch prediction structures
US20200257534A1 (en) * 2019-02-13 2020-08-13 International Business Machines Corporation Hierarchical metadata predictor with periodic updates
US10747539B1 (en) 2016-11-14 2020-08-18 Apple Inc. Scan-on-fill next fetch target prediction

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4027620B2 (en) * 2001-06-20 2007-12-26 富士通株式会社 Branch prediction apparatus, processor, and branch prediction method
JP2007527050A (en) * 2003-07-09 2007-09-20 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Branch prediction method and system
US20050015578A1 (en) * 2003-07-14 2005-01-20 Kimming So Two-bit branch prediction scheme using reduced memory size
JP2005149297A (en) * 2003-11-18 2005-06-09 Renesas Technology Corp Processor and assembler thereof
US7243219B2 (en) * 2003-12-24 2007-07-10 Intel Corporation Predicting instruction branches with a plurality of global predictors using varying amounts of history instruction
US20050149680A1 (en) * 2003-12-30 2005-07-07 Intel Corporation Fast associativity collision array and cascaded priority select
US8181004B2 (en) 2005-08-29 2012-05-15 The Invention Science Fund I, Llc Selecting a resource management policy for a resource available to a processor
US20070050606A1 (en) * 2005-08-29 2007-03-01 Searete Llc, A Limited Liability Corporation Of The State Of Delaware Runtime-based optimization profile
US7607042B2 (en) 2005-08-29 2009-10-20 Searete, Llc Adjusting a processor operating parameter based on a performance criterion
US7653834B2 (en) * 2005-08-29 2010-01-26 Searete, Llc Power sparing synchronous apparatus
US8423824B2 (en) 2005-08-29 2013-04-16 The Invention Science Fund I, Llc Power sparing synchronous apparatus
US8214191B2 (en) 2005-08-29 2012-07-03 The Invention Science Fund I, Llc Cross-architecture execution optimization
US8516300B2 (en) 2005-08-29 2013-08-20 The Invention Science Fund I, Llc Multi-votage synchronous systems
US7739524B2 (en) * 2005-08-29 2010-06-15 The Invention Science Fund I, Inc Power consumption management
US8255745B2 (en) 2005-08-29 2012-08-28 The Invention Science Fund I, Llc Hardware-error tolerant computing
US7627739B2 (en) * 2005-08-29 2009-12-01 Searete, Llc Optimization of a hardware resource shared by a multiprocessor
US8209524B2 (en) 2005-08-29 2012-06-26 The Invention Science Fund I, Llc Cross-architecture optimization
US7877584B2 (en) * 2005-08-29 2011-01-25 The Invention Science Fund I, Llc Predictive processor resource management
US7779213B2 (en) * 2005-08-29 2010-08-17 The Invention Science Fund I, Inc Optimization of instruction group execution through hardware resource management policies
US7539852B2 (en) 2005-08-29 2009-05-26 Searete, Llc Processor resource management
US20070050605A1 (en) * 2005-08-29 2007-03-01 Bran Ferren Freeze-dried ghost pages
US7725693B2 (en) * 2005-08-29 2010-05-25 Searete, Llc Execution optimization using a processor resource management policy saved in an association with an instruction group
US7647487B2 (en) * 2005-08-29 2010-01-12 Searete, Llc Instruction-associated processor resource optimization
US7487340B2 (en) * 2006-06-08 2009-02-03 International Business Machines Corporation Local and global branch prediction information storage
US20070288732A1 (en) * 2006-06-08 2007-12-13 Luick David A Hybrid Branch Prediction Scheme
US8301871B2 (en) * 2006-06-08 2012-10-30 International Business Machines Corporation Predicated issue for conditional branch instructions
US8935517B2 (en) * 2006-06-29 2015-01-13 Qualcomm Incorporated System and method for selectively managing a branch target address cache of a multiple-stage predictor
US20080040590A1 (en) * 2006-08-11 2008-02-14 Lea Hwang Lee Selective branch target buffer (btb) allocaiton
US20080040591A1 (en) * 2006-08-11 2008-02-14 Moyer William C Method for determining branch target buffer (btb) allocation for branch instructions
TW200816282A (en) * 2006-09-27 2008-04-01 Promos Technologies Inc Method for reducing stress between a conductive layer and a mask layer and use of the same
US7890739B2 (en) * 2008-02-19 2011-02-15 Oracle America, Inc. Method and apparatus for recovering from branch misprediction
US9021240B2 (en) * 2008-02-22 2015-04-28 International Business Machines Corporation System and method for Controlling restarting of instruction fetching using speculative address computations
CN102306094B (en) * 2011-08-16 2014-03-26 北京北大众志微系统科技有限责任公司 Device and method for realizing indirect branch and prediction among modern processors
US8739186B2 (en) 2011-10-26 2014-05-27 Autodesk, Inc. Application level speculative processing
US9009734B2 (en) * 2012-03-06 2015-04-14 Autodesk, Inc. Application level speculative processing
US9262169B2 (en) 2012-06-11 2016-02-16 International Business Machines Corporation Branch prediction table install source tracking
US9250912B2 (en) * 2012-06-12 2016-02-02 International Business Machines Corporation Fast index tree for accelerated branch prediction
US9250909B2 (en) 2012-06-12 2016-02-02 International Business Machines Corporation Fast index tree for accelerated branch prediction
US10241800B2 (en) * 2015-06-16 2019-03-26 International Business Machines Corporation Split-level history buffer in a computer processing unit
US10613867B1 (en) * 2017-07-19 2020-04-07 Apple Inc. Suppressing pipeline redirection indications
US10929136B2 (en) * 2018-04-11 2021-02-23 Futurewei Technologies, Inc. Accurate early branch prediction using multiple predictors having different accuracy and latency in high-performance microprocessors
US10817299B2 (en) * 2018-09-07 2020-10-27 Arm Limited Handling multiple control flow instructions
CN111209044B (en) * 2018-11-21 2022-11-25 展讯通信(上海)有限公司 Instruction compression method and device
US11614944B2 (en) * 2020-11-09 2023-03-28 Centaur Technology, Inc. Small branch predictor escape

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5163140A (en) * 1990-02-26 1992-11-10 Nexgen Microsystems Two-level branch prediction cache
US5608886A (en) * 1994-08-31 1997-03-04 Exponential Technology, Inc. Block-based branch prediction using a target finder array storing target sub-addresses
US5732253A (en) * 1994-10-18 1998-03-24 Cyrix Corporation Branch processing unit with target cache storing history for predicted taken branches and history cache storing history for predicted not-taken branches
US5748932A (en) * 1990-02-26 1998-05-05 Advanced Micro Devices, Inc. Cache memory system for dynamically altering single cache memory line as either branch target entry or prefetch instruction queue based upon instruction sequence
US5802602A (en) 1997-01-17 1998-09-01 Intel Corporation Method and apparatus for performing reads of related data from a set-associative cache memory
US5805878A (en) * 1997-01-31 1998-09-08 Intel Corporation Method and apparatus for generating branch predictions for multiple branch instructions indexed by a single instruction pointer
US5815700A (en) * 1995-12-22 1998-09-29 Intel Corporation Branch prediction table having pointers identifying other branches within common instruction cache lines
US5903750A (en) * 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US5933628A (en) * 1996-08-20 1999-08-03 Idea Corporation Method for identifying hard-to-predict branches to enhance processor performance
US5961638A (en) * 1996-11-19 1999-10-05 Advanced Micro Devices, Inc. Branch prediction mechanism employing branch selectors to select a branch prediction
US5978909A (en) * 1997-11-26 1999-11-02 Intel Corporation System for speculative branch target prediction having a dynamic prediction history buffer and a static prediction history buffer

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6119222A (en) * 1996-12-23 2000-09-12 Texas Instruments Incorporated Combined branch prediction and cache prefetch in a microprocessor

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5163140A (en) * 1990-02-26 1992-11-10 Nexgen Microsystems Two-level branch prediction cache
US5748932A (en) * 1990-02-26 1998-05-05 Advanced Micro Devices, Inc. Cache memory system for dynamically altering single cache memory line as either branch target entry or prefetch instruction queue based upon instruction sequence
US5608886A (en) * 1994-08-31 1997-03-04 Exponential Technology, Inc. Block-based branch prediction using a target finder array storing target sub-addresses
US5732253A (en) * 1994-10-18 1998-03-24 Cyrix Corporation Branch processing unit with target cache storing history for predicted taken branches and history cache storing history for predicted not-taken branches
US5815700A (en) * 1995-12-22 1998-09-29 Intel Corporation Branch prediction table having pointers identifying other branches within common instruction cache lines
US5933628A (en) * 1996-08-20 1999-08-03 Idea Corporation Method for identifying hard-to-predict branches to enhance processor performance
US5961638A (en) * 1996-11-19 1999-10-05 Advanced Micro Devices, Inc. Branch prediction mechanism employing branch selectors to select a branch prediction
US5903750A (en) * 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US5802602A (en) 1997-01-17 1998-09-01 Intel Corporation Method and apparatus for performing reads of related data from a set-associative cache memory
US5805878A (en) * 1997-01-31 1998-09-08 Intel Corporation Method and apparatus for generating branch predictions for multiple branch instructions indexed by a single instruction pointer
US5978909A (en) * 1997-11-26 1999-11-02 Intel Corporation System for speculative branch target prediction having a dynamic prediction history buffer and a static prediction history buffer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Yeh, Tse-Yu et al., "Alternative Implementations of Two-Level Adaptive Branch Prediction", The University of Michigan, pp. 124-128, Jul. 1992. *

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6928537B2 (en) 1999-11-05 2005-08-09 Ip-First, Llc Split history tables for branch prediction
US6697937B1 (en) * 1999-11-05 2004-02-24 Ip-First, L.L.C. Split history tables for branch prediction
US7107437B1 (en) * 2000-06-30 2006-09-12 Intel Corporation Branch target buffer (BTB) including a speculative BTB (SBTB) and an architectural BTB (ABTB)
US7024545B1 (en) * 2001-07-24 2006-04-04 Advanced Micro Devices, Inc. Hybrid branch prediction device with two levels of branch prediction cache
US20030187746A1 (en) * 2002-03-29 2003-10-02 Kochanski Gregory P. System and method for distributing web content on a network
US7343397B2 (en) * 2002-03-29 2008-03-11 Lucent Technologies Inc. Method and apparatus for performing predictive caching of DNS requests by correlating IP addresses
US20040059899A1 (en) * 2002-09-20 2004-03-25 International Business Machines Corporation Effectively infinite branch prediction table mechanism
US6978361B2 (en) * 2002-09-20 2005-12-20 International Business Machines Corporation Effectively infinite branch prediction table mechanism
US20040210749A1 (en) * 2003-04-15 2004-10-21 Biles Stuart David Branch prediction in a data processing apparatus
US7831817B2 (en) * 2003-04-15 2010-11-09 Arm Limited Two-level branch prediction apparatus
US20050283593A1 (en) * 2004-06-18 2005-12-22 Vladimir Vasekin Loop end prediction
US20110099393A1 (en) * 2004-08-30 2011-04-28 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US7752426B2 (en) 2004-08-30 2010-07-06 Texas Instruments Incorporated Processes, circuits, devices, and systems for branch prediction and other processor improvements
US20070204137A1 (en) * 2004-08-30 2007-08-30 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US20110099355A1 (en) * 2004-08-30 2011-04-28 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US7890735B2 (en) 2004-08-30 2011-02-15 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US9015504B2 (en) 2004-08-30 2015-04-21 Texas Instruments Incorporated Managing power of thread pipelines according to clock frequency and voltage specified in thread registers
US9389869B2 (en) 2004-08-30 2016-07-12 Texas Instruments Incorporated Multithreaded processor with plurality of scoreboards each issuing to plurality of pipelines
US20060095750A1 (en) * 2004-08-30 2006-05-04 Nye Jeffrey L Processes, circuits, devices, and systems for branch prediction and other processor improvements
US7836288B2 (en) * 2004-09-14 2010-11-16 Arm Limited Branch prediction mechanism including a branch prediction memory and a branch prediction cache
US7428632B2 (en) * 2004-09-14 2008-09-23 Arm Limited Branch prediction mechanism using a branch cache memory and an extended pattern cache
US20060095749A1 (en) * 2004-09-14 2006-05-04 Arm Limited Branch prediction mechanism using a branch cache memory and an extended pattern cache
US20060095747A1 (en) * 2004-09-14 2006-05-04 Arm Limited Branch prediction mechanism including a branch prediction memory and a branch prediction cache
US7673123B2 (en) * 2005-01-13 2010-03-02 Infineon Technologies Ag System and method for classifying branch instructions into multiple classes for branch prediction
US20060179292A1 (en) * 2005-01-13 2006-08-10 Infineon Technologies Ag Microprocessor device and branch prediction method
US20080005545A1 (en) * 2006-06-30 2008-01-03 Yip Edmond H Dynamically shared high-speed jump target predictor
US7472264B2 (en) * 2006-06-30 2008-12-30 Sun Microsystems, Inc. Predicting a jump target based on a program counter and state information for a process
US7533252B2 (en) * 2006-08-31 2009-05-12 Intel Corporation Overriding a static prediction with a level-two predictor
US20080059779A1 (en) * 2006-08-31 2008-03-06 Davis Mark C Overriding a static prediction
US20090164766A1 (en) * 2007-12-20 2009-06-25 David Suggs Branch history with polymorphic indirect branch information
US8782384B2 (en) 2007-12-20 2014-07-15 Advanced Micro Devices, Inc. Branch history with polymorphic indirect branch information
US20090204799A1 (en) * 2008-02-12 2009-08-13 International Business Machines Corporation Method and system for reducing branch prediction latency using a branch target buffer with most recently used column prediction
US8909907B2 (en) * 2008-02-12 2014-12-09 International Business Machines Corporation Reducing branch prediction latency using a branch target buffer with a most recently used column prediction
US20110093658A1 (en) * 2009-10-19 2011-04-21 Zuraski Jr Gerald D Classifying and segregating branch targets
US20130151823A1 (en) * 2011-12-07 2013-06-13 Andrew J. Beaumont-Smith Next fetch predictor training with hysteresis
US8959320B2 (en) * 2011-12-07 2015-02-17 Apple Inc. Preventing update training of first predictor with mismatching second predictor for branch instructions with alternating pattern hysteresis
US9235419B2 (en) * 2012-06-11 2016-01-12 International Business Machines Corporation Branch target buffer preload table
US20130332716A1 (en) * 2012-06-11 2013-12-12 International Business Machines Corporation Branch target buffer preload table
US20140082337A1 (en) * 2012-06-11 2014-03-20 International Business Machines Corporation Branch target buffer preload table
US9244688B2 (en) * 2012-06-11 2016-01-26 International Business Machines Corporation Branch target buffer preload table
US9280351B2 (en) 2012-06-15 2016-03-08 International Business Machines Corporation Second-level branch target buffer bulk transfer filtering
US9298465B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Asynchronous lookahead hierarchical branch prediction
US9378020B2 (en) 2012-06-15 2016-06-28 International Business Machines Corporation Asynchronous lookahead hierarchical branch prediction
US9411598B2 (en) 2012-06-15 2016-08-09 International Business Machines Corporation Semi-exclusive second-level branch target buffer
US9430241B2 (en) 2012-06-15 2016-08-30 International Business Machines Corporation Semi-exclusive second-level branch target buffer
US9563430B2 (en) 2014-03-19 2017-02-07 International Business Machines Corporation Dynamic thread sharing in branch prediction structures
US9898299B2 (en) 2014-03-19 2018-02-20 International Business Machines Corporation Dynamic thread sharing in branch prediction structures
US10185570B2 (en) 2014-03-19 2019-01-22 International Business Machines Corporation Dynamic thread sharing in branch prediction structures
US20150268961A1 (en) * 2014-03-21 2015-09-24 Samsung Electronics Co., Ltd. Decoupling l2 btb from l2 cache to accelerate search for miss after miss
US10747539B1 (en) 2016-11-14 2020-08-18 Apple Inc. Scan-on-fill next fetch target prediction
US20200257534A1 (en) * 2019-02-13 2020-08-13 International Business Machines Corporation Hierarchical metadata predictor with periodic updates
US11163573B2 (en) * 2019-02-13 2021-11-02 International Business Machines Corporation Hierarchical metadata predictor with periodic updates

Also Published As

Publication number Publication date
GB2357871B (en) 2003-09-24
KR20010074978A (en) 2001-08-09
DE19983517T1 (en) 2002-07-11
AU5699299A (en) 2000-03-27
GB2357871A (en) 2001-07-04
CN1328664A (en) 2001-12-26
GB0105285D0 (en) 2001-04-18
TW455810B (en) 2001-09-21
CN1302376C (en) 2007-02-28
US20010047467A1 (en) 2001-11-29
WO2000014628A1 (en) 2000-03-16
KR100411529B1 (en) 2003-12-18
DE19983517B4 (en) 2006-12-07

Similar Documents

Publication Publication Date Title
US6553488B2 (en) Method and apparatus for branch prediction using first and second level branch prediction tables
US7836287B2 (en) Reducing the fetch time of target instructions of a predicted taken branch instruction
US4943908A (en) Multiple branch analyzer for prefetching cache lines
US6550004B1 (en) Hybrid branch predictor with improved selector table update mechanism
US7711930B2 (en) Apparatus and method for decreasing the latency between instruction cache and a pipeline processor
US7117347B2 (en) Processor including fallback branch prediction mechanism for far jump and far call instructions
US6263427B1 (en) Branch prediction mechanism
US6081887A (en) System for passing an index value with each prediction in forward direction to enable truth predictor to associate truth value with particular branch instruction
US7516312B2 (en) Presbyopic branch target prefetch method and apparatus
US7234045B2 (en) Apparatus and method for handling BTAC branches that wrap across instruction cache lines
US8943298B2 (en) Meta predictor restoration upon detecting misprediction
US6134654A (en) Bi-level branch target prediction scheme with fetch address prediction
KR101081674B1 (en) A system and method for using a working global history register
KR20070108939A (en) Forward looking branch target address caching
US5935238A (en) Selection from multiple fetch addresses generated concurrently including predicted and actual target by control-flow instructions in current and previous instruction bundles
US5964869A (en) Instruction fetch mechanism with simultaneous prediction of control-flow instructions
US10664280B2 (en) Fetch ahead branch target buffer
US5889986A (en) Instruction fetch unit including instruction buffer and secondary or branch target buffer that transfers prefetched instructions to the instruction buffer
JP3486690B2 (en) Pipeline processor
US7913068B2 (en) System and method for providing asynchronous dynamic millicode entry prediction
US20040225866A1 (en) Branch prediction in a data processing system
US20050216713A1 (en) Instruction text controlled selectively stated branches for prediction via a branch target buffer
US6115810A (en) Bi-level branch target prediction scheme with mux select prediction
KR100331199B1 (en) Processor and method of fetching an instruction that select one of a plurality of fetch addresses generated in parallel to form a memory request
US7343481B2 (en) Branch prediction in a data processing system utilizing a cache of previous static predictions

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YEH, TSE-YU;SHARANGPANI, HARSHVARDHAN P.;REEL/FRAME:009447/0958

Effective date: 19980908

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12