JP3748500B2 - Method for manufacturing semiconductor substrate - Google Patents

Method for manufacturing semiconductor substrate Download PDF

Info

Publication number
JP3748500B2
JP3748500B2 JP24428899A JP24428899A JP3748500B2 JP 3748500 B2 JP3748500 B2 JP 3748500B2 JP 24428899 A JP24428899 A JP 24428899A JP 24428899 A JP24428899 A JP 24428899A JP 3748500 B2 JP3748500 B2 JP 3748500B2
Authority
JP
Japan
Prior art keywords
porous
silicon
heat treatment
wafer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP24428899A
Other languages
Japanese (ja)
Other versions
JP2000150840A (en
Inventor
信彦 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP24428899A priority Critical patent/JP3748500B2/en
Publication of JP2000150840A publication Critical patent/JP2000150840A/en
Application granted granted Critical
Publication of JP3748500B2 publication Critical patent/JP3748500B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、半導体基板、及びその作製方法に関し、詳しくは、多孔質半導体層上へ形成された非多孔質半導体層及びその形成方法に関する。更にまた、本発明は、半導体基板とくに多孔質層表面形状・状態の評価方法及び、当該評価方法を利用した半導体基板の作製方法に関するものである。
【0002】
また、本発明は、主としてMOSFET、バイポーラトランジスタ等を使用する集積回路の基体として利用される半導体基板、および、その形成方法に関する。
【0003】
【従来の技術】
シリコン系半導体デバイス、集積回路技術において、絶縁物上に単結晶シリコン膜を配置したシリコンオンインシュレーター(SOI)構造は、寄生容量の低減、素子分離の容易化等により、トランジスタの高速化、低消費電力化、高集積化、および、トータルコストの削減をもたらす技術としてこれまでに幾多の研究がなされてきた。
【0004】
このSOI構造を形成する手法として、1970年代から1980年代前半にかけて盛んであった今井の提案によるFIPOS(Fully isolation by porous silicon)法がある。(K.Imai,Solid State Electronics 24(1981)P.159)。この方法は、多孔質シリコンの増速酸化現象を利用してSOI構造を形成するものであるが、表面シリコン層を島状にしか形成できないといった特有の問題がある。
【0005】
最近注目を集めているSOI形成技術の一つとして、ウエハ貼り合わせ技術(wafer bonding technology)があり、SOI構造の表面シリコン層、埋め込み酸化シリコン層の膜厚任意性と表面シリコン層の結晶性の良さから、様々な手法が提案されている。
【0006】
ウエハ同士を接着剤等の中間層なしに接合する貼り合わせ(Bonding)法は、中村らにより提案されたが、その研究が盛んになるのは、J.B.Laskyら(J.B.Lasky,S.R.Stiffler,F.R.White,and J.R.Abernathey,technical Digestof the International Electron Devices Meeting(IEEE,New York,1985),P.684)が貼り合わせたウエハの一方を薄膜化する手法とその上に形成したMOSトランジスタの動作を1984年に報告して以降である。
【0007】
Laskyの方法は、第1のウエハとして高濃度にボロンの添加された単結晶シリコンウエハ上に低濃度あるいは、n型のエピタキシャルシリコン層を形成したものを用意し、この第1のウエハと表面に酸化膜が形成された第2のウエハを必要に応じて洗浄した後、密着するとファンデルワールス力により2枚のウエハは接着する。さらに熱処理を行うと、両ウエハの間には共有結合が形成され、接合強度はデバイス作製に支障のないレベルにまで高まる。しかるのち、第1のウエハを裏面より、ふっ酸、硝酸、酢酸の混合液でエッチングし、p+ シリコンウエハを選択的に除去し、エピタキシャルシリコン層のみを第2のウエハ上に残すというのがLaskyらの(Single Etch−stop法)である。しかしながら、p+ シリコンとエピタキシャルシリコン(P- あるいは、n)のエッチング速度の比は数十と低く、ウエハ全面に均一な膜厚のエピタキシャルシリコン層を残す為にさらなる改良が望まれていた。
【0008】
そこで、選択エッチングを2回に分けて実施する方法が考え出された。すなわち、第1の基板として、低不純物濃度のシリコンウエハの基板の表面にp++のSi層と低不純物濃度層を積層したものを用意し、この基板を前記方法と同様な第2の基板に貼り合わせる。そののち、第1の基板を裏面より、研削、研磨等の機械的方法で薄層化する。次に第1の基板に埋め込まれたp++Si層が全面で露出するまで、選択エッチングを行なう。このとき、エッチング液としてエチレンジアミンピロカテコール、KOH等のアルカリ液を用いることで、基板の不純物濃度の違いによる選択エッチングが行われる。しかるのち、前記したLaskyらの方法と同様のふっ酸、硝酸、酢酸の混合液による選択エッチングにより露出したp++のSi層を選択的に除去すれば、第2の基板上には前記した低不純物濃度の単結晶Si層のみが移設される(Double Etch−stop法)。かかる方法においては、選択エッチングを複数回実施することにより、総合的なエッチングの選択比を向上せしめ、結果としてSOIにおける表面Si層の膜厚均一性を向上させていた。
【0009】
しかしながら、上記したような基板の不純物濃度、ないしは、組成の違いを利用した選択エッチングによる薄層化においては、不純物濃度の深さ方向のプロファイルの影響を受けることが予測される。すなわち、ウエハの接合強度を高めるために貼り合わせ後の熱処理を高温にすると、埋め込み層の不純物が拡散する結果、エッチングの選択性が劣化し、結果として、膜厚均一性が劣化してしまっていた。したがって、貼り合わせ後の熱処理は摂氏800度以下である必要があった。また、かかる複数回のエッチングはそれぞれのエッチング選択比が低いので、量産時の制御性が疑問視されていた。
【0010】
前記した方法ではエッチングの選択性を不純物濃度、ないしは、組成の差に求めていたが、特開平5−21338号公報はかかる問題点を解決すべく、構造の差異にエッチングの選択性をもとめている。すなわち、単位体積当たりの表面積が200m2 /cm3 というような多孔質シリコン非多孔質シリコンの構造の差異により、10万倍という高い選択エッチングを実現している(多孔質シリコンを用いた構造差による選択エッチング法)。かかる方法では、第1の基板たる単結晶Siウエハ表面を陽極化成により多孔質化したのち、非多孔質単結晶シリコン層をエピタキシャル成長させ、第1の基板とする。その後、第2の基板と貼り合わせ、必要に応じて熱処理等により接合強度を高めたのち、第1の基板の裏面を研削、研磨等により除去し、多孔質シリコン層を全面で露出せしめる。この後、多孔質シリコンをエッチングにより選択的に除去し、結果として、第2の基板上に前期非多孔質単結晶シリコン層を移設するという方法である。10万倍という高い選択比を得た結果、得られるSOI層の膜厚均一性はエッチングで損なわれることはほとんどなく、エピタキシャル成長した単結晶シリコン層の成長時の均一性がそのまま反映されることが明らかになった。すなわち、市販のCVDエピタキシャル成長装置が実現するウエハ内均一性として例えば1.5−3%以下がSOI−Si層において実現されるのである。この方法ではFIPOSで選択酸化のための材料であった多孔質シリコンを選択エッチングの材料としている。したがって、Porosityは56%近辺に限定されることはなく、むしろ、20%前後といった低いものが好適である。なお、上記した特開平5−21338号公報に開示されるSOI構造の作製方法は米原らの報告(T.Yonehara,K.Sakaguchi,N.sato,Appl.Phys.lett.64(1994)p.2108)において、ELTRAN(登録商標)と名付けられた。
【0011】
また、多孔質シリコン最終物の構造材とならないので、多孔質シリコンの構造変化、粗大化もエッチングの選択比を損なわない範囲で許容される。
【0012】
本発明者である佐藤ら(N.Sato,K.Sakaguchi,K.Yamagata,Y.Fujiyama,and T.Yonehara,Proc.of the Seventh Int.Symp.on Silicon Mater.Sci.and Tech.Semiconductor Silicon,(Pennigton,The Electrochem.Soc.Inc.,1994),P.443)は、多孔質上のエピタキシャル成長として、SiH2 Cl2 を原料ガスとしたCVD(Chemical Vapor Depositon)法を実施しており、その際のプロセス温度は、エピタキシャル成長前に行う熱処理が1040℃エピタキシャル成長は900−950℃である。
【0013】
多孔質シリコンは、高温の熱処理では構造の粗大化が著しいが、佐藤らは、エピタキシャル成長に先だって、多孔質シリコンの孔壁に保護膜を形成する工程であるプリ酸化(Preoxidation)工程を導入したことにより熱処理に伴う多孔質シリコン層の構造粗大化はほぼ抑制されている。このプリ酸化は例えば酸素雰囲気中400℃でなされる。
【0014】
この方法においては、多孔質シリコン上への非多孔質単結晶シリコンのエピタキシャル成長をいかに欠陥少なく形成するかが重要な技術の一つである。これにより形成されるSOIウエハでは、積層欠陥が主たる欠陥であり、多孔質シリコン上のエピタキシャルシリコン層中の積層欠陥密度は103 〜104 /cm2 と報告されている。
【0015】
【発明が解決しようとする課題】
一般に積層欠陥は酸化膜の絶縁耐圧の劣化を引き起こすおそれがあることが指摘されている。これは積層欠陥を囲む転移部分に金属不純物が析出した場合にp−n接合のリーク電流を高め、少数キャリアライフタイムを劣化させるためと考えられている。その他の上記した多孔質上のエピタキシャル成長に関する報告にあっても、より検出限界の低い欠陥顕在化エッチングののち、光学顕微鏡観察するという方法で結晶欠陥が103 /cm2 を下回るという報告はなかった。103 〜104 /cm2 の積層欠陥が1μm2 のゲート領域に含まれる確率はおよそ0.0001〜0.00001と低いものの、バルクシリコンウエハに比べれば、依然欠陥密度は高く、その影響は一般的には集積回路の収率として表面化することが予測される。上記方法により得られるSOIウエハを実用に供するにあたってかかる積層欠陥密度を少なくとも1000/cm2 以下に低減することが求められている。
【0016】
また、多孔質シリコン層上に非多孔質単結晶シリコンをエピタキシャル成長させる際に、積層欠陥が数多く導入されるのは、エピタキシャル成長の支持基体が「多孔質構造」であることに起因する。
【0017】
そして、従来から、この多孔質構造、とくにその表面形状や状態を評価(観察)する方法としては、主として直接SEM等により観察する手段がとられているが、手段としては、複雑であり、より簡便に評価できる方法が求められていた。
【0018】
(発明の目的)
本発明の第1の目的は、多孔質シリコン層上に結晶欠陥の低減された非多孔質単結晶層を有する半導体基板とその基板の作製方法を提供することである。
【0019】
本発明の第2の目的は、絶縁物上に結晶欠陥密度の少ない非多孔質結晶層を有する基板及び、その作製方法を提供することである。
【0020】
本発明の第3の目的は、多孔質層の表面状態の簡易な評価方法を提供すること、更に該評価方法を利用して多孔質上に形成される薄膜の積層欠陥密度を低減することにある。
【0021】
【課題を解決するための手段】
本発明は、多孔質シリコン層上に非多孔質単結晶層を有する半導体基板の作製方法において、前記多孔質シリコン層上に前記非多孔質単結晶層を形成する工程に先立って、前記多孔質シリコン層を非多孔質単結晶層の原料ガスを含まない雰囲気中で熱処理を行う工程を含み、かつ前記熱処理前後での前記多孔質シリコン層表面のヘイズ値の変化率r(r=(前記熱処理後の多孔質シリコン層表面のヘイズ値)/(前記熱処理前の多孔質シリコン層表面のヘイズ値))が、1≦r≦3.5を満足するように行われることを特徴とする。
【0022】
更に本発明は、多孔質シリコン層を有する基板を用意する工程、前記多孔質シリコン層を熱処理する熱処理工程、及び前記多孔質シリコン層上に非多孔質単結晶層を成長させる成長工程、を有する半導体基板の作製方法において、前記熱処理は、前記非多孔質単結晶層の原料ガスを含まない雰囲気中で且つ、前記熱処理によるシリコンのエッチング量が2nm以下、及び前記多孔質シリコン層表面のヘイズ値の変化率r(r=前記熱処理後ヘイズ値/前記熱処理前のヘイズ値)が、1≦r≦3.5を満足するように行われることを特徴とする。
【0023】
また本発明は、多孔質シリコン層を有する第1の基板を用意する工程、前記多孔質シリコン層を熱処理する熱処理工程、前記多孔質シリコン層上に非多孔質単結晶層を成長させる成長工程、及び前記第1の基板上の前記非多孔質単結晶層を第2の基板上に移設する工程、を有する半導体基板の作製方法において、前記熱処理は、前記非多孔質単結晶層の原料ガスを含まない雰囲気中で且つ、前記熱処理によるシリコンのエッチング量が2nm以下、及び前記多孔質シリコン層表面のヘイズ値の変化率r(r=前記熱処理後ヘイズ値/前記熱処理前のヘイズ値)が、1≦r≦3.5を満足するように行われることを特徴とする。
【0024】
以下、発明の実施の形態を説明する前に、多孔質の基体上への非多孔質層の形成方法について説明した後、本発明をなすに至った実験結果について述べる。
【0025】
多孔質シリコン層上への非多孔質単結晶層(エピタキシャル成長層)の形成方法について、図1のフローチャートを用いて説明する。
【0026】
まず、多孔質シリコン層を有する基板を用意する(S1)。次に、非多孔質単結晶層の成長に先立って、上記多孔質シリコン層を非多孔質単結晶層の原料ガスを含まない雰囲気下で熱処理を行う。
【0027】
これは、プリベーク工程(S2)と呼ばれるもので、多孔質シリコン層表面に付着した自然酸化膜を除去等する工程である。
【0028】
なお、上述の「非多孔質単結晶層の原料ガスを含まない雰囲気下」とは、具体的には、水素ガスを含む還元性雰囲気、又はHe,Ar,Ne等の不活性ガス雰囲気、又は超高真空中での熱処理である。
【0029】
上記プリベーク工程後、原料ガスを投入し、非多孔質単結晶層の成長(S3)を行う。こうして、多孔質シリコン層上へ、非多孔質単結晶層が形成される。
【0030】
次に、本発明をなすに至った技術的知見について述べる。
【0031】
(実験1:エビ膜成長前の昇温工程におけるSiエッチング量の差異)
図3に2つのエピタキシャル成長系における非多孔質単結晶シリコン表面のエッチングによる厚み減少量の時間依存性を示す。系Aは、ロードロック室付の装置を、系Bはロードロック室のない、大気開放型の反応室をもつ装置を用いた系である。
【0032】
系Aの装置は、具体的には、以下に詳述するように、ロードロック室を設けることにより、反応室を直接大気にさらすことなく、ウエハの出し入れを行えるようにしている。
【0033】
そして、反応室のリーク量は20mTorr/分以下、より好ましくは、10mTorr/分以下とするのがよい。
【0034】
また、供給ガス系のガスパネルのリーク量を0.5psi/24h、より好ましくは0.2psi/24h以下とするのがよい。
【0035】
更にまた、供給ガスとしては高純度のものを用いることが好ましく、具体的には、例えばH2 ガスを用いてプリベークを行う場合は、装置の近く約20m以内、好ましくは10m以内に配置されるガス純化器を通したものを使用するのがよい。純化器としては、加熱したパラジウムセルを等価させるタイプのものや、吸着材を装備したフィルター型のものが好適に用いられる。
【0036】
図2に模式的に示される処理装置を用いた。
【0037】
21は反応室(プロセスチャンバー)、22はロードロック室、32は、搬送室(移載チャンバー)である。23は、反応室21と搬送室32とを仕切るゲードバルブ、24は搬送室32とロードロック室22を仕切るゲートバルブである。25は、基板Wを加熱する為のランプのような加熱器、26は、基板Wを載置するサセプタ、27、28、33は反応室21とロッドロック室22搬送室32内をそれぞれ排気する為の排気系、29は反応室21内に処理ガスを導入する為のガス供給系、30、34は、搬送室32やロッドロック室22内をパージしたり、昇圧する為のガスを導入する為のガス供給系である。31は基板Wを反応室21に対して搬入及び搬出する為の搬送アームである。35はウエハカセットである。
【0038】
更に変形例として、ロッドロック室22を搬送アームを収容する搬送室32をゲートバルブ24で仕切らずに一体化したものであってもよい。
【0039】
なおこのようなロードロック室付の処理装置を用いて行う熱処理を、便宜上「系Aでの熱処理」という。
【0040】
この系Aでは、反応室の加熱器を予め稼動させ、サセプタ等を600℃〜1000℃程度に昇温させておくことができる。
【0041】
この方法を採用すると、反応室に導入されたウエハをおよそ10秒程度で600℃〜1000℃に昇温させることが可能であり、昇温時間の短縮、および後述する多孔質シリコンの表面の孔状態の変化がこの熱処理により進行するのを抑制することができる。
【0042】
熱処理温度は系Aの場合1100℃、系Bの場合1050℃、系Aでは600Torr、系Bは760Torr、雰囲気はどちらも水素ガスである。エッチング量は、SOI基板を用い、SOI層の膜厚減少量を測定して求めた。
【0043】
系Bでは、熱処理時間が0であってもエッチング量が7nm以上である。これは上記基板を設定温度まで昇温したのち、すぐに温度を下げた場合のエッチング量を意味する。昇温するだけで、7nm近くもシリコン厚が減少する。
【0044】
一方、系Aでは10分間熱処理してもエッチング量は、2nm以下となっている。なお、系Aでは、設定温度1050℃の場合よりも1100℃の場合の方が熱処理時間に対するエッチング量が多いことが分かっている。
【0045】
この差異は反応容器内の酸素分・水分による昇温工程でのシリコンの酸化と形成された酸化シリコンのエッチングによって説明される。反応容器内の酸素分・水分は、供給されるガスの純度、供給配管内の吸着水分、微小リーク、反応容器自身の気密性、及び反応容器への基板搬入時の混入によって決まる。基板搬入時の酸素分、水素の混入はロードロックを介して、基板を反応容器に導入するか、直接反応容器を大気開放して基板を搬入するかが大きく作用する。
【0046】
但し、反応容器を大気開放しても、その後昇温せずに十分に容器内のガスを置換すれば、残留酸素・水分濃度は減少するが、量産時には効率が問題となる。また、エッチング量は、設定温度まで昇温するのに要する時間の影響も受ける。熱容量の小さい基板保持具に支持されている場合には、昇温速度を高めることが可能である。
【0047】
なお、微量の酸素分や水分が系に存在している場合、これらの濃度が低ければシリコンをエッチングすることは、
F.w.Smith et.al.J.Electrochem.Soc.129 1300(1982)や
G.Ghidini et.al.J.Electrochem.Soc.131 2924(1984)に報告されている。
【0048】
一方、水分等の濃度が高くなると、シリコンを酸化して酸化シリコンを形成する。そして、この酸化シリコンは、温度上昇に伴い、隣接するシリコンと反応し、エッチングされてしまう。SiO2 +Si→2SiO↑との反応をおこすのである。
【0049】
結局、系に残留する酸素分、水分は、昇温中にシリコンのエッチングに寄生するので、反応容器内の残留酸素・水分量の大小は、シリコンのエッチング量を調べることによって把握できる。
【0050】
(実験2:プリベーク時の温度と積層欠陥密度の関係)
これら系A,Bで、多孔質シリコン層上に形成した非多孔質単結晶シリコン中に導入される積層欠陥密度の熱処理温度(プリベーク温度)依存性を示したのが、図4である。系Aにおける圧力は、600Torrで、系B−1,B−2における圧力は共に760Torrである。
【0051】
系B−1、系B−2は佐藤ら(N.Sato et.al.Jpn.J.Appl.Phys.35(1996)973)に報告されているデータである。積層欠陥密度は、プリベーク温度を上げるのに伴い、減少している。また、系B−2は成長初期のシリコンソースガスの供給量を少なくして、成長速度を著しく抑制したものである。系B−1比して、温度に依らず積層欠陥密度が約1/3に減少しているものの、いずれの場合にも欠陥密度は熱処理温度を高温にして初めて低減されている。
【0052】
なお、このようにプリベーク温度を高温にすることで、積層欠陥密度が低減される理由は次のとおりである。シリコンエッチング量が7nm程と多い系B−1、B−2では、昇温過程で、残留酸素・水分によりシリコン表面に酸化シリコンが一旦形成される。低温領域では、形成された酸化シリコンを除去しきれないので、欠陥密度が高い。ところが熱処理温度、時間を十分に確保すると、形成された酸化シリコンを除去する結果、結晶欠陥密度が減少し始めると考えられる。
【0053】
一方、系Aでは結晶欠陥密度は、1000℃を越える高温領域では104 /cm2 台で、欠陥密度は熱処理温度を上げても系B−1、B−2ほど顕著には減少しない。しかし、温度を下げていくと950℃付近に欠陥密度の極小値が存在し、欠陥密度は、950℃で102 /cm2 程度まで減少した。
【0054】
すなわち、シリコンエッチング量の多い系B−1、B−2と異なり、シリコンエッチング量が2nm以下と少ない系Aでは多孔質の構造変化・粗大化を伴わずに積層欠陥密度を低減できることが分かった。
【0055】
以上のことから、エピタキシャル成長装置に多孔質シリコン層を形成した基板を設置してから、シリコン原料ガスを反応容器内に導入して非多孔質単結晶層形成を開始するまでの間に対皇室シリコン層表面からエッチングされるシリコン量即ち、多孔質シリコン層の層厚減少量が非多孔質単結晶シリコン層への積層欠陥導入に重要な役割を果たしていることを知見するに至った。
【0056】
(実験3:ヘイズレベルと積層欠陥密度の関係)
一方、系Aにおいて、950℃付近で欠陥密度が極小値をとる理由を明らかにするために多孔質シリコン層を形成した基板をPrebakeの処理だけ施した後、反応容器より取り出して、市販の異物検査装置で多孔質シリコンが形成された表面ヘイズレベルを測定した。
【0057】
ヘイズレベルの測定には、シリコンウエハの鏡面上にある異物(Particle)の位置、大きさ等を検知する装置として、異物検査装置が複数の装置メーカーより市販されているので、それを用いて行なった。これらの異物検査装置では、レーザー光をシリコンウエハに入射し、その正反射光ではなく散乱光をモニターすることにより、異物を検知する。レーザー光、ないしは、シリコンウエハ側を移動させることにより、レーザー光の入射するウエハ内の位置を移動させ、おのおのの場所での散乱光強度を座標位置と対応させてモニターする。異物のある場所にレーザー光が差し掛かると、異物によってレーザーが散乱されるために、散乱光強度が高くなる。
【0058】
図16にはテンコール社サーフスキャン6420における観測概念図を示す。この散乱光強度を予めラテックス粒子などの標準粒子によって校正して異物の大きさに換算するのが、現在市販されている異物検査装置の多くで採用されている手法である。なお、50は入射光、51は反射光、52は散乱光、53はシリコンウエハ、54は観察領域である。
【0059】
シリコンウエハの表面はメカノケミカル研磨等によって鏡面化されているが、ミクロに観察すると完全平坦表面ではなく、微小なラフネスや長周期のうねりなど、さまざまな周期と振幅の凹凸成分から構成された表面であることは、原子間力顕微鏡や光干渉顕微鏡による観察で確認されている。これらの凹凸は異物検査装置で用いるレーザー光が入射した際に微小な散乱光成分を与えることになる。このような異物に比べると、局所的でなく広い領域にわたって観測される散乱光は、レーザー光の照射位置の移動に沿って見ると、異物のようにある場所で突然信号強度が変化するのではなく、連続して一定の強度を与えることになる。
【0060】
言うなれば、直流成分(DC成分)、あるいは、バックグラウンド成分と言える。すなわち、異物のような突発的な信号変化を取り除き、連続的な散乱光成分を観測すれば、それはまさに表面凹凸をモニターしていることになり、これをもって、ヘイズ(Haze)と呼称されている。
【0061】
ヘイズは一般的には正反射光強度、あるいは、入射光強度に対する散乱光強度の比(単位:ppm)として表示される。但し、各装置で入射光と散乱光の検出位置が異なるので絶対値の比較は困難である。
【0062】
また、散乱光強度は一般的にはせいぜい数十ppm程度までであるため、正反射光に対する比をとって、入射光に対する比をとっても、ほぼ同じである。
【0063】
市販されている異物検査装置はそれぞれレーザー光の入射角度、波長、散乱光のモニター位置等に各社工夫を凝らしている。
【0064】
しかし、入射光として用いるレーザー光は、表面で完全に反射するのではなく、シリコン中にも染み込むことは周知の事実であり、その染み込みの深さは波長に依存する。
【0065】
多孔質シリコンは、シリコンウエハの表面から微細な孔をエッチングによって数多く開けた構造をしているが、この孔の側壁は、染み込んできたレーザー光を散乱させることになる。
【0066】
すなわち、多孔質シリコン表面にレーザー光を入射させて、その散乱光を観察すれば、多孔質層の表面、及び、表面近傍の多孔質の構造を反映した情報を得ることができる。
【0067】
本発明者は、多孔質シリコン上の非多孔質単結晶シリコン層の形成において、非多孔質単結晶シリコン層形成直前すなわち、プリベークェ程直後のヘイズ値が、非多孔質単結晶シリコン層に導入される結晶欠陥密度と相関していることを見出した。
【0068】
多孔質シリコンをエピタキシャル成長装置に設置し、昇温し、シリコン原料ガスを導入し、非多孔質単結晶シリコン層を形成する直前の熱処理までを行い、装置から取り出した多孔質シリコンのヘイズ値を一定範囲に制御することによって、非多孔質単結晶シリコン層の結晶欠陥密度を抑制できることを見出したのである。
【0069】
多孔質シリコンは、HF−C25 OH−H2 O混合溶液中で陽極化成して作製し、その後、400℃、酸素雰囲気中で1時間熱処理した(Preoxidation)。その後、1.25%HF水溶液に25秒ほど漬け、水洗いして、乾燥した後、エピタキシャル成長装置に設置した。
【0070】
950℃、600Torrでの熱処理のみを施して、エピタキシャル成長装置より取り出した後、異物検査装置でヘイズ値を測定した結果を図5に示した。
【0071】
ヘイズ値は、Preoxidation後におよそ6であったが、HF溶液による処理により、9程度に上昇した。
【0072】
エピタキシャル成長装置に設置し、上記した熱処理の時間が増すにしたがって、ヘイズ値は上昇を始める。2秒で11.9、30秒で12.7、60秒で16.3、120秒で25.7と上昇した。市販のシリコンウエハ表面のヘイズ値は、0.18であった。
【0073】
また、図6には、非多孔質単結晶層の成長前に行われる熱処理温度と時間を様々に変化させて求めたヘイズ値と積層欠陥密度の相関関係を示した。
【0074】
ヘイズ値の上昇が3.5倍以内、より好ましくは2倍以内であれば、積層欠陥密度が低く維持されることがわかった。熱処理によるヘイズ値の増加は多孔質の構造変化に伴うと考えられる。
【0075】
図7は、エピタキシャル成長装置に設置する直前(a)、および、950℃、2秒(b)、1100℃、2秒(c)の処理を施した後、エピタキシャル成長装置より取り出し、高分解能走査型電子顕微鏡で多孔質シリコン層の表面を観察した写真である。それぞれのヘイズ値は、9、11.9、45であった。なお図8は、図7(a)(b)(c)をそれぞれ模式的に示したものである。
【0076】
図7(a)はエピタキシャル成長装置に設置する直前の多孔質シリコン表面のSEM像の模式図である。直径10nm程度の孔が1011/cm2 の密度で形成されている。950℃、600Torrで、2秒の熱処理を施したのみの多孔質シリコン表面のSEM像の模式図を図7(b)に示す。孔密度は多少減少しているが、依然1010/cm2 台である。
【0077】
一方、1100℃で2秒処理した多孔質表面を観察すると、孔密度は著しく減少し、およそ106 /cm2 に減少していた。残留した孔は、図7(c)に示すように孔径が大きくなっており、直径40nmに及ぶものもあった。孔径の増大は、残留酸素・水分による酸化、エッチングや、表面拡散による拡大、隣接する孔の合体などで生じる。図より明らかな通り、熱処理強度が上がると共に全般的には多孔質の表面では孔密度が減少し、平滑な表面が形成されている。しかしながら、残留孔の孔径は増大しており、表面、表面近傍でのシリコン原子の移動が激しいことを示している。断面観察を行なうと、表面直下の多孔質構造は熱処理強度の増大と共に孔径の拡大等の構造変化が進んでいることが確認された。すなわち、ヘイズ値が図7(a)→(b)→(c)の順に増大していることは、これら多孔質層表面の構造変化、多孔質層中の構造変化の影響を反映していることを意味する。なお、積層欠陥密度は、図7(b)の場合は、1×102 個/cm2 、図7(c)の場合は、2×104個/cm2 であった。
【0078】
シリコンのエッチング量が2nm、より好ましくは1nm以下に抑制できるエピタシャル成長装置に多孔質シリコンを有する基板を導入してから、シリコン原料ガスを導入するまでの熱処理による多孔質層のヘイズ値の変化を4倍以内、より好ましくは2倍以内に抑制することにより、結晶欠陥密度の従来の103 〜104 /cm2 台から、1×102 /cm2 程度まで減少できることが明らかになった。但し、前述した通り、シリコンのエッチング量が多い系では、酸化量が多いために低温で低欠陥化することは困難である。単結晶シリコンのエッチング量を多い成長系では昇温時の酸化量が多いためである。
【0079】
なお、特開平9−100197号公報に示されるように成長初期に微量のシリコン原子ないし、シリコンソースガスの供給を行えば、本発明による結晶欠陥の低減をさらに効果的にする。この成長初期に微量のシリコン原子等の供給を行う工程をプリインジェクション工程ということもある。
【0080】
本発明の例として水素43(1/min)、圧力600Torrの雰囲気下で、摂氏750℃程度に保たれたカーボンCVD−SiCでコートしたサセプタ上に予め多孔質を形成した基板をロードロックを介して設置し、およそ100℃/分程度の昇温速度で950℃まで昇温して、2秒保持したのち、SiH4 を極微量として濃度28ppm程を一定時間添加した後、シリコンソースガスの流量を増やして、所望の膜厚の非多孔質単結晶シリコン膜を形成した。図9には、SiH4 添加処理時間に対する積層欠陥密度依存性を示した。SiH4 微量添加処理を行うことにより、結晶欠陥密度が低減されることが明らかである。
【0081】
微量シリコン供給による初期成長を行った後、基板をエピタキシャル成長装置より取り出し、ヘイズ値を測定した結果を図10に示す。図より明らかなように、微量シリコンの供給処理により、ヘイズ値は一旦上昇したのち、再び減少を始める。図10に示すように少なくともヘイズ値が減少に傾向を示すまで微量シリコンの供給工程を実施することが効果的である。
【0082】
多孔質シリコンは、HF−C25 OH−H2 O混合液中で陽極化成して作製し、その後、400℃、酸素雰囲気中で1時間熱処理した。その後、1.25%HF水溶液に25秒ほど漬け、水洗して、乾燥した後、エピタキシャル成長装置に設置した。
【0083】
また、微量の膜の構成原子、ないし、原料ガスの供給は酸化物の除去を促進し、酸化物に起因する欠陥発生を抑制する効果もある。
【0084】
すなわち本発明によれば、単結晶シリコンのエッチング量が極めて小さいエピ前熱処理を、多孔質シリコンの表面のヘイズ値の減少が4倍、より好ましくは2倍以内に抑制される範囲で実施することにより、多孔質層上に形成された非多孔質単結晶シリコン層の積層欠陥密度を1000/cm2 未満、さらには、100/cm2 にできることがあきらかとなった。さらに、非多孔質単結晶シリコンの成長初期のシリコン原料の成長表面への供給量を微量にすることで、本発明の欠陥低減をさらに向上させることができる。又、本発明は、市販の異物検査装置等のレーザー光を基板表面に入射し、散乱光強度を観測する装置において、散乱光のDCレベルから導出するヘイズ値を管理する方法であり、非破壊で簡便にプロセス条件を抑制して、結晶欠陥密度を1000/cm2 以下、より好ましくは100/cm2 以下に抑制する。
【0085】
さらに、本発明では、従来の多孔質上のエピタキシャル成長工程に比べ、熱処理温度、特に孔の封止前ての熱処理温度を図4に示されるが如く低温化できるため、多孔質層中の孔の凝集・拡大、分断等を抑制できるため、ELTRAN法(登録商標)での後の工程での多孔質層の選択エッチングでの選択性を劣化させない。すなわち、多孔質層除去において、残さを発生させないで、非多孔質単結晶シリコン層の結晶性を向上できる。また、FIPOS法においては、多孔質層の選択酸化の酸化速度を劣化せしめない。
【0086】
また本発明者は、積層欠陥密度と、プリベーク時の圧力の相関を調べるべく、下記の実験を行った。
【0087】
試料として、基板(100)Siにボロンドープされた非抵抗0.013−0.017Ωcmのウエハを用意した。陽極化成条件は、49%HFとエタノールを1:1に混合した溶液中で、およそ8mA/cm2 の電流を11分流して多孔質層を形成した。およそ20%の多孔度であった。
【0088】
1.25%HF溶液に25sec漬けたのち、水洗して、乾燥させた。その後、400℃、1時間、酸素雰囲気中で熱処理を行い、1.25%HF溶液に、酸化シリコン膜であれば、5nm程度エッチングされる時間だけ漬けた後、水洗し、そして、乾燥させた。
【0089】
次に、多孔質層上へのエピタキシャル成長であるが、エピ装置は、ロードロック室が付設された反応容器内で行った。水素雰囲気中80Torr、600Torrで120秒熱処理を行った。その後、濃度28ppmになるように水素のキャリアガスにSiH4 を添加して120秒間処理した。その後、SiH4 の添加は終了し、圧力を80Torrに温度を900℃に下げて2μmのエピ層を形成。そして各熱処理温度での積層欠陥温度を調べた。
【0090】
その結果を図11に示す。これにより、圧力は多孔質シリコン表面でのシリコン原子の表面拡散・孔構造の変質に重大な影響を与え、圧力が低ければ低いほど積層欠陥密度の減少の発現は低温化されることが分かった。
【0091】
図12には、図11と同様にして作製した試料を水素雰囲気中、600Torr、950℃の熱処理での積層欠陥密度の成長前熱処理時間依存性を示した。熱処理は60秒までに対して、120秒を越えるとおよそ2倍に増大することが分かった。
【0092】
【発明の実施の形態】
(実施態様例1)
図13(a)は、多孔質層のプリベーク処理を適切に行うための条件を決定するシステムの一例である。
【0093】
これは、実験3からも明らかなように、プリベーク前後でのヘイズ値の変化が積層欠陥密度と相関があることに基づくものである。
【0094】
多孔質層形成後、プリベーク処理直前の多孔質層のヘイズ値を測定する(測定されたヘイズ値をd0 とする)。
【0095】
そして、プリベーク処理を行い、更にヘイズ値を測定する(測定されたヘイズ値をd1 とする)。
【0096】
その後、ヘイズ値の変化を評価する。具体的には
【0097】
【外1】

Figure 0003748500
を求め、1≦r≦3.5であれば、同じプリベーク処理条件でエピタキシャル成長等の以降の工程を行う。
【0098】
逆にr>3.5であれば、プリベーク処理条件の変更を行う。そして、1≦r≦3.5を満足するように条件を定める。
【0099】
具体的には、温度や時間の変更、あるいはプリベーク処理を行う装置内の水分、酸素の低減を図る。
【0100】
なお、投入されたすべてのシリコンウエハについて上記評価を行う必要はなく、数枚〜数百枚に1枚あるいは数枚を評価すれば十分である。特に、新しい装置において、プロセス条件を決定するための条件出し試験、あるいは装置の改造、修理、反応容器のクリーニング等を行ったあとの、テストの際に行うことが有効である。又、得られる半導体基板の品質に異常をきたした場合にも、本願の評価方法を行えば、原因の抽出を迅速に行うことができる。
【0101】
また、プリベーク処理後のヘイズ値d1 自体で評価が可能である場合は、d0 の測定は省略することもできる。図13(b)は、決定された条件を基に、プリベーク処理を行い、所望の半導体基板を作製するための一連のシステムである。もちろん、エピタキシャル成長工程前に、ヘイズ値を測定して適切にプリベークが成されているか、確認することも好ましいものである。
【0102】
(実施態様例2)
図14は本発明による半導体基板の形成方法を示す。
【0103】
図14(a)に示すように、少なくとも表面側に多孔質シリコン層90を有する基板1を用意する。2は孔、3は孔壁を示している。
【0104】
次に、必要に応じて図14(b)に示すように、前記多孔質単結晶シリコン層の孔壁3に薄い保護膜4を形成する(プリ酸化工程)。
【0105】
このプリ酸化のために多孔質シリコン層表面には酸化シリコン膜などの保護被膜5が形成されているので、これを低濃度のHF水溶液に浸けて多孔質シリコン表面の保護膜を除去する(HFディップ工程)。図14(c)にこの断面を模式的に示す。
【0106】
次に、多孔質単結晶シリコンが形成された基体をエピタキシャル成長装置に設置し、図14の(d)に示すように熱処理(プリベーク)を行った後、図14Nの(e)に示すように非多孔質単結晶層6を形成する。
【0107】
プリベーク時の条件は、多孔質シリコン層のエッチング量、即ち多孔質シリコン層の層厚(t)の減少量が2nm以下、より好ましくは1nm以下となる条件(条件1)と、多孔質シリコン層のヘイズ値の変化率rが3.5以内、より好ましくは2.0以内になる条件(条件2)と、を満たすことが条件である。
【0108】
エッチング量teは、熱処理開始前の多孔質シリコン層の層厚をt0 、熱処理終了時の多孔質シリコン層の層厚をt1 とした時に、te=t0 −t1 で表すことができる。ヘイズ値の変化率rは熱処理開始眼のヘイズ値をd0 ,熱処理終了後のヘイズ値をd1 とした時に、
【0109】
【外2】
Figure 0003748500
で表わすことができる。
【0110】
そして、この熱処理時の雰囲気はシリコン系ガスを含まない雰囲気、より好ましくは水素を含む還元性雰囲気にするとよい。また、不活性ガス雰囲気、超高真空中であってもよい。
【0111】
以下のこの熱処理について述べる。
【0112】
▲1▼装置への設置
多孔質シリコン層を表面に形成した基体を残量酸素分、水分量が抑制された反応容器に設置する(図示せず)。本発明に用いられる熱処理としては、昇温工程、自然酸化膜除去工程の2工程に機能的に分けることもできる。なお、ここでいう自然酸化膜とは、HFディップ工程以降に意図せずに多孔質シリコン層の表面に形成される酸化シリコン膜、およびHFディップ工程で除去しきれなかった酸化シリコン膜のことである。
【0113】
エッチング量の抑制は昇温工程、自然酸化膜除去工程中の反応容器内の残留酸素分、水分量を抑制することにより実現される。前記反応容器内の残留酸素分、水分量の抑制は、供給ガス系に含有する酸素分、水分を抑制するだけでなく、反応容器への基体の搬入・搬出をロードロック室を通して行うことにより、反応容器内面が大気と直接接触することを防止することが有効である。
【0114】
また、必要に応じてキャリアガスである水素の純化装置(Purifier)を装置近くに設置することも有効である。また、配管系、容器の気密性を高くすることも望ましい。これらを制御すると、前記したように昇温工程、自然酸化膜の除去工程の2工程における多孔質シリコン層のエッチング量が少なくとも2nm以下、より好ましくは1nm以下に維持できる。しかし、エッチング量を抑制する方法は、上記した方法に必ずしも限定されない。
【0115】
▲2▼昇温工程
多孔質シリコン層を表面に形成した基体を反応容器に設置後、基体を昇温させる。反応容器が石英材等の光透過性材料で構成されている場合には、反応容器外からの赤外ランプ照射で加熱する。その他、ランプ加熱の他にも高周波による誘導加熱、抵抗加熱等がある。反応容器材は石英材やSiCの他、ステンレス鋼などがある。昇温速度は速ければ速いほど残留水分、酸素分による酸化・エッチングが少なくて良い。好ましくは、1℃/sec以上、さらに好ましくは5℃/sec以上である。
【0116】
反応容器への基体の搬入をロードロック室を介さずに行う場合には、基体搬入後、十分にパージを行い、容器内に混入した酸素分、水分を除去してから基体を加熱して昇温させる。いずれにしても、超高真空又は非酸化性雰囲気で行うことが望まれる。
【0117】
▲3▼自然酸化膜除去工程
昇温工程に引き続き自然酸化膜除去工程を行う。つまり、水素中、又は水素を含む還元性雰囲気中あるいは、超高真空中での熱処理により、自然酸化膜を除去するこの時、多孔質シリコン層の表面のヘイズ値の変化率rが、3.5以内、より好ましくは2以内となるような条件で行う。なお、rは1以上である。
【0118】
上記条件を実現するためには、熱処理時の到達温度は、好ましくは850℃以上1000℃以下、より好適には870℃以上970℃以下である。
【0119】
また、圧力は特に限定されるものではないが、好ましくは大気圧以下であり、700Torr以下、更には100Torr以下で行うことも好ましい。
【0120】
昇温工程を除く熱処理時間は、100秒以内、より好ましくは60秒以内、さらに好ましくは10秒以内とし、その後は直ちに降温させるとよい。
【0121】
自然酸化膜は、SiO2 +Si−>2SiO↑
という反応により気相中に脱離するため、自然酸化膜厚が厚いと多孔質シリコン層表面、表面近傍のシリコンがエッチングされることになる。
【0122】
自然酸化膜は、HFディップ後の水洗中、水洗・乾燥後、エピタキシャル成長装置へ設置するまでの大気中、エピタキシャル成長装置への設置中、および、昇温工程中に形成される。特に昇温工程中に残留水分・酸素分が残留していると、温度の上昇とあいまって、シリコンを酸化して酸化シリコン膜を形成してしまう。結果、形成された酸化シリコンは近接するシリコンと反応して、シリコンをエッチングすることになってしまう。
【0123】
また、昇温中に形成される酸化シリコン膜が厚ければ厚いほど、形成された酸化シリコン膜を完全に除去するのに必要な熱処理時間が長くなる。かかる熱処理時間が長くなると、後に述べるように多孔質シリコン表面の構造変化が進行してしまうので、好ましくない。
【0124】
本発明では、エッチング量が少なくとも2nm以下、より好ましくは1nm以下でなければならないが、シリコンエッチング量が少ないということは、装置内でのシリコンの酸化の程度が小さいということに他ならない。
【0125】
この熱処理を継続すると、多孔質シリコンの表面では微小な荒れを平滑化し表面エネルギーを下げるべく表面原子のマイグレーションが生じ、表面の孔の大半が消失する。
【0126】
ロードロック式のCVDエピタキシャル成長装置において、カーボンをCVD−SiCでコートしたサセプタを反応容器内で予め750度に昇温しておき、多孔質シリコンを形成したシリコンウエハをロードロックを介して設置する。その後、600Torr、水素43(1/min)、の条件下で、摂氏1100度まで100度/分で昇温し、1100度で2秒保持したのち、100度/分で750度まで降温し、ロードロックを介し、ウエハを取り出した場合、多孔質表面孔は、熱処理前には平均直径がおよそ10nmの孔が1011/cm2 だったのが、孔密度は106 /cm2 に減少すると共に、孔径は20〜40nmに拡大していた。この条件で上に記した熱処理に引き続いて、シリコンソースガスを水素ガスに添加して単結晶シリコン層をエピタキシャル成長すれば、積層欠陥密度は104 /cm2 となった。一方、1100度での熱処理を950度に代えて、保持時間は2秒で等しくした場合には、熱処理後の孔密度の減少はせいぜい1桁であった。また、孔径は殆ど増大していなかった。この熱処理条件の後、シリコンソースガスを水素ガスに添加して単結晶シリコン層をエピタキシャル成長すれば、積層欠陥密度は102 /cm2 と1100度の場合と比べて、1/100に激減した。
【0127】
多孔質シリコンと非多孔質単結晶シリコン基板の間に作用する応力により、多孔質シリコンの表面の結晶格子は歪んでいるが、孔密度が減少した場合、この歪みが残留孔の周縁部に集中するため、残留孔部分に結晶欠陥が導入されやすくなると考えられる。
【0128】
本方法では、残留孔が自然酸化膜除去のため熱処理で減少する眼に多孔質シリコン表面へシリコンソースガスの供給を開始することにより、孔密度の減少による残留孔部分への歪みの集中を防ぎ、結晶欠陥の導入を抑制するものである。本方法は、シリコンのエッチング量が極めて小さい、昇温自然酸化膜除去によって初めて実現可能になった。
【0129】
特に本発明は、市販の異物検査装置等のレーザー光を基板表面に入射し、散乱光強度を観測する装置において、散乱光のDCレベルから導入するヘイズ値を管理する方法であり、非破壊で簡便にプロセス条件を制御し、結晶欠陥密度を1000/cm2 以下、より好ましくは100/cm2 以下に抑制する。
【0130】
自然酸化膜の除去は、シリコンのエッチング量が上で述べた範囲に抑制されるならば、HFガスを用いるなど、他の方法を採用したり、援用してもよい。
【0131】
本発明における昇温工程、自然酸化膜除去工程は、シリコンエッチングが抑制されること、および多孔質表面に熱処理によって被膜が形成されなければよいのであって、特に限定されないが、超高真空中、ないしは、水素雰囲気中で行うことが望ましい。
【0132】
▲4▼ヘイズ値の測定
ヘイズ値の測定は、レーザー光等の平行光を基板表面に入射した際の散乱光強度を測定することによって得られる。市販のレーザー光を用いた異物検査装置を用いれば、簡便に測定可能である。レーザー光の波長は、例えばArレーザーの488nmなど、短波長が好適に用いられる。短波長ほど、光の多孔質層への侵入長が短いため、エピタキシャル成長層の結晶性に直接影響を及ぼす多孔質層の表面近傍の構造変化を鋭敏に検知できる。また、入射角は大きい方が、すなわち、基板表面に対して浅い角度で入射する方が多孔質層内への侵入長を短くし、表面近傍の構造変化に対して敏感な測定が可能となる。
【0133】
▲5▼エピタキシャル成長
熱処理工程(プリベーフ)を経た後には、ソースガスを供給し、多孔質の孔を塞ぎ、非多孔質単結晶膜を所望の膜厚まで形成する。こうして多孔質シリコン上に積層欠陥密度の低減した非多孔質単結晶層を形成することができる。
【0134】
非多孔質単結晶としては、ホモエピタキシャル成長させたシリコンであっても、ヘテロエピタキャシル成長させたSiGe、SiC、GaAs、InP、AlGaAs、GaN等であってもよい。
【0135】
(多孔質シリコン層)
本発明に用いられる多孔質Siは、1964年にUhlirらが発見して以来現在に至るまで研究されている多孔質シリコンと本質的には同一であり、陽極化成(Anodization)などの方法により作製されるが、多孔質Siであるかぎり、基板の不純物、面方位、作成方法等に限定されない。
【0136】
陽極化成により多孔質シリコンを形成する場合、化成液はフッ酸を主たる成分とする水溶性である。陽極化成中には、電極やシリコン表面に気体が付着し、多孔質層を不均一にしやすいので、一般にはエタノールなどのアルコールを添加して接触角(Contact Angle)を大きくして、付着した気泡の脱離を加速し(Enhance)、化成が均一に起こるようにしている。もちろん、アルコールを添加せずとも多孔質は形成される。本発明による多孔質シリコンをFIPOS法に用いる場合には、多孔質は56%付近が、貼り合わせ法に用いる場合には低い多孔質(概ね50%以下、より好ましくは30%以下)が好適である。しかし、これに限定されるものではない。
【0137】
多孔質シリコンは以上のようにエッチングにより形成されるため、その表面には多孔質の内部まで貫通する孔以外にも表面からField Emissiontype Scanning Electron Microscope(FESEM)で観察可能な程度に浅い凹凸といった方がより浅い孔も存在する。多孔質シリコンの多孔度(Prosity(%))は低い方が多孔質上の積層欠陥密度は低減される。低多孔質度の多孔質シリコンは例えば、陽極化成時のHF濃度を高める、電流密度を下げる、温度を上げるなどの方法によって、実現される。
【0138】
また、多孔質単結晶シリコン層は、Si基板の主表面層のみを多孔質化しても、Si基板の全部を多孔質化してもよい。
【0139】
なお、多孔質層の形成は、非多孔質単結晶シリコンに、He、Ne、Arのような希ガスイオン又は水素イオンを打込んで、必要に応じて熱処理することにより、微小気泡(マイクロバブル)を生成させ、多孔質化することもできる。こと点に関しては特開平5−211128号公報に開示がある。
【0140】
(プリ酸化)
本発明においては、必要に応じて多孔質シリコン層の孔壁に保護膜を形成してもよい。
【0141】
多孔質シリコンの隣接する孔の間の壁の厚みは数nm〜数十nmと非常に薄いため、エピタキシャル成長時、エピタキシャル成長層の熱酸化時、あるいは、貼り合わせ後の熱処理によっては多孔質層中の隣接する孔が凝集・粗大化し、さらには分断してしまうことがある。この多孔質層の孔の凝集(agglomaration)・粗大化(Coarsening)現象は、多孔質シリコンの選択エッチング速度の低下と選択比の劣化を招いてしまうことがある。FIPOSにおいては孔壁厚みの増加と孔の分断のために多孔質層の酸化の進行が妨げられ、多孔質層を完全に酸化することが困難になってしまう。そこで、多孔質層形成後に熱酸化等の方法により、あらかじめ孔壁に薄い保護膜を形成して、孔の凝集・粗大化を抑制することができる。保護膜の形成に際しては、特に酸化による場合は孔壁内部に単結晶シリコンの領域を残すことが必須である。従って、膜厚は数nmあれば十分である。
【0142】
一方で、貼り合わせ法によりSOI基板を作製する場合に、貼り合わせ後の熱処理などの後工程の低温化が十分になされ、多孔質の構造変化が抑制されれば、この工程は省略することも可能である。
【0143】
(HFディップ)
上記プリ酸化された多孔質シリコン層は、HFディップ処理することもできる。
【0144】
HFディップに関して、佐藤ら(N.Sato,K.Sakaguchi,K.yamagata,Y.Fujiyama,and T.Yonehara,Proc.of the Seventh Int.Symp.on Silicon Mater.Sci.and Tech.,Semiconductor Silicon,(Pennington,the Electrochem.Soc.Inc.,1994),p.443)によればHFディップの時間を長くすることにより、積層欠陥を103 /cm2 程度まで低減できると報告しているが、既述の通り長時間HFディップをした場合、貼り合わせ後のアニール温度によっては多孔質層の構造粗大化が進行し、多孔質シリコンのエッチングに際し、エッチングされない部分(エッチング残渣)が生じることがあるため、HFディップ時間は適当な範囲に制御する必要することが望ましい。
【0145】
HFディップの後、水洗・乾燥を行い、多孔質の孔中の残留HF濃度を低下させることができる。
【0146】
(微量の原料供給による孔の閉塞)
なお、本発明においては、多孔質の孔の閉塞させる成長初期過程にて、SiH2 Cl2 ,SiH4 ,SiHCl3 ,SiCl4 や、Si2 6 等のシリコン系ソースガスを用いて、20nm./min以下、より好ましくは10nm./min.以下、さらに好ましくは2nm/min.以下の成長速度になるようソースガスの流量を設定するとよい。なお、常温・常圧で気体であるシランが供給量の制御性の点からより好ましい。これにより結晶欠陥がさらに低減される。MBE法のようにSiを固体ソースから供給し、基板温度が800度以下と低い場合には成長速度は、0.1nm/min以下であることが望ましい。微量の原料供給工程(「プリインジェクション」と呼ぶこともある)により、孔の閉塞が完了した後は、成長速度は特に制約されない。
【0147】
通常のバルクシリコン上の成長と同条件であっても構わない。あるいは、上記した微量の原料供給工程と同じ成長速度で引き続き成長をつづけてもよいし、ガス種等を変更しても何等本発明の要件を阻害するものではない。また、微量の原料供給工程とは連続した工程であっても、一旦、原料の供給を中断したのち、改めて所望の原料を供給して成長としても構わない。なお、N.Sato et.al.Jpn.J.Appl.Phys.35(1996)973.では、微量の成長初期のSiH2 Cl2 の供給量を減じることにより、従来法に比して積層欠陥密度が低減されることが報告された。しかしながら、かかる方法では積層欠陥密度は、エピ前プリベーク温度を高くすることで低減される傾向に変わりはなく、上記したような多孔質層の構造粗大化に伴うエッチング残さが発生することがあった。本発明では、成長前の熱処理を従来よりも低温の950℃程度で行うことができるので、多孔質の構造の粗大化は生じにくい。
【0148】
本発明の形態によれば、シリコンのエッチング量の少ない装置に多孔質シリコン層を有する基体を設置して、成長前の熱処理時間を制御することにより、従来法のように高温の熱処理を避けることもできる。こうすれば、結晶欠陥密度を低減でき、多孔質の構造粗大化と孔の分断抑止し得る。
【0149】
また、成長温度・圧力・ガス流量等は上記成長初期工程とは独立に制御できるので、処理温度を低温にして、多孔質シリコンの構造粗大化、あるいは、多孔質シリコンからのボロン、燐等の不純物のオートドーピング、固相拡散を抑制したり、成長温度を上げ、シリコンソースガスの流量を増やすことで成長速度を高めて、厚い非多孔質単結晶シリコン膜を短時間で形成してもよい。
【0150】
また、成長する非多孔質単結晶層は、前述したとおりシリコンに限られるものではなく、SiGe,SiC等のIV族系のヘテロエピタキシー材料、あるいは、GaAsに代表される化合物半導体であっても構わない。又、前記微量原料供給工程ではシリコン系ガスを用い、その後は別のガスを用いてヘテロエピタキシャル成長させても構わない。
【0151】
なお、多孔質層表面の孔の封止工程(プリベーク、プリインジェクション)後、所望の膜の成長前に、プリベーク、プリインジェクションよりも高い温度で、かつ半導体膜の原料ガスを含まない雰囲気(たとえば水素を含む還元性雰囲気)で熱処理することも好ましい。当該熱処理を中間ベーク(inter baking)という。
【0152】
(実施態様例3)
多孔質単結晶シリコン層上に低欠陥密度の非多孔質単結晶シリコン層を有する半導体基板を応用した例について説明する。
【0153】
単結晶Si基板の少なくとも一表面側の部分を多孔質化し多孔質シリコン層11を有する基体10を作製する(図15(a))。
【0154】
実施態様例2に示したのと同様の方法、すなわちシリコンのエッチング量が2nm以下で、(より好ましくは1nm以下)、かつ多孔質シリコンのヘイズ値の変化率rが、3.5以内、(より好ましくは、2以内)となる熱処理(プリベーク)を行う(図15(b))。その後で該多孔質単結晶シリコン層上に非多孔質単結晶層12を形成する(図15(c))。
【0155】
なお、熱処理に先だって、前述のプリ酸化、HFディップを行ってもよい。更には、熱処理後に微量の原料供給(プリインジェクション)による孔の閉塞を行うことも好ましい。
【0156】
次に貼り合わせ法によりSOI基板を作製するが、まず非多孔質単結晶シリコン、第2の基体の少なくともどちらか一方の主面に絶縁層を形成し、その後、両主面を貼り合わせ、多層構造体を形成する(図15(d))。必要に応じて貼り合わせ強度を高めるための熱処理を行った後、多孔質シリコンの選択エッチング等による除去の工程(図15(e))を経て、多孔質シリコン上のエピタキシャル成長層を第2の基板上に移設すれば、SOI構造を得ることができる。
【0157】
なお、貼り合わせ強度が、後の工程に耐えるのに十分であれば、後工程に進む。研削等の機械的方法、エッチング等の化学的方法などにより、多孔質層が形成された基板の裏面側を除去して多孔質層を表出する。あるいは、多孔質層を境に、多層構造体から基体10のうちの多孔質化されていない15の部分を剥離(分離)することによって、多孔質層を表出させてもよい。剥離は、くさび等を端面から挿入することや、ウォータージェットのように流体を噴きつけることにより、機械的に剥離させてもよいし、超音波や、熱応力等を利用してもよい。予め多孔質層中に機械的強度の弱い高多孔度層を部分的に形成しておくことにより、分離しやすくしておくとよい。例えば、多孔質層11の構成を、非多孔質単結晶層12側から、第1の多孔質層(10%〜30%の多孔度)、その下に、第2の多孔質層(30%〜70%の多孔度)とするものである。
【0158】
(多孔質の選択エッチング)
非多孔質単結晶層12上に残留した多孔質層は、選択エッチングにより除去する。選択エッチング液はHF、H2 2 、H2 2 の混合液が好適に用いられる。反応中に生成される気泡を除去するために、混合液中にエチルアルコール、イソプロピルアルコールや界面活性剤を添加してもよい。
【0159】
本方法では、多孔質層の構造変化・粗大化、孔の分断が抑制されているので、選択エッチングにおいて選択性の劣化が少ない。
【0160】
なお、多孔質シリコン上に形成した非多孔質単結晶シリコン層を貼り合わせる第2の基体は特に限定されない。シリコンウエハ、熱酸化シリコン膜を形成したシリコンウエハ、石英ウエハなどの透明基板、サファイアウエハなど、前記非多孔質単結晶シリコン表面、ないしは、その上に形成した膜の表面と密着できる平滑さを有していればよい。絶縁性基体を貼り合わせる場合には、絶縁層14は省ける。
【0161】
また、非多孔質単結晶シリコン層はそのまま第2の基体を貼り合わせても貼り合わせる前に膜を形成してもよい。形成する膜は、酸化シリコン、窒化シリコンの他、SiGe,SiC,III−V化合物、II−VI化合物などの単結晶膜を形成したものであってもよいし、これらの複数の膜を積層したものであってもよい。
【0162】
貼り合わせ前には貼り合わせ面を清浄に洗浄することが好適である。洗浄は通常の半導体プロセスで用いられる先行工程を採用してもよい。また、貼り合わせ前に窒素プラズマ等を照射すると接着強度を高めることができる。
【0163】
貼り合わせ後には、熱処理を行って貼り合わせ強度を高めることが望ましい。
【0164】
(水素アニール)
多孔質シリコンの除去後の表面多孔質シリコンの孔と側壁の周期を反映した凹凸が存在する。なぜなら、この表面は非多孔質単結晶シリコンと多孔質シリコンの界面に相当するが、そもそもどちらも単結晶シリコンであり、孔があるかどうかだけの差であるためである。この表面凹凸は研磨等によっても除去できるが、水素雰囲気中で熱処理を行うと、非多孔質単結晶シリコンの膜厚を殆ど減じることなく凹凸を除去できる。水素アニールは、大気圧下、高圧下、微減圧下いずれでも行うことができる。
【0165】
また、アニール温度は、800℃からシリコンの融点以下、より好ましくは、900℃から1350℃以下である。
【0166】
(ボロン濃度制御)
一方、多孔質シリコン上のエピタキシャル層の結晶性は一般にP+ Si(- 0.01Ω・cm boron doped)を多孔質化した方が、P- Si(- 0.01Ω・cm boron doped)を化成した場合と比べはるかに良好であるが、高濃度Boronがエピタキシャル成長時にオートドーピング、あるいは、固相拡散してエピタキシャルシリコン層に拡散してしまう場合がある。エピタキシャルシリコン層に拡散したボロンは多孔質シリコン除去後にも残留してしまい、SOIにおける活性層の不純物濃度の抑制に支障を来す場合がある。これを解決するために佐藤ら(n.Sato,and T.Yonehara,Appl.Phys.Lett.65(1994)p.1924)でSOI構造が完成した基板を水素中でアニールすることで、ボロンの拡散速度の低いSOI層表面の自然酸化膜を除去し、SOI層中のボロンを外部に拡散することで、低濃度化を実現している。しかしながら、エピタキシャルシリコン層への過度のボロン拡散は、埋め込み酸化膜中へのボロン取り込みを招き、水素アニールの長時間化を招き、プロセスコストの増大、あるいは、埋め込み酸化膜中のボロン濃度の制御性の悪化などの問題が生じることがあった。この課題の解決には、エピタキシャルシリコン層の形成条件を低温化するなどしてボロンの拡散を抑制することが有効である。本発明によれば、エピタキシャルシリコン層の形成は、孔の閉塞とは独立に条件を設定できるので、適切な条件を設定可能である。
【0167】
(FIPOS法)
マタハ、貼り合わせ工程を行わずFIPOS法により、エピタキシャル成長層を部分的に除去した後、酸化処理により多孔質シリコンを選択的に酸化して、SOI構造を形成しても良い。本方法では、多孔質層の構造変化・粗大化、孔の分断が抑制されているので、選択酸化においても選択性の劣化が少ない。
【0168】
(ヘテロエピタキシー)
あるいは、GaAs等の化合物半導体、SiC,SiGe等のIV族系のヘテロエピを実施しても良い。ヘテロエピタキシーにおいては、多孔質シリコンが応力の緩衝材料として作用し、格子不整合による応力を緩和することができる上に、非多孔質単結晶シリコン層の結晶欠陥密度が低減できているので、ヘテロエピタキシャル成長層の欠陥密度も低減される。本方法では、多孔質層の構造変化・粗大化、孔の分断が抑制されているので、応力の緩衝効果の劣化が少ない。
【0169】
(その他の応用)
多孔質シリコンにはゲッタリング作用があるため、上記したようなSOI構造を形成せずとも、本発明により作製した非多孔質単結晶シリコン層にMOSトランジスタ、バイポーラトランジスタ等を直接形成すれば、工程中の金属汚染等の不純物汚染耐性の高い基板となる。
【0170】
本方法では、従来法に比べ、熱処理温度、特に孔の封止前の熱処理温度を低温化できるため、多孔質層中の孔の凝集・拡大、分断等を抑制できるため、貼り合わせ法での後の工程での多孔質層の選択エッチングでの選択性を劣化させない。すなわち、多孔質層除去において、残さを発生させないで、非多孔質単結晶シリコン層の結晶性を向上できる。また、FIPOS法においては、多孔質層の選択酸化の酸化速度を劣化せしめない。
【0171】
以下、本発明の具体的な実施例について説明する。
【0172】
(実施例1−950℃,600Torr Prebake(2s,120s),Preinjection,Epi−2μm)
1)p型不純物としてボロンを添加し、比抵抗0.015Ω・cm+ - 0.005Ω・cmにしたCZ6インチ(100)p+ シリコンウエハを用意した。
【0173】
2)49%HFとエチルアルコールを2:1の比で混合した溶液中で前記シリコンウエハを陽極に、6インチ径の白金板を陰極としてシリコンウエハと向かい合うように設置した。前記シリコンウエハの裏面側は同じ溶液を介して、別のp+ Siウエハの表面側と対向させ、もっとも端のウエハは6インチ径の白金板を対向させた。ウエハとウエハの間の溶液はウエハで隔てられ、通電しないように配置した。前記シリコンウエハと白金の間に電流密度10mA/cm2 で12分間電流を流して前期シリコンウエハを陽極化成し(Anodize)、表面に12μm厚の多孔質シリコンを形成した。
【0174】
3)つづいて、多孔質シリコン層を形成したウエハに400度の酸素雰囲気中で1時間酸化処理を施した。この酸化処理は概ね50Å以下の酸化膜しか形成しないためので酸化シリコン膜は多孔質シリコンの表面と孔の側壁にしか形成されておらず、内部には単結晶シリコンの領域が残されている。
【0175】
4)1.25%に希釈したHF水溶液に前記ウエハを30秒程度曝し、続いて10分間純水に漬けて、オーバーフローリンスとして、多孔質層の表面に形成された極薄酸化シリコン膜を除去した。
【0176】
5)その後プリベーク工程として、1100℃、120秒間の熱処理を行った。
【0177】
プリベーク直前のヘイズ値は、9.1ppmであり、プリベーク後のヘイズ値は、34.5ppmであった。すなわち、r=3.8(>3.5)であった。
【0178】
そこで、ヘイズ値の変化率rが、1≦r≦3.5を満たすよう様々に熱処理条件を変えて行ったところ、950℃で120秒間の熱処理を行った場合、ヘイズ値の変化率rは、2.8(<3.5)となることが分った。
【0179】
r=2.8となる条件で、プリベークを行った後、同じ反応容器内において、圧力600Torrで、濃度28ppmになるように水素のキャリアガスにSiH4 を添加して、200秒処理し、SiH4 の添加は終了し、その後、圧力を80Torrに温度を900℃に下げて、今度はSiH2 Cl2 を濃度0.5mol%となるように添加して、非多孔質単結晶シリコン膜を2μm形成した。そしてウエハを欠陥顕在化エッチングして、非多孔質単結晶シリコン層に導入された結晶欠陥を顕在化した後、ノマルスキー微分干渉顕微鏡で観察した。観察された欠陥は積層欠陥が99%以上であった。積層欠陥の密度は、160個/cm2 であった。
【0180】
一方、ヘイズ値の変化率r=3.8の場合は同様の条件でエピタキシャル成長すると、積層欠陥密度は、1.5×104 /cm2 となっていることが分かった。以上のことより、ヘイズ値が一定の範囲内になる条件でプリベークを行うことで、非常に積層欠陥密度の低い単結晶Si層の形成が可能であることが確認できた。
【0181】
なお、積層欠陥の観察は、欠陥顕在化エッチングして顕微鏡で観察した。具体的には、エッチング液として、Seccoエッチング法におけるK2 Cr2 7(0.15M)と49%−HF(2:1)の混合水溶液を、エッチング速度を下げるために、純水で希釈したものを用い、ウエハ表面の非多孔質単結晶シリコン層に導入された結晶欠陥を顕在化させた後、ノマルスキー微分干渉顕微鏡で観察し積層欠陥密度を求めた。
【0182】
(実施例2−950℃,600Torr Prebake(2s,120s),Preinjection,Epi−2μm)
1)p型不純物としてボロンを添加し、比抵抗0.015Ω・cm+ - 0.005Ω・cmにしたCZ6インチ(100)p+ シリコンウエハを用意した。
【0183】
2)49%HF水溶液とエチルアルコールを2:1の比で混合した溶液中で前記シリコンウエハを陽極に、6インチ径の白金板を陰極としてシリコンウエハと向かい合うように設置した。前記シリコンウエハの裏面側は同じ溶液を介して、別のp+ Siウエハの表面側と対向させ、もっとも端のウエハは6インチ径の白金板を対向させた。ウエハとウエハの間の溶液はウエハで隔てられ、導通しないように配置した。前記シリコンウエハと白金の間に電流密度10mA/cm2 で12分間電流を流して前記シリコンウエハを陽極化成し、表面に12μm厚の多孔質シリコンを形成した。
【0184】
3)つづいて、多孔質シリコン層を形成したウエハに400度の酸素雰囲気中で1時間酸化処理を施した。
【0185】
この酸化処理は、概ね50Å以下の酸化膜しか形成しないので、酸化シリコン膜は多孔質シリコンの表面と孔の側壁にしか形成されておらず、内部には単結晶シリコンの領域が残されている。
【0186】
4)1.25%に希釈したHF水溶液に前記ウエハを30秒程度曝し、続いて10分間純水に漬けて、オーバーフローリンスとして、多孔質層の表面に形成された極薄酸化シリコン膜を除去した。
【0187】
5)ウエハをウエハキャリアに入れてセットするロードロック室と、ウエハ移載用ロボットのセットされた移載チャンバーとプロセスチャンバーが接続されたエピタキシャルCVD成長装置のロードロック室に、前記ウエハをウエハキャリアに入れて設置した。
【0188】
ロードロック室は、大気圧からドライポンプで1Torr以下に減圧したのち、N2 ガスを流して、80Torrにした。移載チャンバーは、予めN2 を流して80Torrに保持されている。プロセスチャンバーには、ウエハを保持するためにカーボンにCVD−SiCを被覆したサセプタが設置されている。サセプタは、IRランプによって予め摂氏750℃程度に昇温してある。プロセスチャンバー内には加熱したパラジウム合金を用いた水素精製機により、精製された水素ガスが精製機からおよそ10mの内面研磨したステンレス配管によりプロセスチャンバーに供給されている。
【0189】
ウエハはロードロック室から移載チャンバーを経由してプロセスチャンバーへ移載ロボットにより搬送され、サセプタ上に設置された。
【0190】
6)プロセスチャンバー内の圧力を600Torrに設定した後、サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、950℃で2秒保持した後、温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。もう1枚のウエハは950℃で120秒保持し、これ以外は、前述のウエハと同じ処理をしてロードロック室に戻した。
【0191】
7)ロードロック室を大気開放してウエハを取り出し、異物検査装置で多孔質層表面のヘイズを観察したところ、2秒処理のウエハ上の多孔質の平均ヘイズ値は、11.9ppmであり、120秒処理の多孔質のヘイズ値は、25.7で、エピタキシャル成長装置に設置する前のサンプルのヘイズ値9.1ppmのそれぞれ約1.3、2.8倍であった。すなわち、r=1.3,2.8であった。
【0192】
8)また、予め用意しておいたSOI基板をHFディップし、水洗して乾燥させたのち、SOI層の膜厚を光式干渉膜厚計により測定し、5)、6)の処理を施し、ロードロックより取り出した。再びSOI層の膜厚を測定したところ、SOI層の膜厚減少量は、いずれも1nm未満であった。
【0193】
9)4)の処理が終了したウエハを、5)に記載した方法により、エピタキシャル成長装置のプロセスチャンバーに移載した。
【0194】
10)プロセスチャンバーの圧力を600Torrに設定した後、サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、熱処理(プリベーク処理)として950℃で2秒保持した後、濃度28ppmになるように水素のキャリアガスにSiH4 を添加し、200秒処理をし、SiH4 の添加は終了し、その後、圧力を80Torrに温度を900℃に下げて、今度はSiH2 Cl2 を濃度0.5mol%になるように添加して、非多孔質単結晶シリコン膜を2μm形成し、水素雰囲気下で温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。もう1枚のウエハは950℃水素雰囲気中でのプリベーク処理時間を120秒とし、これ以外は同じ処理をしてロードロック室に戻した。なお、濃度28ppmのSiH4 ガスを添加した場合、成長速度は、3.3nm/minである。
【0195】
11)10)の処理が終了したウエハを欠陥顕在化エッチングして、非多孔質単結晶シリコン層に導入された結晶欠陥を顕在化した後、ノマルスキー微分干渉顕微鏡で観察した。観察された欠陥は積層欠陥が99%以上であった。積層欠陥の密度は、プリベーク2秒の場合、84個/cm2 、プリベーク60秒の場合、160個/cm2 で、プリベーク1100℃120秒の場合の1.5×104 /cm2 に比べ、激減した。特に950℃2秒でプリベークでは、100個/cm2 を下回る積層欠陥密度が得られた。
【0196】
(実施例3−950℃,600Torr Prebake(2e),Preinjection,Epi−0.32μm ELTRAN)
1)p型不純物としてボロンを添加し、比抵抗0.015Ω・cm+ - 0.01Ω・cmにしたCZ8インチ(100)p+ シリコンウエハを用意した。
【0197】
2)49%HF水溶液とエチルアルコールを2:1の比で混合した溶液中で前記シリコンウエハを陽極に、6インチ径の白金板を陰極としてシリコンウエハと向かい合うように設置した。前記シリコンウハの裏面側は同じ溶液を介して、別のp+ Siウエハの表面側と対向させ、もっとも端のウエハは6インチ径の白金板を対向させた。ウエハとウエハの間の溶液はウエハで隔てられ、導通しないように配置した。前記シリコンウエハと白金の間に電流密度10mA/cm2 で12分間電流を流して前記シリコンウエハを陽極化成し(Anodize)、表面に12μm厚の多孔質シリコンを複数枚形成した。
【0198】
3)つづいて、多孔質シリコン層を形成したウエハに、400度の酸素雰囲気中で1時間酸化処理を施した。この酸化処理は概ね50Å以下の酸化膜しか形成しないので、酸化シリコン膜は多孔質シリコンの表面と孔の側壁にしか形成されておらず、内部には単結晶シリコンの領域が残されている。
【0199】
4)1.25%に希釈したHF水溶液に、前記ウエハを30秒程度曝し、続いて10分間純水に漬けて、オーバーフローリンスして、多孔質層の表面に形成された極薄酸化シリコン膜を除去した。
【0200】
5)ウエハをウエハキャリアに入れてセットするロードロック室とウエハ移載用ロボットのセットされた移載チャンバーとプロセスチャンバーが接続されたエピタキシャルCVD成長装置のロードロック室に前記ウエハをウエハキャリアに入れて設置した。ロードロック室は、大気圧からドライポンプで1Torr以下に減圧したのち、N2 を流して、80Torrにした。移載チャンバーは予めN2 を流して80Torrに保持されている。プロセスチャンバーには、ウエハを保持するためにカーボンにCVD−SiCを被覆したサセプタが設置されている。サセプタは、IRランプによって予め摂氏750℃程度に昇温してある。プロセスチャンバー内には加熱したパラジウム合金を用いた水素精製機により、精製された水素ガスが精製機からおよそ10mの内面研磨したステンレス配管によりプロセスチャンバーに供給されている。
【0201】
ウエハはロードロック室から移載チャンバーを経由してプロセスチャンバーへ移載ロボットにより搬送され、サセプタ上に設置された。
【0202】
6)サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、プリベーク処理として950℃で2秒保持した、このときの条件は、シリコンのエッチング量1nm未満であり、ヘイズ変化率r=1.3であった。
【0203】
つぎに、濃度28ppmになるように水素のキャリアガスにSiH4 Cl2 を添加して、200秒処理をし、SiH4 の添加は終了し、その後、温度を900℃に下げて、今度はSiH4 Cl2 を濃度0.5mol%になるように添加して、非多孔質単結晶シリコン膜を0.32μm形成し、水素雰囲気下で温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。形成された非多孔質単結晶シリコン層の膜厚は平均0.32μm、最大値−最小値=8nmであった。
【0204】
7)非多孔質単結晶シリコンをエピタキシャル成長したウエハを縦形炉に設置して、酸素と水素を燃焼して形成された水蒸気と残留酸素の混合気中、1000℃で熱処理により前記非多孔質単結晶シリコンの表面を酸化して、208nmの酸化シリコン膜を形成した。
【0205】
8)上記ウエハと第2のシリコンウエハをシリコン半導体プロセスの洗浄ラインで清浄に洗浄したのち、両ウエハの第1の主面同士を静かに重ね合わせ、中央を押圧したところ、両ウエハは一体化した。
【0206】
9)続いて、一体化したウエハ組を縦形炉に設置して、酸素雰囲気中1100℃で1時間熱処理した。
【0207】
10)多孔質シリコンを形成したウエハの裏面側をグラインダーにより:研削し、多孔質シリコンをウエハ全面に渡って露出させた。
【0208】
11)露出した多孔質シリコン層をHFと過酸化水素水の混合溶液に漬けたところ、およそ2時間で多孔質シリコンはすべて除去され、ウエハ全面で、非多孔質単結晶シリコン層と熱酸化シリコン膜による干渉色が観察された。
【0209】
12)11)の処理が終了したウエハをシリコン半導体デバイスプロセスで一般的に用いる洗浄ラインで洗浄した後、縦形水素アニール炉に設置して、水素100%雰囲気中で1100℃4時間の熱処理を行った。水素ガスは装置とおよそ7mの内面研磨ステンレス配管で接続されたパラジウム合金を用いた市販の水素精製装置で純化されている。
【0210】
13)こうして、第2のシリコンウエハ上に200nmの酸化シリコン層と200nmの単結晶シリコン層が積層されたSOI構造のウエハが作製された。
【0211】
単結晶シリコン層の膜厚は平均201nm、最大値−最小値=8nmであった。
【0212】
14)のウエハを欠陥顕在化エッチングにより単結晶シリコン層を130nm除去したのち、49%HFに3分漬けた。この結果、欠陥顕在化エッチングによりエッチングされた単結晶シリコン層に残留する結晶欠陥の部分から埋め込み酸化膜がHFによりエッチングされ、ノマルスキー微分干渉顕微鏡で容易に欠陥密度を測定できる。観察された欠陥の密度は、64個/cm2 であった。水素アニール処理により、非多孔質単結晶シリコン層に導入された積層欠陥が減少していた。欠陥密度100個/cm2 を下回り、かつ、膜厚の均一な薄膜SOI層が得られた。
【0213】
(実施例4−950℃,600Torr Prebake(2s,120s),No Preinjection,Epi−2μm)
1)p型不純物としてボロンを添加し、比抵抗0.015Ω・cm+ - 0.005Ω・cmにした、6インチ(100)p+ シリコンウエハ(CZウエハ)を用意した。
【0214】
2)49%HFとエチルアルコールを2:1の比で混合した溶液中で前記シリコンウエハを陽極に、6インチ径の白金板を陰極としてシリコンウエハと向かい合うように設置した。前記シリコンウエハの裏面側は同じ溶液を介して、別のp+ Siウエハの表面側と対向させ、もっとも端のウエハは6インチ径の白金板を対向させた。ウエハとウエハの間の溶液はウエハで隔てられ、導通しないように配置した。前記シリコンウエハと白金の間に電流密度10mA/cm2 で12分間電流を流して前記シリコンウエハを陽極化成し(Anodize)、表面に12μm厚の多孔質シリコンを形成した。
【0215】
3)つづいて、多孔質シリコン層を形成したウエハに400度の酸素雰囲気中で1時間酸化処理を施した。この酸化処理は概ね50Å以下の酸化膜しか形成しないためので酸化シリコン膜は多孔質シリコンの表面と孔の側壁にしか形成されておらず、内部には単結晶シリコンの領域が残されている。
【0216】
4)1.25%に希釈したHF水溶液に前記ウエハを30秒程度曝し、続いて10分間純水に漬けて、オーバーフローリンスして、多孔質層の表面に形成された極薄酸化シリコン膜を除去した。
【0217】
5)ウエハをウエハキャリアに入れてセットするロードロック室とウエハ移載用ロボットのセットされた移載チャンバーとプロセスチャンバーが接続されたエピタキシャルCVD成長装置のロードロック室に前記ウエハをウエハキャリアに入れて設置した。
【0218】
ロードロック室は、大気圧からドライポンプで1Torr以下に減圧したのち、N2 を流して、80Torrにした。
【0219】
移載チャンバーは予めN2 を流して80Torrに保持されている。プロセスチャンバーには、ウエハを保持するためにカーボンにCVD−SiCを被覆したサセプタが設置されている。サセプタは、IRランプによって予め摂氏750℃程度に昇温してある。プロセスチャンバー内には加熱したパラジウム合金を用いた水素精製機により、精製された水素ガスが精製機からおよそ10mの内面研磨したステンレス配管によりプロセスチャンバーに供給されている。
【0220】
ウエハはロードロック室から移載チャンバーを経由してプロセスチャンバーへ移載ロボットにより搬送され、サセプタ上に設置された。
【0221】
6)プロセスチャンバーの圧力を600Torrに設定した後、サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、950℃で2秒保持した後、温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。もう1枚のウエハは950℃で60秒保持し、これ以外は同じ処理をしてロードロック室に戻した。
【0222】
7)ロードロック室を大気開放してウエハを取り出し、異物検査装置で多孔質層表面のヘイズ値を測定したところ、2秒処理のウエハ上の多孔質表面の平均ヘイズ値は、11.9であり、60秒処理の多孔質のヘイズ値は、16.3で、エピタキシャル成長装置に設置する前のサンプルのヘイズ値9.1のそれぞれ約1.3、1.8倍であった。
【0223】
8)また、予め用意しておいたSOI基板をHFディップし、水洗いして乾燥させたのち、SOI層の膜厚を光干渉式膜厚計により測定し、5)、6)の処理を施し、ロードロックより取り出した。再びSOI層の膜厚を測定したところ、SOI層の膜厚減少量は、いずれも1nm未満であった。
【0224】
9)4)の処理が終了したウエハを5)により、エピタキシャル成長装置のプロセスチャンバーに移載した。
【0225】
10)プロセスチャンバーの圧力を600Torrに設定した後、サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、プリベーク処理として950℃で2秒保持した後、温度を900℃に下げて圧力を80Torrにし、SiH2 Cl2 を濃度0.5mol%になるように添加して、非多孔質単結晶シリコン膜を2μm形成し、水素雰囲気下で温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。もう1枚のウエハは950℃水素雰囲気中でのプリベーク処理時間を60秒とし、これ以外は同じ処理をしてロードロック室に戻した。
【0226】
11)10)の処理が終了したウエハを欠陥顕在化エッチングして、非多孔質単結晶シリコン層に導入された結晶欠陥を顕在化した後、ノマルスキー微分干渉顕微鏡で観察した。観察された欠陥は積層欠陥が99%以上であった。積層欠陥の密度は、プリベーク2秒の場合、170個/cm2 、プリベーク60秒の場合、270個/cm2 で、プリベーク1100℃120秒の場合の1.5×104 /cm2 に比べ、激減した。
【0227】
(実施例5−900℃,450Torr Prebake(2s,120s),Preinjection,Epi−2μm)
1)p型不純物としてボロンを添加して、比抵抗0.015Ω・cm+ - 0.005Ω・cmにした6インチ(100)p+ シリコンウエハ(CZウエハ)を用意した。
【0228】
2)49%HFとエチルアルコールを2:1の比で混合した溶液中で前記シリコンウエハを陽極に、6インチ径の白金板を陰極としてシリコンウエハと向かい合うように設置した。前記シリコンウエハの裏面側は同じ溶液を介して、別のp+ Siウエハの表面側と対向させ、もっとも端のウエハは6インチ径の白金板を対向させた。ウエハとウエハの間の溶液はウエハで隔てられ、導通しないように配置した。前記シリコンウエハと白金の間に電流密度10mA/cm2 で12分間電流を流して前記シリコンウエハを陽極化成し(Anodize)、表面に12μm厚の多孔質シリコンを形成した。
【0229】
3)つづいて、多孔質シリコン層を形成したウエハに400度の酸素雰囲気中で1時間酸化処理を施した。この酸化処理は概ね50Å以下の酸化膜しか形成しないためので酸化シリコン膜は多孔質シリコンの表面と孔の側壁にしか形成されておらず、内部には単結晶シリコンの領域が残されている。
【0230】
4)1.25%に希釈したHF水溶液に前記ウエハを30秒程度曝し、続いて10分間純水に漬けて、オーバーフローリンスして、多孔質層の表面に形成された極薄酸化シリコン膜を除去した。
【0231】
5)ウエハをウエハキャリアに入れてセットするロードロック室とウエハ移載用ロボットのセットされた移載チャンバーとプロセスチャンバーが接続されたエピタキシャルCVD成長装置のロードロック室に前記ウエハをウエハキャリアに入れて設置した。ロードロック室は、大気圧からドライポンプで1Torr以下に減圧したのち、N2 を流して、80Torrにした。移載チャンバーは予めN2 を流して80Torrに保持されている。プロセスチャンバーには、ウエハを保持するためにカーボンにCVD−SiCを被覆したサセプタが設置されている。サセプタは、IRランプによって予め摂氏750℃程度に昇温してある。プロセスチャンバー内には加熱したパラジウム合金を用いた水素精製機により、精製された水素ガスが精製機からおよそ10mの内面研磨したステンレス配管によりプロセスチャンバーに供給されている。
【0232】
ウエハはロードロック室から移載チャンバーを経由してプロセスチャンバーへ移載ロボットにより搬送され、サセプタ上に設置された。
【0233】
6)プロセスチャンバーの圧力を450Torrに設定した後、サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、900℃で2秒保持した後、温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。もう1枚のウエハは900℃で120秒保持し、これ以外は同じ処理をしてロードロック室に戻した。
【0234】
7)ロードロック室を大気開放してウエハを取り出し、異物検査装置で多孔質層表面のヘイズ値を測定したところ、2秒処理のウエハ上の多孔質の平均ヘイズ値は、12.1であり、60秒処理の多孔質の平均ヘイズ値は、14.3で、エピタキシャル成長装置に設置する前のサンプルの平均ヘイズ値9.2のそれぞれ約1.3、1.6倍であった。
【0235】
8)また、予め用意しておいたSOI基板をHFディップし、水洗いして乾燥させたのち、SOI層の膜厚を光干渉式膜厚計により測定し、5)、6)の処理を施し、ロードロックより取り出した。再びSOI層の膜厚を測定したところ、SOI層の膜厚減少量は、いずれも1nm未満であった。
【0236】
9)4)の処理が終了したウエハを5)により、エピタキシャル成長装置のプロセスチャンバーに移載した。
【0237】
10)プロセスチャンバーの圧力を450Torrに設定した後、サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、プリベーク処理として900℃で2秒保持した後、濃度28ppmになるように水素のキャリアガスにSiH4 を添加して、200秒処理をし、SiH4 の添加は終了し、その後、圧力を80Torrに温度を900℃に下げて、今度はSiH2 Cl2 を濃度0.7mol%になるように添加して、非多孔質単結晶シリコン膜を2μm形成し、水素雰囲気下で温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。もう1枚のウエハ900℃水素雰囲気中でのプリベーク処理時間を60秒とし、これ以外は同じ処理をしてロードロック室に戻した。
【0238】
11)10)の処理が終了したウエハを欠陥顕在化エッチングして、非多孔質単結晶シリコン層に導入された結晶欠陥を顕在化した後、ノマルスキー微分干渉顕微鏡で観察した。観察された欠陥は積層欠陥が99%以上であった。積層欠陥の密度は、プリベーク2秒の場合、350個/cm2 、プリベーク60秒の場合、400個/cm2 で、プリベーク1100℃120秒の場合の1.5×104 /cm2 に比べ、激減し、1000個/cm2 未満の欠陥密度が実現された。
【0239】
(実施例6−870℃,80Torr Prebake(5s,60s),Preinjection,Epi−2μm)
1)p型不純物としてボロンを添加して、比抵抗0.015Ω・cm+ - 0.005Ω・cmにした6インチ(100)p+ シリコンウエハ(CZウエハ)を用意した。
【0240】
2)49%HFとエチルアルコールを2:1の比で混合した溶液中で前記シリコンウエハを陽極に、6インチ径の白金板を陰極としてシリコンウエハと向かい合うように設置した。前記シリコンウエハの裏面側は同じ溶液を介して、別のp+ Siウエハの表面側と対向させ、もっとも端のウエハは6インチ径の白金板を対向させた。ウエハとウエハの間の溶液はウエハで隔てられ、導通しないように配置した。前記シリコンウエハと白金の間に電流密度10mA/cm2 で12分間電流を流して前記シリコンウエハを陽極化成し(Anodize)、表面に12μm厚の多孔質シリコンを形成した。
【0241】
3)つづいて、多孔質シリコン層を形成したウエハに400度の酸素雰囲気中で1時間酸化処理を施した。この酸化処理は概ね50Å以下の酸化膜しか形成しないので酸化シリコン膜は多孔質シリコンの表面と孔の側壁にしか形成されておらず、内部には単結晶シリコンの領域が残されている。
【0242】
4)1.3%に希釈したHF水溶液に前記ウエハを30秒程度曝し、続いて10分間純水に漬けて、オーバーフローリンスして、多孔質層の表面に形成された極薄酸化シリコン膜を除去した。
【0243】
5)ウエハをウエハキャリアに入れてセットするロードロック室とウエハ移載用ロボットのセットされた移載チャンバーとプロセスチャンバーが接続されたエピタキシャルCVD成長装置のロードロック室に前記ウエハをウエハキャリアに入れて設置した。ロードロック室は、大気圧からドライポンプで1Torr以下に減圧したのち、N2 を流して、80Torrにした。移載チャンバーは予めN2 を流して80Torrに保持されている。プロセスチャンバーには、ウエハを保持するためにカーボンにCVD−SiCを被覆したサセプタが設置されている。サセプタは、IRランプによって予め摂氏750℃程度に昇温してある。プロセスチャンバー内には加熱したパラジウム合金を用いた水素精製機により、精製された水素ガスが精製機からおよそ10mの内面研磨したステンレス配管によりプロセスチャンバーに供給されている。
【0244】
ウエハはロードロック室から移載チャンバーを経由してプロセスチャンバーへ移載ロボットにより搬送され、サセプタ上に設置された。
【0245】
6)プロセスチャンバーの圧力を80Torrとし、サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、870℃で5秒保持した後、温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。もう1枚のウエハは860℃で60秒保持し、これ以外は同じ処理をしてロードロック室に戻した。
【0246】
7)ロードロック室を大気開放してウエハを取り出し、市販の異物検査装置として、波長488nmのアルゴンレーザーを斜め入射するサーフスキャン6420で多孔質層表面のヘイズ値を測定したところ、5秒処理のウエハ上の多孔質の平均ヘイズ値は、10.2、30秒処理の多孔質の平均ヘイズ値は、19.5で、エピタキシャル成長装置に設置する前のサンプルの平均ヘイズ値8.5のそれぞれ約1.2、2.3倍であった。
【0247】
8)また、予め用意しておいたSOI基板をHFディップし、水洗して乾燥させたのち、SOI層の膜厚を光干渉式膜厚計により測定し、5)、6)の処理を施し、ロードロックより取り出した。再びSOI層の膜厚を測定したところ、SOI層の膜厚減少量は、いずれも1nm未満であった。
【0248】
9)4)の処理が終了したウエハを5)により、エピタキシャル成長装置のプロセスチャンバーに移載した。
【0249】
10)プロセスチャンバーの圧力を80Torrに設定した後、サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、プリベーク処理として860℃で2秒保持した後、濃度35ppmになるように水素のキャリアガスにSiH4 を添加して、150秒処理し、SiH4 の添加は終了し、その後、SiH2 Cl2 を濃度1mol%になるように添加して、非多孔質単結晶シリコン膜を2μm形成し、水素雰囲気下で温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。もう1枚のウエハはプリベーク処理時間を60秒とし、これ以外は同じ処理をしてロードロック室に戻した。
【0250】
11)10)の処理が終了したウエハを欠陥顕在化エッチングして、非多孔質単結晶シリコン層に導入された結晶欠陥を顕在化した後、ノマルスキー微分干渉顕微鏡で観察した。観察された欠陥は積層欠陥が99%以上であった。積層欠陥の密度は、プリベーク5秒の場合、120個/cm2 、プリベーク30秒の場合、430個/cm2 で、プリベーク1100℃120秒の場合の1.5×104 /cm2 に比べて激減し、1000個/cm2 未満の欠陥密度が実現された。
【0251】
(実施例7−950℃,Prebake(2s),Preinjection,Epi−0.32μm)
1)p型不純物としてボロンが添加され、比抵抗値が、0.015Ω・cm+ - 0.01Ω・cmである、8インチの面方位(100)のp+ シリコンウエハ(CZウエハ)を用意した。
【0252】
2)この第1の単結晶Si基板の表面層をHF溶液中において陽極化成を行った。
【0253】
陽極化成条件は以下の通りであった。
【0254】
電流密度:7(min)
陽極化成溶液:HF:H2 O:C2 5 OH=1:1:1
時間:5(mA・cm-2
多孔質Siの厚み:5(μm)
さらに、
電流密度:50(mA・cm-2
陽極化成溶液:HF:H2 O:C2 5 OH=1:1:1
時間:10(sec)
多孔質Siの厚み:〜0.2(μm)
50(mA・cm-2)で行った陽極化成では、多孔質Si層の多孔度(porosity)は大きくなり、構造的に脆弱な高多孔度薄層が形成された。すなわち、シリコンウエハの表面側から、低多孔度の多孔質層、高多孔度の多孔質層がこの順に形成された。
【0255】
3)つづいて、多孔質シリコン層を形成したウエハに、400度の酸素雰囲気中で1時間酸化処理(プリ酸化)を施した。この酸化処理は、概ね50Å以下の酸化膜しか形成しないので酸化シリコン膜は多孔質シリコンの表面と孔の側壁にしか形成されておらず、内部には単結晶シリコンの領域が残されている。
【0256】
4)1.25%に希釈したHF水溶液に前記ウエハを30秒程度曝し、続いて10分間純水に漬けて、オーバーフローリンスとして、多孔質層の表面に形成された極薄酸化シリコン膜を除去した。
【0257】
5)ウエハをウエハキャリアに入れてセットするロードロック室と、ウエハ移載用ロボットのセットされた移載チャンバーと、プロセスチャンバーとが接続されたエピタキシャルCVD成長装置のロードロック室に、前記ウエハをウエハキャリアに入れて設置した。
【0258】
ロードロック室は、大気圧からドライポンプで1Torr以下に減圧したのち、N2 を流して、80Torrにした。
【0259】
移載チャンバーは、予めN2 を流して80Torrに保持されている。プロセスチャンバーには、ウエハを保持するためにカーボンにCVD−SiCを被覆したサセプタが設置されている。サセプタは、IRランプによって予め摂氏750℃程度に昇温してある。プロセスチャンバー内には加熱したパラジウム合金を用いた水素精製機により、精製された水素ガスが精製機からおよそ10mの内面研磨したステンレス配管によりプロセスチャンバーに供給されている。
【0260】
ウエハはロードロック室から移載チャンバーを経由してプロセスチャンバーへ移載ロボットにより搬送され、サセプタ上に設置された。
【0261】
6)サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、熱処理(プリベーク処理)として950℃で2秒保持した後、濃度28ppmになるように水素のキャリアガスにSiH4 を添加して、200秒処理をし、SiH4 の添加は終了し、その後、温度を900℃に下げて、今度はSiH2 Cl2 を濃度0.5mol%になるように添加して、非多孔質単結晶シリコン膜を0.32μm形成し水素雰囲気下で温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。形成された非多孔質単結晶シリコン層の膜厚は平均0.32μm,最大値−最小値=8nmであった。なお、熱処理前のヘイズ値9.5に対して、熱処理後は、11.4であった。すなわち、r=1.2であった。
【0262】
また、予め用意しておいたSOI基板をHFディップし、水洗して乾燥させたのち、SOI層の膜厚を光干渉式膜厚計により測定し、5)、6)の処理を施し、ロードロックより取り出した。再びSOI層の膜厚を測定したところ、SOI層の膜厚減少量は、1nm未満であった。
【0263】
7)非多孔質単結晶シリコンをエピタキシャル成長したウエハを縦形炉に設置して、酸素と水素を燃焼して形成された水蒸気と残留酸素の混合気中、1000℃で熱処理により前記非多孔質単結晶シリコンの表面を酸化して,208nmの酸化シリコン膜を形成した。
【0264】
8)上記ウエハと第2のシリコンウエハをシリコン半導体プロセスの洗浄ラインで清浄に洗浄したのち、両ウエハの第1の主面同士を静かに重ね合わせ、中央を押圧したところ、両ウエハは一体化した。
【0265】
9)続いて、一体化したウエハ組を縦形炉に設置して、酸素雰囲気中1100℃で1時間処理した。
【0266】
10)貼り合わせウエハの側面にウォータージェットを噴きつけたところ、高多孔度層に亀裂が生じ分割された。分割方法は、ウォータージェット以外に加圧、引っ張り,せん断、楔、等の外圧をかける方法、超音波を印加する方法、熱をかける方法、酸化により多孔質Siを周辺から膨張させ多孔質Si内に内圧をかける方法、パルス状に加熱し、熱応力をかける、あるいは軟化させる方法等がある。そのどの方法でも分離することは可能である。
【0267】
11)露出した多孔質シリコン層を表面に有する第2のシリコンウエハを、HFと過酸化水素水の混合溶液に漬けたところ、およそ2時間で多孔質シリコンはすべて除去され、ウエハ全面で、非多孔質単結晶シリコン層と熱酸化シリコン膜による干渉色が観察された。
【0268】
12)11)の処理が終了したウエハをシリコン半導体デバイスプロセスで一般的に用いる洗浄ラインで洗浄した後、縦形水素アニール炉に設置して、水素100%雰囲気中で1100℃4時間の熱処理を行った。水素ガスは装置とおよそ7mの内面研磨ステンレス配管で接続されたパラジウム合金を用いた市販の水素精製装置で純化されている。
【0269】
13)こうして、第2のシリコンウエハ上に200nmの酸化シリコン層と200nmの単結晶シリコン層が積層されたSOI構造のウエハが作製された。
【0270】
単結晶シリコン層の膜厚は平均201nm、高さの最大値と最小値の差は8nmであった。
【0271】
14)13)のウエハを欠陥顕在化エッチングにより単結晶シリコン層を130nm除去したのち、49%HFに3分漬けた。この結果、欠陥顕在化エッチングによりエッチングされた単結晶シリコン層に残留する結晶欠陥の部分から埋め込み酸化膜がHFによりエッチングされ、ノマルスキー微分干渉顕微鏡で容易に欠陥密度を測定できる。観察された欠陥の密度は、64個/cm2 であった。水素アニール処理により、非多孔質単結晶シリコン層に導入された積層欠陥が減少していた。欠陥密度100個/cm2 を下回り、かつ、膜厚の均一な薄膜SOI層が得られた。
【0272】
(実施例8−950℃,80Torr Prebake(2s),Preinjection,Epi−0.01μm Hetero−epitaxy)
1)615μmの厚みを持った比抵抗0.01Ω・cmのp型あるいはn型の6インチ径の(100)単結晶Si基板4枚をHFアルコールで希釈した溶液中で陽極化成することにより、その鏡面である一方の主面に多孔質Si層を形成した。
【0273】
2)陽極化成条件は以下の通りであった。
【0274】
電流密度:7mA/cm2
陽極化成溶液:HF:H2 O:C2 5 OH=1:1:1
時間:12分
多孔質Si層の厚み:10μm
多孔度:20%
【0275】
3)つづいて、多孔質シリコン層を形成したウエハに400度の酸素雰囲気中で1時間酸化処理を施した。この酸化処理は概ね50Å以下の酸化膜しか形成しないので酸化シリコン膜は多孔質シリコンの表面と孔の側壁にしか形成されておらず、内部には単結晶シリコンの領域が残されている。
【0276】
4)1.25%に希釈したHF水溶液に前記ウエハを30秒程度曝し、続いて10分間純水に漬けて、オーバーフローリンスして、多孔質層の表面に形成された極薄酸化シリコン膜を除去した。
【0277】
5)ウエハをウエハキャリアに入れてセットするロードロック室とウエハ移載用ロボットのセットされた移載チャンバーとプロセスチャンバーが接続されたエピタキシャルCVD成長装置のロードロック室に前記ウエハをウエハキャリアに入れて設置した。ロードロック室は、大気圧からドライポンプで1Torr以下に減圧したのち、N2 を流して、80Torrにした。移載チャンバーは予めN2 を流して80Torrに保持されている。プロセスチャンバーには、ウエハを保持するためにカーボンにCVD−SiCを被覆したサセプタが設置されている。サセプタは、IRランプによって予め摂氏750℃程度に昇温してある。プロセスチャンバー内には加熱したパラジウム合金を用いた水素精製機により、精製された水素ガスが精製機からおよそ10mの内面研磨したステンレス配管によりプロセスチャンバーに供給されている。
【0278】
ウエハはロードロック室から移載チャンバーを経由してプロセスチャンバーへ移載ロボットにより搬送され、サセプタ上に設置された。
【0279】
6)サセプタ上に移載されたウエハをIRランプで加熱して毎分100℃の速度で昇温し、熱処理(プリベーク処理)として950℃で2秒保持した後、濃度28ppmになるように水素のキャリアガスにSiH4 を添加して、200秒処理をし、SiH4 の添加は終了し、その後、水素雰囲気下で温度を750℃まで降温し、ウエハを再び移載ロボットにて移載チャンバーを経由しロードロック室に取り出した。形成された非多孔質単結晶シリコン層の膜厚は平均0.03μmであった。なお、熱処理前のヘイズ値8.5に対して、熱処理後は、11.2であった。
【0280】
この多孔質Si上にMOCVD(Metal Organic Chemical Vapor Deposition)法により単結晶GaAsを1μmの厚みにエピタキシャル成長した。成長条件は以下の通りであった。
【0281】
ソースガス:TMG/AsH3 /H2
ガス圧力:80Torr
温度:700℃
【0282】
透過電子顕微鏡による断面観察の結果、GaAs層に結晶欠陥が導入されておらず、良好な結晶性を有するGaAs層が形成されたことが確認された。同時に、表面をSiにより封止された多孔質Si層との間には極めて急峻な界面が形成されていることも確認された。
【0283】
さらに欠陥顕在化エッチングにより、光学顕微鏡により顕在化された結晶欠陥をカウントし欠陥密度を求めたところ,およそ1×104 /cm2 であった。
【0284】
【発明の効果】
以上説明したように、本発明によれは、多孔質シリコン層上への非多孔質単結晶層の成長に先立って行われる熱処理(プリベーク)条件を、測定が簡易なヘイズ値の変化率rを利用して定めることができる。
【0285】
また、以上説明したように、本発明によれば、熱処理(プリベーク)を、多孔質シリコンの表面のヘイズ値の熱処理前後での変化率rを3.5以内、より好ましくは2以内、かつ、該熱処理でのシリコンエッチング量を2nm以下、より好ましくは1nm以下に抑制する条件で実施することにより、多孔質層上に形成される非多孔質単結晶シリコン層の積層欠陥密度を1000/cm2 未満、さらには、100/cm2 程度にできた。さらに非多孔質単結晶シリコンの成長初期のシリコン原料の成長表面への供給量を微量にすることで、本発明の欠陥低減をさらに向上させることができる。
【0286】
その結果、本発明を、貼り合わせ法に適用すれば、膜厚が均一で、かつ、結晶欠陥が極めて少ないSOI層を得ることが可能である。
【0287】
本発明は言い換えるとエピタキシャル成長装置内で形成されてしまう多孔質表面の自然酸化膜の量を抑制することで、自然酸化膜除去のための熱処理時間・温度を短時間、低温化するものである。そして同時に多孔質層の表面及び、表面近傍の構造変化を抑制し、多孔質層の表面構造の変質が顕在化する前に、非多孔質単結晶シリコン膜の形成を開始することにより、結晶欠陥密度1000・cm2 未満のエピタキシャルシリコン層を得るものである。
【図面の簡単な説明】
【図1】多孔質シリコン層上への非多孔質単結晶層の形成方法を示すフローチャートである。
【図2】ロードロック室付の装置の一例を示す図である。
【図3】エピタキシャル成長装置におけるシリコンエッチング量を説明する図である。
【図4】熱処理温度と欠陥密度の関係をエピタキシャル成長装置の違いによって説明する図である。
【図5】多孔質シリコンの熱処理によるヘイズ値の変化を説明する図である。
【図6】ヘイズ値の変化率と欠陥密度の関係を説明する図である。
【図7】多孔質層の表面孔の熱処理による変化を説明するSEM像である。
【図8】多孔質層の表面孔の熱処理による変化を説明する模式図である。
【図9】微量シリコン原料供給工程の時間と欠陥密度の関係を説明する図である。
【図10】微量シリコン供給によるヘイズ値の変化を説明する図である。
【図11】熱処理時の圧力の違いによる熱処理温度と欠陥密度の関係の違いを説明する図である。
【図12】熱処理時間と欠陥密度の関係を説明する図である。
【図13】プリベーク処理を適切に行うための条件を決定するシステムの一例である。
【図14】本発明の工程を説明する模式図である。
【図15】本発明によるSOI基板の作製工程を説明する模式図である。
【図16】異物検査装置の観測方法の概念図である。
【符号の説明】
1 多孔質シリコン層を有する基板
2 孔
3 孔壁
4 保護膜
5 保護被膜
6 非多孔質単結晶層
10 基体
11 多孔質シリコン層
13 入射光
14 反射光
15 散乱光
16 シリコンウエハ
17 観察領域[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a semiconductor substrate and a method for manufacturing the same, and more particularly to a non-porous semiconductor layer formed on a porous semiconductor layer and a method for forming the same. Furthermore, the present invention relates to a method for evaluating a semiconductor substrate, particularly a porous layer surface shape / state, and a method for producing a semiconductor substrate using the evaluation method.
[0002]
The present invention also relates to a semiconductor substrate used as a substrate of an integrated circuit mainly using MOSFETs, bipolar transistors, and the like, and a method for forming the same.
[0003]
[Prior art]
In silicon-based semiconductor devices and integrated circuit technology, the silicon-on-insulator (SOI) structure in which a single-crystal silicon film is placed on an insulator is used to increase the speed and reduce the consumption of transistors by reducing parasitic capacitance and facilitating element isolation. Numerous studies have been made so far for technologies that provide power, high integration, and reduced total cost.
[0004]
As a method of forming this SOI structure, there is a FIPOS (Fully Isolation by Porous Silicon) method proposed by Imai, which was popular from the 1970s to the early 1980s. (K. Imai, Solid State Electronics 24 (1981) P. 159). This method forms an SOI structure by utilizing the accelerated oxidation phenomenon of porous silicon, but has a specific problem that the surface silicon layer can be formed only in an island shape.
[0005]
One of the SOI forming technologies that has been attracting attention recently is wafer bonding technology, which is a surface silicon layer having an SOI structure, an arbitrary thickness of a buried silicon oxide layer, and crystallinity of the surface silicon layer. Various techniques have been proposed for their goodness.
[0006]
A bonding method for bonding wafers without an intermediate layer such as an adhesive was proposed by Nakamura et al. B. Lasky et al. (JB Lasky, SR Stiffler, FR White, and JR Abnerthey, technical Digestof the International Electron Meeting, IEEE 1988, New York, P. 5). Since 1984, the method of thinning one of the combined wafers and the operation of the MOS transistor formed thereon have been reported.
[0007]
In the Lasky method, a first wafer having a low-concentration or n-type epitaxial silicon layer formed on a single-crystal silicon wafer to which boron is added at a high concentration is prepared, and the first wafer and the surface thereof are prepared. When the second wafer on which the oxide film has been formed is cleaned as necessary and then brought into close contact, the two wafers are bonded by van der Waals force. When heat treatment is further performed, a covalent bond is formed between the two wafers, and the bonding strength increases to a level that does not hinder device fabrication. After that, the first wafer is etched from the back surface with a mixed solution of hydrofluoric acid, nitric acid and acetic acid, and p+Lasky et al. (Single Etch-stop method) selectively removes the silicon wafer and leaves only the epitaxial silicon layer on the second wafer. However, p+Silicon and epitaxial silicon (P-Alternatively, the ratio of the etching rate of n) is as low as several tens, and further improvement has been desired in order to leave an epitaxial silicon layer having a uniform film thickness on the entire wafer surface.
[0008]
Therefore, a method of performing selective etching in two steps has been devised. That is, as the first substrate, p is formed on the surface of the low impurity concentration silicon wafer substrate.++A layer in which a Si layer and a low impurity concentration layer are stacked is prepared, and this substrate is bonded to a second substrate similar to the above method. After that, the first substrate is thinned from the back surface by a mechanical method such as grinding or polishing. Next, p embedded in the first substrate++Selective etching is performed until the Si layer is exposed on the entire surface. At this time, by using an alkali solution such as ethylenediamine pyrocatechol or KOH as an etching solution, selective etching is performed according to the difference in impurity concentration of the substrate. After that, p exposed by selective etching with a mixed solution of hydrofluoric acid, nitric acid and acetic acid as in the method of Lasky et al.++If the Si layer is selectively removed, only the low-impurity concentration single-crystal Si layer is transferred onto the second substrate (Double Etch-stop method). In this method, the selective etching is performed a plurality of times to improve the overall etching selectivity, and as a result, the film thickness uniformity of the surface Si layer in the SOI is improved.
[0009]
However, in the thinning by selective etching using the impurity concentration of the substrate or the difference in composition as described above, it is predicted to be affected by the profile in the depth direction of the impurity concentration. In other words, if the heat treatment after bonding is increased to increase the bonding strength of the wafer, impurities in the buried layer are diffused, resulting in a deterioration in etching selectivity, resulting in a deterioration in film thickness uniformity. It was. Therefore, the heat treatment after bonding needs to be 800 degrees Celsius or less. Further, since the etching selectivity is low in each of the multiple etchings, the controllability at the time of mass production has been questioned.
[0010]
In the above-described method, the etching selectivity is determined by the impurity concentration or the difference in composition. However, in order to solve such a problem, Japanese Patent Laid-Open No. 5-21338 seeks the etching selectivity to the difference in structure. Yes. That is, the surface area per unit volume is 200 m.2 / CmThree Due to the difference in the structure of porous silicon and non-porous silicon, selective etching as high as 100,000 times is realized (selective etching method using a difference in structure using porous silicon). In this method, the surface of a single crystal Si wafer as a first substrate is made porous by anodization, and then a non-porous single crystal silicon layer is epitaxially grown to form a first substrate. After that, after bonding to the second substrate and increasing the bonding strength by heat treatment or the like, if necessary, the back surface of the first substrate is removed by grinding, polishing or the like to expose the porous silicon layer over the entire surface. Thereafter, the porous silicon is selectively removed by etching, and as a result, the previous non-porous single crystal silicon layer is transferred onto the second substrate. As a result of obtaining a high selectivity ratio of 100,000 times, the film thickness uniformity of the obtained SOI layer is hardly damaged by etching, and the uniformity during the growth of the epitaxially grown single crystal silicon layer is reflected as it is. It was revealed. That is, for example, 1.5 to 3% or less is realized in the SOI-Si layer as uniformity within the wafer realized by a commercially available CVD epitaxial growth apparatus. In this method, porous silicon which has been a material for selective oxidation by FIPOS is used as a material for selective etching. Therefore, Porosity is not limited to around 56%, but rather a low value of around 20% is preferable. The method for producing the SOI structure disclosed in the above-mentioned Japanese Patent Application Laid-Open No. 5-21338 has been reported by Yonehara et al. (T. Yonehara, K. Sakaguchi, N. Sato, Appl. Phys. Lett. 64 (1994) p. 2108) and named ELTRAN (registered trademark).
[0011]
Further, since it does not become a structural material of the final porous silicon, structural change and coarsening of the porous silicon are allowed within a range that does not impair the etching selectivity.
[0012]
Inventor Sato et al. (N. Sato, K. Sakaguchi, K. Yamagata, Y. Fujiyama, and T. Yonehara, Proc. Of the Seventh Int. Symp. On Sci. On Sci. And Sci. (Pennigton, The Electrochem. Soc. Inc., 1994), P. 443) is SiHH as epitaxial growth on porous materials.2 Cl2 A CVD (Chemical Vapor Deposition) method is carried out using as a source gas, and the process temperature at that time is 1040 ° C. for the heat treatment performed before the epitaxial growth and 900-950 ° C. for the epitaxial growth.
[0013]
The structure of porous silicon is greatly roughened by high-temperature heat treatment, but Sato et al. Introduced a preoxidation process, which is a process of forming a protective film on the porous silicon pore wall prior to epitaxial growth. As a result, the coarsening of the porous silicon layer accompanying the heat treatment is substantially suppressed. This pre-oxidation is performed at 400 ° C. in an oxygen atmosphere, for example.
[0014]
In this method, one of the important techniques is how to form an epitaxial growth of non-porous single crystal silicon on porous silicon with few defects. In the SOI wafer thus formed, stacking faults are the main defects, and the stacking fault density in the epitaxial silicon layer on the porous silicon is 10Three -10Four / Cm2 It is reported.
[0015]
[Problems to be solved by the invention]
In general, it has been pointed out that stacking faults may cause deterioration of the dielectric strength of an oxide film. This is considered to increase the leakage current of the pn junction and degrade the minority carrier lifetime when metal impurities are deposited at the transition portion surrounding the stacking fault. Even in other reports on the epitaxial growth on the above-described porous structure, the crystal defect is observed by the method of observing with an optical microscope after the defect revealing etching having a lower detection limit.Three / Cm2 There was no report that it was below. 10Three -10Four / Cm2 Stacking fault of 1μm2 Although the probability of being included in the gate region is as low as about 0.0001 to 0.00001, the defect density is still higher than that of the bulk silicon wafer, and the influence thereof is generally surfaced as the yield of the integrated circuit. is expected. When the SOI wafer obtained by the above method is put into practical use, the stacking fault density is at least 1000 / cm.2 The following are required to be reduced.
[0016]
Moreover, the reason why many stacking faults are introduced when epitaxially growing non-porous single crystal silicon on a porous silicon layer is that the support substrate for epitaxial growth has a “porous structure”.
[0017]
Conventionally, as a method for evaluating (observing) this porous structure, particularly the surface shape and state thereof, a means for observing directly by SEM or the like has been mainly used, but the means is complicated and more There has been a demand for a method that can be easily evaluated.
[0018]
(Object of invention)
A first object of the present invention is to provide a semiconductor substrate having a non-porous single crystal layer with reduced crystal defects on a porous silicon layer and a method for manufacturing the substrate.
[0019]
The second object of the present invention is to provide a substrate having a non-porous crystal layer with a low crystal defect density on an insulator and a method for manufacturing the same.
[0020]
The third object of the present invention is to provide a simple evaluation method of the surface state of the porous layer, and to reduce the stacking fault density of a thin film formed on the porous using the evaluation method. is there.
[0021]
[Means for Solving the Problems]
  The present invention provides a method for producing a semiconductor substrate having a non-porous single crystal layer on a porous silicon layer, prior to the step of forming the non-porous single crystal layer on the porous silicon layer. A rate of change r (r = (the heat treatment) of the surface of the porous silicon layer before and after the heat treatment, including a step of heat-treating the silicon layer in an atmosphere containing no source gas of the non-porous single crystal layer. Haze value of the surface of the porous silicon layer after) / (haze value of the surface of the porous silicon layer before the heat treatment))1 ≦ r ≦ 3.5 is satisfiedIt is characterized by that.
[0022]
  Furthermore, the present invention includes a step of preparing a substrate having a porous silicon layer, a heat treatment step of heat-treating the porous silicon layer, and a growth step of growing a non-porous single crystal layer on the porous silicon layer. In the method for manufacturing a semiconductor substrate, the heat treatment is performed in an atmosphere that does not include a source gas of the non-porous single crystal layer, and an etching amount of silicon by the heat treatment is 2 nm or less, and a haze value of the surface of the porous silicon layer The rate of change r (r = the haze value after heat treatment / the haze value before heat treatment) is such that 1 ≦ r ≦ 3.5 is satisfied.
[0023]
The present invention also includes a step of preparing a first substrate having a porous silicon layer, a heat treatment step of heat-treating the porous silicon layer, a growth step of growing a non-porous single crystal layer on the porous silicon layer, And a step of transferring the non-porous single crystal layer on the first substrate onto a second substrate, wherein the heat treatment uses a source gas of the non-porous single crystal layer. In an atmosphere that does not contain, the etching amount of silicon by the heat treatment is 2 nm or less, and the change rate r of the haze value of the porous silicon layer surface (r = the haze value after the heat treatment / the haze value before the heat treatment), The process is performed so as to satisfy 1 ≦ r ≦ 3.5.
[0024]
Before describing embodiments of the invention, a method for forming a non-porous layer on a porous substrate will be described, and then experimental results leading to the present invention will be described.
[0025]
A method for forming a non-porous single crystal layer (epitaxial growth layer) on the porous silicon layer will be described with reference to the flowchart of FIG.
[0026]
First, a substrate having a porous silicon layer is prepared (S1). Next, prior to the growth of the non-porous single crystal layer, the porous silicon layer is heat-treated in an atmosphere that does not contain the source gas of the non-porous single crystal layer.
[0027]
This is called a pre-baking step (S2) and is a step of removing a natural oxide film adhering to the surface of the porous silicon layer.
[0028]
In addition, the above-mentioned “under the atmosphere not containing the source gas of the non-porous single crystal layer” specifically means a reducing atmosphere containing hydrogen gas or an inert gas atmosphere such as He, Ar, Ne, or the like. Heat treatment in ultra high vacuum.
[0029]
After the pre-baking step, a raw material gas is introduced to grow a non-porous single crystal layer (S3). Thus, a non-porous single crystal layer is formed on the porous silicon layer.
[0030]
Next, technical knowledge that has led to the present invention will be described.
[0031]
(Experiment 1: Difference in Si etching amount in temperature raising process before shrimp film growth)
FIG. 3 shows the time dependence of the amount of thickness reduction due to etching of the non-porous single crystal silicon surface in two epitaxial growth systems. System A is a system using a device with a load lock chamber, and System B is a system using a device having a reaction chamber that is open to the atmosphere without a load lock chamber.
[0032]
Specifically, the system A apparatus is provided with a load lock chamber, as described in detail below, so that wafers can be taken in and out without directly exposing the reaction chamber to the atmosphere.
[0033]
The amount of leakage in the reaction chamber is 20 mTorr / min or less, more preferably 10 mTorr / min or less.
[0034]
Further, the leakage amount of the gas panel of the supply gas system should be 0.5 psi / 24 h, more preferably 0.2 psi / 24 h or less.
[0035]
Furthermore, it is preferable to use a high-purity supply gas, specifically, for example, H2 When prebaking with gas, it is preferable to use a gas purifier disposed within about 20 m, preferably within 10 m near the apparatus. As the purifier, a type that is equivalent to a heated palladium cell or a filter type that is equipped with an adsorbent is preferably used.
[0036]
The processing apparatus schematically shown in FIG. 2 was used.
[0037]
21 is a reaction chamber (process chamber), 22 is a load lock chamber, and 32 is a transfer chamber (transfer chamber). A gate valve 23 partitions the reaction chamber 21 and the transfer chamber 32, and a gate valve 24 partitions the transfer chamber 32 and the load lock chamber 22. Reference numeral 25 denotes a heater such as a lamp for heating the substrate W, 26 denotes a susceptor on which the substrate W is placed, and 27, 28, and 33 exhaust the reaction chamber 21 and the rod lock chamber 22 and the transfer chamber 32, respectively. An exhaust system 29 for supplying the processing gas into the reaction chamber 21, and a gas supply system 30 and 34 for introducing a gas for purging the inside of the transfer chamber 32 and the rod lock chamber 22 and for increasing the pressure. Gas supply system. Reference numeral 31 denotes a transfer arm for carrying the substrate W into and out of the reaction chamber 21. Reference numeral 35 denotes a wafer cassette.
[0038]
Further, as a modification, the rod lock chamber 22 may be integrated without partitioning the transport chamber 32 that houses the transport arm by the gate valve 24.
[0039]
The heat treatment performed using such a processing apparatus with a load lock chamber is referred to as “heat treatment in system A” for convenience.
[0040]
In this system A, the reaction chamber heater can be operated in advance, and the temperature of the susceptor or the like can be raised to about 600 ° C to 1000 ° C.
[0041]
By adopting this method, it is possible to raise the temperature of the wafer introduced into the reaction chamber to 600 ° C. to 1000 ° C. in about 10 seconds, shortening the temperature raising time, and pores on the surface of the porous silicon described later. It is possible to suppress the progress of the state change by this heat treatment.
[0042]
The heat treatment temperature is 1100 ° C. for system A, 1050 ° C. for system B, 600 Torr for system A, 760 Torr for system B, and the atmosphere is hydrogen gas. The etching amount was obtained by measuring the amount of decrease in the thickness of the SOI layer using an SOI substrate.
[0043]
In the system B, the etching amount is 7 nm or more even when the heat treatment time is zero. This means an etching amount when the temperature is lowered immediately after the substrate is heated to a set temperature. Only by raising the temperature, the silicon thickness decreases even near 7 nm.
[0044]
On the other hand, in the system A, the etching amount is 2 nm or less even after heat treatment for 10 minutes. In System A, it is known that the etching amount with respect to the heat treatment time is larger at 1100 ° C. than at the set temperature of 1050 ° C.
[0045]
This difference is explained by the oxidation of silicon and the etching of the formed silicon oxide in the temperature rising process with oxygen and moisture in the reaction vessel. The oxygen content / moisture in the reaction vessel is determined by the purity of the gas supplied, the adsorbed moisture in the supply pipe, minute leaks, the airtightness of the reaction vessel itself, and the incorporation of the substrate into the reaction vessel. The mixing of oxygen and hydrogen at the time of carrying in the substrate greatly affects whether the substrate is introduced into the reaction vessel via the load lock, or whether the substrate is carried in by directly opening the reaction vessel to the atmosphere.
[0046]
However, even if the reaction vessel is opened to the atmosphere, if the gas in the vessel is sufficiently replaced without raising the temperature thereafter, the residual oxygen / water concentration is reduced, but efficiency becomes a problem in mass production. The etching amount is also affected by the time required to raise the temperature to the set temperature. When supported by a substrate holder having a small heat capacity, it is possible to increase the rate of temperature increase.
[0047]
In addition, when a small amount of oxygen and moisture are present in the system, if these concentrations are low, etching silicon is
F. w. Smith et. al. J. et al. Electrochem. Soc. 129 1300 (1982) and
G. Ghidini et. al. J. et al. Electrochem. Soc. 131 2924 (1984).
[0048]
On the other hand, when the concentration of moisture or the like increases, silicon is oxidized to form silicon oxide. And this silicon oxide reacts with adjacent silicon with temperature rise, and will be etched. SiO2 It reacts with + Si → 2SiO ↑.
[0049]
Eventually, oxygen and moisture remaining in the system are parasitic on the etching of silicon during the temperature rise, so that the amount of residual oxygen / water in the reaction vessel can be grasped by examining the etching amount of silicon.
[0050]
(Experiment 2: Relationship between prebaking temperature and stacking fault density)
FIG. 4 shows the dependence of the stacking fault density introduced into the non-porous single crystal silicon formed on the porous silicon layer in these systems A and B on the heat treatment temperature (prebake temperature). The pressure in the system A is 600 Torr, and the pressures in the systems B-1 and B-2 are both 760 Torr.
[0051]
System B-1 and System B-2 are data reported in Sato et al. (N. Sato et. Al. Jpn. J. Appl. Phys. 35 (1996) 973). The stacking fault density decreases as the pre-baking temperature is increased. In system B-2, the growth rate is remarkably suppressed by reducing the amount of silicon source gas supplied at the initial stage of growth. Although the stacking fault density is reduced to about 1/3 regardless of the temperature as compared with the system B-1, the defect density is reduced only when the heat treatment temperature is increased in any case.
[0052]
The reason why the stacking fault density is reduced by increasing the pre-baking temperature in this way is as follows. In systems B-1 and B-2 where the silicon etching amount is as large as about 7 nm, silicon oxide is temporarily formed on the silicon surface by residual oxygen and moisture during the temperature rising process. In the low temperature region, the formed silicon oxide cannot be removed, so the defect density is high. However, if sufficient heat treatment temperature and time are secured, it is considered that the density of crystal defects begins to decrease as a result of removing the formed silicon oxide.
[0053]
On the other hand, in system A, the crystal defect density is 10 in the high temperature region exceeding 1000 ° C.Four / Cm2 On the stage, the defect density does not decrease as significantly as the systems B-1 and B-2 even when the heat treatment temperature is increased. However, as the temperature is lowered, there is a minimum value of defect density around 950 ° C., and the defect density is 10% at 950 ° C.2 / Cm2 Decreased to a degree.
[0054]
That is, unlike the systems B-1 and B-2 with a large amount of silicon etching, it was found that the system A with a silicon etching amount as small as 2 nm or less can reduce the stacking fault density without accompanied by a porous structural change and coarsening. .
[0055]
From the above, the imperial silicon between the installation of the substrate on which the porous silicon layer is formed in the epitaxial growth apparatus and the introduction of the silicon source gas into the reaction vessel to start the formation of the non-porous single crystal layer It has been found that the amount of silicon etched from the surface of the layer, that is, the amount of decrease in the thickness of the porous silicon layer, plays an important role in introducing stacking faults into the non-porous single crystal silicon layer.
[0056]
(Experiment 3: Relationship between haze level and stacking fault density)
On the other hand, in the system A, the substrate on which the porous silicon layer is formed is subjected only to the prebake treatment in order to clarify the reason why the defect density takes a minimum value near 950 ° C. The surface haze level on which porous silicon was formed was measured with an inspection apparatus.
[0057]
For measuring the haze level, a foreign substance inspection apparatus is commercially available from a plurality of apparatus manufacturers as an apparatus for detecting the position, size, etc. of the foreign substance (Particle) on the mirror surface of the silicon wafer. It was. In these foreign matter inspection devices, laser light is incident on a silicon wafer, and foreign matter is detected by monitoring scattered light instead of regular reflection light. By moving the laser beam or the silicon wafer side, the position within the wafer where the laser beam is incident is moved, and the scattered light intensity at each location is monitored in correspondence with the coordinate position. When the laser beam reaches a place where there is a foreign object, the laser is scattered by the foreign object, so that the scattered light intensity increases.
[0058]
FIG. 16 is a conceptual diagram of observation in Tencor Surf Scan 6420. The method of calibrating this scattered light intensity in advance with standard particles such as latex particles and converting it to the size of foreign matter is a method adopted in many foreign-purpose foreign matter inspection apparatuses currently on the market. Incidentally, 50 is incident light, 51 is reflected light, 52 is scattered light, 53 is a silicon wafer, and 54 is an observation region.
[0059]
The surface of a silicon wafer is mirror-finished by mechanochemical polishing, etc., but when viewed microscopically, it is not a completely flat surface, but a surface composed of uneven components with various periods and amplitudes, such as minute roughness and long-period waviness It has been confirmed by observation with an atomic force microscope or an optical interference microscope. These irregularities give a minute scattered light component when a laser beam used in a foreign matter inspection apparatus is incident. Compared with such a foreign object, the scattered light observed over a wide area, not locally, does not have a sudden change in signal intensity at a certain place like a foreign object when viewed along the movement of the laser light irradiation position. Without giving a constant strength.
[0060]
In other words, it can be said to be a direct current component (DC component) or a background component. That is, if a sudden signal change such as a foreign object is removed and a continuous scattered light component is observed, it means that the surface unevenness is monitored, and this is called haze. .
[0061]
The haze is generally displayed as a specular reflection light intensity or a ratio (unit: ppm) of scattered light intensity to incident light intensity. However, since the detection positions of incident light and scattered light are different in each device, it is difficult to compare absolute values.
[0062]
Further, since the scattered light intensity is generally up to about several tens of ppm, the ratio to the regular reflection light and the ratio to the incident light are almost the same.
[0063]
Commercially available foreign matter inspection apparatuses have each company's ingenuity in the incident angle, wavelength, and monitor position of scattered light.
[0064]
However, it is a well-known fact that laser light used as incident light does not completely reflect on the surface but also penetrates into silicon, and the depth of the penetration depends on the wavelength.
[0065]
Porous silicon has a structure in which many fine holes are formed by etching from the surface of a silicon wafer, but the side wall of the holes scatters the laser light that has penetrated.
[0066]
That is, if laser light is incident on the porous silicon surface and the scattered light is observed, information reflecting the surface of the porous layer and the porous structure near the surface can be obtained.
[0067]
In the formation of the non-porous single crystal silicon layer on the porous silicon, the inventor has introduced the haze value immediately before the non-porous single crystal silicon layer formation, that is, immediately after the pre-bake process, into the non-porous single crystal silicon layer. It was found to correlate with the crystal defect density.
[0068]
Install porous silicon in the epitaxial growth device, raise the temperature, introduce silicon source gas, perform heat treatment just before forming the non-porous single crystal silicon layer, and keep the haze value of porous silicon taken out from the device constant It was found that the crystal defect density of the non-porous single crystal silicon layer can be suppressed by controlling the range.
[0069]
Porous silicon is HF-C2 HFive OH-H2 Anodization was performed in an O mixed solution, and then heat treatment was performed in an oxygen atmosphere at 400 ° C. for 1 hour (Preoxidation). Thereafter, it was immersed in a 1.25% HF aqueous solution for about 25 seconds, washed with water, dried, and then placed in an epitaxial growth apparatus.
[0070]
FIG. 5 shows the result of measuring the haze value with a foreign substance inspection apparatus after performing only heat treatment at 950 ° C. and 600 Torr and taking out from the epitaxial growth apparatus.
[0071]
The haze value was about 6 after preoxidation, but increased to about 9 by the treatment with the HF solution.
[0072]
The haze value starts to rise as the time for the heat treatment described above increases in the epitaxial growth apparatus. It rose to 11.9 at 2 seconds, 12.7 at 30 seconds, 16.3 at 60 seconds, and 25.7 at 120 seconds. The haze value of the surface of a commercially available silicon wafer was 0.18.
[0073]
FIG. 6 shows the correlation between the haze value obtained by variously changing the temperature and time of the heat treatment performed before the growth of the non-porous single crystal layer and the stacking fault density.
[0074]
It has been found that the stacking fault density is kept low when the increase in haze value is within 3.5 times, more preferably within 2 times. It is considered that the increase in haze value due to heat treatment is accompanied by a change in the porous structure.
[0075]
FIG. 7 shows a high-resolution scanning electron that is taken out from the epitaxial growth apparatus immediately after installation at the epitaxial growth apparatus (a) and after processing at 950 ° C., 2 seconds (b), 1100 ° C., 2 seconds (c). It is the photograph which observed the surface of the porous silicon layer with the microscope. The haze values were 9, 11.9, and 45, respectively. FIG. 8 schematically shows FIGS. 7A, 7B, and 7C, respectively.
[0076]
FIG. 7A is a schematic diagram of an SEM image of the porous silicon surface immediately before being installed in the epitaxial growth apparatus. 10 holes with a diameter of about 10 nm11/ Cm2 The density is formed. FIG. 7B shows a schematic diagram of an SEM image of the surface of the porous silicon that has been heat-treated at 950 ° C. and 600 Torr for 2 seconds. The pore density has decreased somewhat, but still 10Ten/ Cm2 It is a stand.
[0077]
On the other hand, when the porous surface treated at 1100 ° C. for 2 seconds is observed, the pore density is remarkably reduced to about 106 / Cm2 Had decreased. The remaining holes had a large hole diameter as shown in FIG. 7 (c), and some had a diameter of 40 nm. The increase in the hole diameter is caused by oxidation by residual oxygen / water, etching, enlargement by surface diffusion, coalescence of adjacent holes, and the like. As is apparent from the figure, the heat treatment strength increases, and generally the pore density decreases on the porous surface, and a smooth surface is formed. However, the hole diameter of the residual holes is increasing, indicating that the movement of silicon atoms on and near the surface is intense. When a cross-sectional observation was performed, it was confirmed that the porous structure immediately below the surface had undergone structural changes such as an increase in pore diameter as the heat treatment strength increased. That is, the increase in the haze value in the order of FIG. 7 (a) → (b) → (c) reflects the influence of the structural change on the surface of the porous layer and the structural change in the porous layer. Means that. The stacking fault density is 1 × 10 in the case of FIG.2 Piece / cm2 In the case of FIG. 7C, 2 × 10FourPiece / cm2 Met.
[0078]
Changes in the haze value of the porous layer due to the heat treatment from the introduction of the substrate having porous silicon to the epitaxial growth apparatus capable of suppressing the etching amount of silicon to 2 nm, more preferably 1 nm or less, until the silicon source gas is introduced. By suppressing it within 4 times, more preferably within 2 times, the conventional crystal defect density of 10Three -10Four / Cm2 1x10 from the table2 / Cm2 It became clear that it can be reduced to the extent. However, as described above, in a system with a large amount of silicon etching, it is difficult to reduce defects at low temperatures because the amount of oxidation is large. This is because a growth system with a large etching amount of single crystal silicon has a large amount of oxidation at the time of temperature rise.
[0079]
It should be noted that if a small amount of silicon atoms or silicon source gas is supplied at the initial stage of growth as disclosed in JP-A-9-100197, the reduction of crystal defects according to the present invention becomes more effective. The process of supplying a small amount of silicon atoms or the like in the initial stage of growth is sometimes referred to as a preinjection process.
[0080]
As an example of the present invention, a porous substrate previously formed on a susceptor coated with carbon CVD-SiC maintained at about 750 ° C. in an atmosphere of hydrogen 43 (1 / min) and a pressure of 600 Torr is passed through a load lock. And then heated to 950 ° C. at a rate of about 100 ° C./min and held for 2 seconds, then SiHFour After adding a concentration of about 28 ppm for a certain time, the flow rate of the silicon source gas was increased to form a non-porous single crystal silicon film having a desired film thickness. FIG. 9 shows SiHFour The stacking fault density dependence on the addition treatment time was shown. SiHFour It is clear that the crystal defect density is reduced by performing a minute addition treatment.
[0081]
FIG. 10 shows the result of taking out the substrate from the epitaxial growth apparatus and measuring the haze value after initial growth by supplying a small amount of silicon. As apparent from the figure, the haze value once rises by the supply process of the trace amount silicon, and then starts to decrease again. As shown in FIG. 10, it is effective to carry out the trace silicon supply step at least until the haze value tends to decrease.
[0082]
Porous silicon is HF-C2 HFive OH-H2 Anodization was performed in an O mixed solution, followed by heat treatment at 400 ° C. in an oxygen atmosphere for 1 hour. Thereafter, it was immersed in a 1.25% HF aqueous solution for about 25 seconds, washed with water, dried, and then placed in an epitaxial growth apparatus.
[0083]
In addition, supply of a minute amount of constituent atoms of the film or source gas has an effect of accelerating the removal of the oxide and suppressing the generation of defects due to the oxide.
[0084]
That is, according to the present invention, the pre-epitaxial heat treatment in which the etching amount of single crystal silicon is extremely small is performed within a range in which the decrease in the haze value on the surface of the porous silicon is suppressed to 4 times, more preferably within 2 times. The stacking fault density of the non-porous single crystal silicon layer formed on the porous layer is 1000 / cm.2 Less than 100 / cm2 It became clear what can be done. Furthermore, the defect reduction of the present invention can be further improved by reducing the amount of the silicon raw material supplied to the growth surface at the initial growth stage of the non-porous single crystal silicon. In addition, the present invention is a method for managing the haze value derived from the DC level of scattered light in a device for observing the intensity of scattered light by irradiating the surface of the substrate with laser light from a commercially available foreign substance inspection device or the like. The process conditions can be easily controlled with a crystal defect density of 1000 / cm.2 Or less, more preferably 100 / cm2 It suppresses to the following.
[0085]
Furthermore, in the present invention, the heat treatment temperature, particularly the heat treatment temperature before sealing the pores can be lowered as shown in FIG. Since aggregation / expansion, fragmentation, and the like can be suppressed, the selectivity in selective etching of the porous layer in the subsequent process by the ELTRAN method (registered trademark) is not deteriorated. That is, the crystallinity of the non-porous single crystal silicon layer can be improved without generating a residue in removing the porous layer. Further, in the FIPOS method, the oxidation rate of selective oxidation of the porous layer is not deteriorated.
[0086]
In addition, the present inventor conducted the following experiment in order to investigate the correlation between the stacking fault density and the pressure during pre-baking.
[0087]
As a sample, a non-resistance 0.013-0.017 Ωcm wafer boron-doped on the substrate (100) Si was prepared. The anodizing condition is about 8 mA / cm in a solution of 49% HF and ethanol mixed 1: 1.2 Was applied for 11 minutes to form a porous layer. The porosity was approximately 20%.
[0088]
After being immersed in a 1.25% HF solution for 25 seconds, it was washed with water and dried. After that, heat treatment was performed in an oxygen atmosphere at 400 ° C. for 1 hour, and the silicon oxide film was immersed for about 5 nm in a 1.25% HF solution, washed with water, and dried. .
[0089]
Next, regarding epitaxial growth on the porous layer, the epi apparatus was performed in a reaction vessel provided with a load lock chamber. Heat treatment was performed for 120 seconds at 80 Torr and 600 Torr in a hydrogen atmosphere. After that, SiH is added to the hydrogen carrier gas so that the concentration becomes 28 ppm.Four And treated for 120 seconds. Then SiHFour The addition of was completed, and the pressure was lowered to 80 Torr and the temperature was lowered to 900 ° C. to form a 2 μm epi layer. And the stacking fault temperature in each heat processing temperature was investigated.
[0090]
The result is shown in FIG. As a result, it was found that the pressure has a significant effect on the surface diffusion of the silicon atoms and the alteration of the pore structure on the porous silicon surface, and the lower the pressure, the lower the manifestation of the decrease in stacking fault density. .
[0091]
FIG. 12 shows the dependence of stacking fault density on the heat treatment time before growth in a heat treatment at 600 Torr and 950 ° C. in a hydrogen atmosphere for a sample manufactured in the same manner as FIG. It has been found that the heat treatment increases approximately twice as long as it exceeds 120 seconds, compared with up to 60 seconds.
[0092]
DETAILED DESCRIPTION OF THE INVENTION
Embodiment Example 1
FIG. 13A is an example of a system that determines conditions for appropriately performing the pre-baking treatment of the porous layer.
[0093]
As is clear from Experiment 3, this is based on the fact that the change in haze value before and after pre-baking is correlated with the stacking fault density.
[0094]
After the porous layer is formed, the haze value of the porous layer immediately before the pre-bake treatment is measured (the measured haze value is d0 And).
[0095]
Then, a pre-bake treatment is performed, and a haze value is further measured (the measured haze value is d1 And).
[0096]
Thereafter, the change in haze value is evaluated. In particular
[0097]
[Outside 1]
Figure 0003748500
If 1 ≦ r ≦ 3.5, the subsequent steps such as epitaxial growth are performed under the same pre-bake processing conditions.
[0098]
On the other hand, if r> 3.5, the prebake processing conditions are changed. The conditions are determined so as to satisfy 1 ≦ r ≦ 3.5.
[0099]
Specifically, the temperature and time are changed or the moisture and oxygen in the apparatus for performing the pre-bake treatment are reduced.
[0100]
Note that it is not necessary to perform the above-described evaluation for all the silicon wafers that are input, and it is sufficient to evaluate one or several to several to several hundred wafers. In particular, in a new apparatus, it is effective to perform a condition test for determining process conditions or a test after performing modification, repair, cleaning of a reaction vessel, or the like. Even when the quality of the obtained semiconductor substrate is abnormal, if the evaluation method of the present application is performed, the cause can be quickly extracted.
[0101]
In addition, haze value d after pre-baking treatment1 D if it can be evaluated by itself0 The measurement of can be omitted. FIG. 13B shows a series of systems for performing a pre-bake process based on the determined conditions and manufacturing a desired semiconductor substrate. Of course, before the epitaxial growth step, it is also preferable to measure whether or not pre-baking has been properly performed by measuring the haze value.
[0102]
Embodiment Example 2
FIG. 14 shows a method for forming a semiconductor substrate according to the present invention.
[0103]
As shown in FIG. 14A, a substrate 1 having a porous silicon layer 90 at least on the surface side is prepared. 2 is a hole and 3 is a hole wall.
[0104]
Next, as shown in FIG. 14B, a thin protective film 4 is formed on the hole wall 3 of the porous single crystal silicon layer as necessary (pre-oxidation step).
[0105]
Since the protective film 5 such as a silicon oxide film is formed on the surface of the porous silicon layer for the pre-oxidation, the protective film 5 on the surface of the porous silicon is removed by immersing it in a low concentration HF aqueous solution (HF). Dip process). FIG. 14 (c) schematically shows this cross section.
[0106]
Next, the substrate on which the porous single crystal silicon is formed is placed in an epitaxial growth apparatus, heat-treated (pre-baked) as shown in FIG. 14 (d), and then non-treated as shown in FIG. 14N (e). A porous single crystal layer 6 is formed.
[0107]
The pre-baking conditions are: the etching amount of the porous silicon layer, that is, the condition that the amount of decrease in the thickness (t) of the porous silicon layer is 2 nm or less, more preferably 1 nm or less (Condition 1), and the porous silicon layer The condition (condition 2) is that the change rate r of the haze value is 3.5 or less, more preferably 2.0 or less.
[0108]
The etching amount te is the thickness of the porous silicon layer before the start of heat treatment t0 The thickness of the porous silicon layer at the end of the heat treatment is t1 Te = t0 -T1 Can be expressed as The change rate r of the haze value is defined as the haze value of the eye starting heat treatment0 , Haze value after heat treatment is d1 When
[0109]
[Outside 2]
Figure 0003748500
It can be expressed as
[0110]
The atmosphere during the heat treatment may be an atmosphere that does not contain a silicon-based gas, more preferably a reducing atmosphere that contains hydrogen. Further, it may be in an inert gas atmosphere or in an ultrahigh vacuum.
[0111]
This heat treatment will be described below.
[0112]
(1) Installation on equipment
A substrate having a porous silicon layer formed on the surface is placed in a reaction vessel in which the amount of residual oxygen and the amount of water are suppressed (not shown). The heat treatment used in the present invention can be functionally divided into two steps, a temperature raising step and a natural oxide film removing step. The natural oxide film here is a silicon oxide film that is unintentionally formed on the surface of the porous silicon layer after the HF dip process and a silicon oxide film that cannot be removed by the HF dip process. is there.
[0113]
The suppression of the etching amount is realized by suppressing the residual oxygen content and water content in the reaction vessel during the temperature raising step and the natural oxide film removal step. Residual oxygen content and water content in the reaction vessel are controlled not only by suppressing oxygen content and water content in the supply gas system, but also by carrying the substrate into and out of the reaction vessel through the load lock chamber. It is effective to prevent the inner surface of the reaction vessel from coming into direct contact with the atmosphere.
[0114]
It is also effective to install a purifier for hydrogen as a carrier gas near the apparatus as necessary. It is also desirable to increase the air tightness of the piping system and the container. By controlling these, as described above, the etching amount of the porous silicon layer in the two steps of the temperature raising step and the natural oxide film removal step can be maintained at least 2 nm or less, more preferably 1 nm or less. However, the method for suppressing the etching amount is not necessarily limited to the method described above.
[0115]
(2) Temperature rising process
After the substrate on which the porous silicon layer is formed is placed in the reaction vessel, the substrate is heated. When the reaction vessel is composed of a light transmissive material such as quartz material, the reaction vessel is heated by infrared lamp irradiation from the outside of the reaction vessel. In addition to lamp heating, there are induction heating by high frequency, resistance heating and the like. Examples of the reaction vessel material include quartz material, SiC, and stainless steel. The faster the temperature raising rate, the less the oxidation / etching due to residual moisture and oxygen content. Preferably, it is 1 ° C./sec or more, more preferably 5 ° C./sec or more.
[0116]
When carrying the substrate into the reaction vessel without passing through the load lock chamber, the substrate is carried in and then sufficiently purged to remove oxygen and moisture mixed in the vessel, and then the substrate is heated to rise. Let warm. In any case, it is desirable to perform in an ultra-high vacuum or non-oxidizing atmosphere.
[0117]
(3) Natural oxide film removal process
A natural oxide film removing step is performed following the temperature raising step. That is, the natural oxide film is removed by heat treatment in hydrogen, a reducing atmosphere containing hydrogen, or in ultra-high vacuum. At this time, the change rate r of the haze value on the surface of the porous silicon layer is 3. It is carried out under conditions that are within 5 and more preferably within 2. R is 1 or more.
[0118]
In order to realize the above conditions, the ultimate temperature during the heat treatment is preferably 850 ° C. or higher and 1000 ° C. or lower, and more preferably 870 ° C. or higher and 970 ° C. or lower.
[0119]
The pressure is not particularly limited, but is preferably atmospheric pressure or lower, preferably 700 Torr or lower, and more preferably 100 Torr or lower.
[0120]
The heat treatment time excluding the temperature raising step should be within 100 seconds, more preferably within 60 seconds, and even more preferably within 10 seconds.
[0121]
Natural oxide film is SiO2 + Si-> 2SiO ↑
As a result of the reaction, desorption into the gas phase, if the natural oxide film is thick, the surface of the porous silicon layer and the silicon near the surface are etched.
[0122]
The natural oxide film is formed during washing with water after HF dipping, washing with water and drying, in the atmosphere until installation in the epitaxial growth apparatus, during installation in the epitaxial growth apparatus, and during the temperature raising step. In particular, if residual moisture / oxygen content remains during the temperature raising step, the silicon is oxidized to form a silicon oxide film in combination with an increase in temperature. As a result, the formed silicon oxide reacts with the adjacent silicon to etch the silicon.
[0123]
Further, the thicker the silicon oxide film formed during the temperature rise, the longer the heat treatment time required for completely removing the formed silicon oxide film. Such a long heat treatment time is not preferable because the structural change of the porous silicon surface proceeds as described later.
[0124]
In the present invention, the etching amount must be at least 2 nm or less, more preferably 1 nm or less. However, the small amount of silicon etching means that the degree of oxidation of silicon in the apparatus is small.
[0125]
If this heat treatment is continued, migration of surface atoms occurs on the surface of the porous silicon so as to smooth out minute roughness and lower the surface energy, and most of the pores on the surface disappear.
[0126]
In a load-lock type CVD epitaxial growth apparatus, a susceptor in which carbon is coated with CVD-SiC is heated in advance to 750 ° C. in a reaction vessel, and a silicon wafer on which porous silicon is formed is placed through a load lock. Then, under conditions of 600 Torr and hydrogen 43 (1 / min), the temperature was increased to 1100 degrees Celsius at 100 degrees / minute, held at 1100 degrees for 2 seconds, and then decreased to 750 degrees at 100 degrees / minute, When the wafer is taken out via the load lock, the porous surface holes are 10 holes having an average diameter of about 10 nm before the heat treatment.11/ Cm2 The hole density was 106 / Cm2 And the pore diameter expanded to 20-40 nm. Subsequent to the heat treatment described above under these conditions, if a single crystal silicon layer is epitaxially grown by adding a silicon source gas to hydrogen gas, the stacking fault density is 10Four / Cm2 It became. On the other hand, when the heat treatment at 1100 degrees was changed to 950 degrees and the holding time was equal to 2 seconds, the decrease in the hole density after the heat treatment was at most an order of magnitude. Moreover, the hole diameter hardly increased. After this heat treatment condition, if a single crystal silicon layer is epitaxially grown by adding a silicon source gas to hydrogen gas, the stacking fault density is 102 / Cm2 Compared to the case of 1100 degrees, it was drastically reduced to 1/100.
[0127]
The crystal lattice on the surface of the porous silicon is distorted due to the stress acting between the porous silicon and the non-porous single crystal silicon substrate, but when the pore density decreases, this strain concentrates on the peripheral edge of the residual pores. Therefore, it is considered that crystal defects are likely to be introduced into the residual hole portion.
[0128]
In this method, the concentration of strain on the residual pores due to the decrease in pore density is prevented by starting the supply of silicon source gas to the porous silicon surface in the eyes where residual pores are reduced by heat treatment to remove the natural oxide film. This suppresses the introduction of crystal defects. This method can be realized for the first time by removing the heated natural oxide film with a very small etching amount of silicon.
[0129]
In particular, the present invention is a non-destructive method for managing the haze value introduced from the DC level of the scattered light in an apparatus for observing the intensity of the scattered light by injecting laser light into a substrate surface such as a commercially available foreign substance inspection apparatus. Simple process control and crystal defect density of 1000 / cm2 Or less, more preferably 100 / cm2 It suppresses to the following.
[0130]
For removal of the natural oxide film, other methods such as HF gas may be adopted or used as long as the etching amount of silicon is suppressed within the above-described range.
[0131]
In the present invention, the temperature raising step and the natural oxide film removing step are not particularly limited as long as the silicon etching is suppressed and a film is not formed by heat treatment on the porous surface. Or it is desirable to carry out in a hydrogen atmosphere.
[0132]
(4) Measurement of haze value
The measurement of the haze value is obtained by measuring the intensity of scattered light when parallel light such as laser light is incident on the substrate surface. If a foreign substance inspection apparatus using a commercially available laser beam is used, it can be easily measured. The wavelength of the laser light is preferably a short wavelength such as 488 nm of Ar laser. As the wavelength is shorter, the penetration length of light into the porous layer is shorter, so that the structural change in the vicinity of the surface of the porous layer that directly affects the crystallinity of the epitaxially grown layer can be detected sensitively. In addition, when the incident angle is large, that is, when the incident angle is shallow with respect to the substrate surface, the penetration depth into the porous layer is shortened, and measurement that is sensitive to structural changes in the vicinity of the surface becomes possible. .
[0133]
(5) Epitaxial growth
After passing through the heat treatment step (pre-bake), a source gas is supplied to close the porous holes, and a non-porous single crystal film is formed to a desired film thickness. Thus, a non-porous single crystal layer with a reduced stacking fault density can be formed on the porous silicon.
[0134]
The non-porous single crystal may be silicon epitaxially grown or SiGe, SiC, GaAs, InP, AlGaAs, GaN, etc. grown heteroepitaxially.
[0135]
(Porous silicon layer)
The porous Si used in the present invention is essentially the same as the porous silicon that has been studied up to the present since the discovery of Uhlir et al. In 1964, and is produced by a method such as anodization. However, as long as it is porous Si, the substrate is not limited to impurities, plane orientation, production method, and the like.
[0136]
In the case of forming porous silicon by anodization, the chemical conversion solution is water-soluble with hydrofluoric acid as a main component. During anodization, gas adheres to the electrode and silicon surface, and the porous layer tends to become non-uniform. Generally, an alcohol such as ethanol is added to increase the contact angle, and the attached bubbles. Is accelerated (Enhancement) so that chemical formation occurs uniformly. Of course, the porosity is formed without adding alcohol. When the porous silicon according to the present invention is used for the FIPOS method, the porosity is preferably around 56%, and when used for the bonding method, the low porosity (generally 50% or less, more preferably 30% or less) is suitable. is there. However, it is not limited to this.
[0137]
Since porous silicon is formed by etching as described above, in addition to the holes penetrating to the inside of the porous surface, the surface has shallow irregularities that can be observed from the surface with Field Emission Type Scanning Electron Microscope (FESEM). There are also shallower holes. The lower the porosity (Prosity (%)) of the porous silicon, the lower the stacking fault density on the porous body. Porous silicon having a low porosity can be realized, for example, by methods such as increasing the HF concentration during anodization, decreasing the current density, or increasing the temperature.
[0138]
In addition, the porous single crystal silicon layer may be formed by making only the main surface layer of the Si substrate porous or making the entire Si substrate porous.
[0139]
The porous layer is formed by implanting rare gas ions such as He, Ne, Ar or hydrogen ions into non-porous single-crystal silicon, and performing heat treatment as necessary, thereby forming microbubbles (microbubbles). ) Can be produced to make it porous. This is disclosed in Japanese Patent Laid-Open No. 5-211128.
[0140]
(Pre-oxidation)
In the present invention, a protective film may be formed on the pore wall of the porous silicon layer as necessary.
[0141]
Since the wall thickness between adjacent pores of porous silicon is very thin, several nanometers to several tens of nanometers, depending on the thermal growth after epitaxial growth, epitaxial growth layer, or heat treatment after bonding, Adjacent holes may be agglomerated and coarsened and further divided. This pore aggregation and coarsening phenomenon of the porous layer may lead to a decrease in the selective etching rate and deterioration of the selection ratio of the porous silicon. In FIPOS, the increase in the pore wall thickness and the division of the pores hinder the progress of oxidation of the porous layer, making it difficult to completely oxidize the porous layer. Therefore, after the porous layer is formed, a thin protective film is formed in advance on the pore wall by a method such as thermal oxidation to suppress pore aggregation and coarsening. When forming the protective film, it is essential to leave a region of single crystal silicon inside the hole wall, particularly when oxidation is performed. Therefore, a film thickness of several nm is sufficient.
[0142]
On the other hand, when an SOI substrate is manufactured by a bonding method, this step may be omitted if the subsequent process such as a heat treatment after the bonding is sufficiently performed at a low temperature and the porous structural change is suppressed. Is possible.
[0143]
(HF dip)
The pre-oxidized porous silicon layer can be subjected to HF dip treatment.
[0144]
Regarding HF dip, Sato et al. (N. Sato, K. Sakaguchi, K. Yamagata, Y. Fujiyama, and T. Yonehara, Proc. Of the Seventh Int. Symp. On Silicon M. Sci. (Pennington, the Electrochem. Soc. Inc., 1994), p. 443), the stacking fault is reduced by increasing the HF dip time.Three/ Cm2However, if the HF dip is applied for a long time as described above, the structure of the porous layer becomes coarse depending on the annealing temperature after bonding. Since a portion (etching residue) that is not formed may occur, it is desirable to control the HF dip time within an appropriate range.
[0145]
After HF dip, washing with water and drying can be performed to reduce the residual HF concentration in the porous pores.
[0146]
(Clogging of holes by supplying a small amount of raw material)
In the present invention, in the initial growth process of closing the porous holes, SiH2Cl2, SiHFour, SiHClThree, SiClFourAnd Si2H6Using a silicon-based source gas such as 20 nm. / Min or less, more preferably 10 nm. / Min. Hereinafter, more preferably 2 nm / min. It is preferable to set the flow rate of the source gas so as to achieve the following growth rate. Silane which is a gas at normal temperature and normal pressure is more preferable from the viewpoint of controllability of the supply amount. This further reduces crystal defects. When Si is supplied from a solid source as in the MBE method and the substrate temperature is as low as 800 ° C. or less, the growth rate is desirably 0.1 nm / min or less. The growth rate is not particularly limited after the pores have been closed by a small amount of raw material supply step (sometimes referred to as “pre-injection”).
[0147]
The conditions may be the same as those for growth on normal bulk silicon. Or you may continue growing with the same growth rate as the above-mentioned trace amount raw material supply process, and even if it changes a gas seed | species etc., the requirements of this invention are not inhibited at all. Moreover, even if it is a continuous process with a trace amount raw material supply process, after interrupting supply of a raw material once, a desired raw material may be supplied again and it may be made to grow. Note that N. Sato et. al. Jpn. J. et al. Appl. Phys. 35 (1996) 973. Then, a small amount of SiH in the early stage of growth2Cl2It has been reported that the stacking fault density can be reduced by reducing the supply amount of the metal as compared with the conventional method. However, in such a method, the stacking fault density does not change in the tendency to be reduced by increasing the pre-epi pre-bake temperature, and the etching residue accompanying the coarsening of the porous layer as described above may occur. . In the present invention, the pre-growth heat treatment can be performed at about 950 ° C., which is lower than the conventional temperature, so that the porous structure is hardly coarsened.
[0148]
According to the embodiment of the present invention, a high temperature heat treatment is avoided as in the conventional method by installing a substrate having a porous silicon layer in an apparatus with a small amount of silicon etching and controlling the heat treatment time before growth. You can also. In this way, the crystal defect density can be reduced, and the porous structure can be coarsened and the pores can be prevented from being divided.
[0149]
In addition, since the growth temperature, pressure, gas flow rate, etc. can be controlled independently from the initial growth step, the processing temperature is lowered, the structure of the porous silicon is coarsened, or boron, phosphorus, etc. from the porous silicon are used. A thick non-porous single crystal silicon film may be formed in a short time by suppressing the auto-doping of impurities and solid phase diffusion, increasing the growth temperature, and increasing the flow rate of the silicon source gas to increase the growth rate. .
[0150]
The growing non-porous single crystal layer is not limited to silicon as described above, but may be a group IV heteroepitaxy material such as SiGe or SiC, or a compound semiconductor typified by GaAs. Absent. Further, in the trace material supply step, heteroepitaxial growth may be performed using a silicon-based gas and thereafter using another gas.
[0151]
In addition, after the step of sealing the pores on the surface of the porous layer (pre-baking, pre-injection) and before the growth of the desired film, the atmosphere is higher than the pre-baking and pre-injecting and does not contain the source gas of the semiconductor film (for example, It is also preferable to perform heat treatment in a reducing atmosphere containing hydrogen. This heat treatment is referred to as “inter baking”.
[0152]
Embodiment Example 3
An example in which a semiconductor substrate having a low defect density non-porous single crystal silicon layer on a porous single crystal silicon layer is applied will be described.
[0153]
A substrate 10 having a porous silicon layer 11 is produced by making at least one surface side portion of a single crystal Si substrate porous (FIG. 15A).
[0154]
A method similar to that shown in the embodiment example 2, that is, the etching amount of silicon is 2 nm or less (more preferably 1 nm or less), and the change rate r of the haze value of the porous silicon is within 3.5, ( More preferably, heat treatment (pre-bake) is performed (within 2) (FIG. 15B). Thereafter, a non-porous single crystal layer 12 is formed on the porous single crystal silicon layer (FIG. 15C).
[0155]
Prior to the heat treatment, the aforementioned pre-oxidation and HF dip may be performed. Furthermore, it is also preferable to close the holes by supplying a small amount of raw material (pre-injection) after the heat treatment.
[0156]
Next, an SOI substrate is manufactured by a bonding method. First, an insulating layer is formed on at least one of the main surfaces of the non-porous single crystal silicon and the second substrate, and then both the main surfaces are bonded to each other. A structure is formed (FIG. 15D). After performing a heat treatment for increasing the bonding strength as necessary, a step of removing the porous silicon by selective etching or the like (FIG. 15E), the epitaxial growth layer on the porous silicon is formed on the second substrate. If moved up, an SOI structure can be obtained.
[0157]
If the bonding strength is sufficient to withstand the subsequent process, the process proceeds to the subsequent process. The porous layer is exposed by removing the back side of the substrate on which the porous layer has been formed by a mechanical method such as grinding or a chemical method such as etching. Alternatively, the porous layer may be exposed by peeling (separating) 15 non-porous portions of the substrate 10 from the multilayer structure with the porous layer as a boundary. Peeling may be performed mechanically by inserting a wedge or the like from the end face or by spraying a fluid like a water jet, or may utilize ultrasonic waves, thermal stress, or the like. It is preferable that a high porosity layer having a low mechanical strength is partially formed in the porous layer in advance to facilitate separation. For example, the structure of the porous layer 11 is changed from the non-porous single crystal layer 12 side to the first porous layer (porosity of 10% to 30%), and below the second porous layer (30% -70% porosity).
[0158]
(Porous selective etching)
The porous layer remaining on the non-porous single crystal layer 12 is removed by selective etching. Selective etchants are HF and H2O2, H2O2The mixed solution is preferably used. In order to remove bubbles generated during the reaction, ethyl alcohol, isopropyl alcohol or a surfactant may be added to the mixed solution.
[0159]
In this method, since the structural change / coarseness of the porous layer and the fragmentation of the pores are suppressed, there is little deterioration in selectivity in selective etching.
[0160]
Note that the second substrate on which the non-porous single crystal silicon layer formed on the porous silicon is bonded is not particularly limited. The silicon wafer, the silicon wafer formed with a thermally oxidized silicon film, the transparent substrate such as quartz wafer, the sapphire wafer, etc. If you do. The insulating layer 14 can be omitted when the insulating substrate is bonded.
[0161]
In addition, the non-porous single crystal silicon layer may be formed as it is before the second substrate is bonded even when the second substrate is bonded as it is. The film to be formed may be formed by forming a single crystal film such as SiGe, SiC, III-V compound or II-VI compound in addition to silicon oxide or silicon nitride, or by laminating a plurality of these films. It may be a thing.
[0162]
Before bonding, it is preferable to clean the bonding surface cleanly. For the cleaning, a preceding process used in a normal semiconductor process may be adopted. In addition, the adhesive strength can be increased by irradiating nitrogen plasma or the like before bonding.
[0163]
After the bonding, it is desirable to increase the bonding strength by performing a heat treatment.
[0164]
(Hydrogen annealing)
There are irregularities reflecting the period of the pores and side walls of the surface porous silicon after the removal of the porous silicon. This is because this surface corresponds to the interface between non-porous single crystal silicon and porous silicon, but both are single crystal silicon in the first place, and only the difference is whether or not there is a hole. This surface unevenness can be removed by polishing or the like, but if heat treatment is performed in a hydrogen atmosphere, the unevenness can be removed without substantially reducing the film thickness of the non-porous single crystal silicon. Hydrogen annealing can be performed under atmospheric pressure, high pressure, or slightly reduced pressure.
[0165]
The annealing temperature is from 800 ° C. to the melting point of silicon, more preferably from 900 ° C. to 1350 ° C.
[0166]
(Boron concentration control)
On the other hand, the crystallinity of the epitaxial layer on porous silicon is generally P+Si (-0.01Ω · cm boron doped) is more porous.-Si (-Although it is far better than the case of forming 0.01 Ω · cm boron doped), high concentration Boron may diffuse into the epitaxial silicon layer by autodoping or solid phase diffusion during epitaxial growth. Boron diffused in the epitaxial silicon layer remains after the removal of the porous silicon, which may hinder the suppression of the impurity concentration of the active layer in the SOI. In order to solve this problem, by annealing a substrate having an SOI structure completed by Sato et al. (N. Sato, and T. Yonehara, Appl. Phys. Lett. 65 (1994) p. 1924) in hydrogen, The concentration is reduced by removing the natural oxide film on the surface of the SOI layer having a low diffusion rate and diffusing boron in the SOI layer to the outside. However, excessive boron diffusion into the epitaxial silicon layer leads to boron incorporation into the buried oxide film, resulting in prolonged hydrogen annealing, increased process costs, or controllability of the boron concentration in the buried oxide film. There were cases where problems such as deterioration occurred. In order to solve this problem, it is effective to suppress the diffusion of boron, for example, by lowering the conditions for forming the epitaxial silicon layer. According to the present invention, the conditions for forming the epitaxial silicon layer can be set independently of the blockage of the holes, so that appropriate conditions can be set.
[0167]
(FIPOS method)
The SOI structure may be formed by selectively oxidizing the porous silicon by an oxidation process after partially removing the epitaxial growth layer by the FIPOS method without performing the Mataha bonding process. In this method, since the structural change / coarseness of the porous layer and the fragmentation of the pores are suppressed, there is little deterioration in selectivity even in the selective oxidation.
[0168]
(Heteroepitaxy)
Alternatively, a compound semiconductor such as GaAs, or a group IV hetero-epi such as SiC or SiGe may be performed. In heteroepitaxy, porous silicon acts as a stress buffering material, which can relieve stress due to lattice mismatch and reduce the crystal defect density of the non-porous single crystal silicon layer. The defect density of the epitaxial growth layer is also reduced. In this method, since the structural change / coarseness of the porous layer and the fragmentation of the pores are suppressed, the deterioration of the stress buffering effect is small.
[0169]
(Other applications)
Since porous silicon has a gettering action, a process can be performed by directly forming a MOS transistor, a bipolar transistor, or the like on a non-porous single-crystal silicon layer manufactured according to the present invention without forming an SOI structure as described above. The substrate is highly resistant to impurity contamination such as metal contamination.
[0170]
Compared with the conventional method, this method can lower the heat treatment temperature, particularly the heat treatment temperature before sealing the pores, so that the aggregation / expansion of the pores in the porous layer, the division, etc. can be suppressed. The selectivity in the selective etching of the porous layer in the subsequent process is not deteriorated. That is, the crystallinity of the non-porous single crystal silicon layer can be improved without generating a residue in removing the porous layer. Further, in the FIPOS method, the oxidation rate of selective oxidation of the porous layer is not deteriorated.
[0171]
Hereinafter, specific examples of the present invention will be described.
[0172]
(Example 1-950 ° C., 600 Torr Prebake (2 s, 120 s), Preinjection, Epi-2 μm)
1) Addition of boron as a p-type impurity and a specific resistance of 0.015 Ω · cm+/-CZ 6 inch (100) p made 0.005Ω · cm+A silicon wafer was prepared.
[0173]
2) In a solution in which 49% HF and ethyl alcohol were mixed at a ratio of 2: 1, the silicon wafer was placed as an anode and a 6-inch diameter platinum plate was placed as a cathode so as to face the silicon wafer. The back side of the silicon wafer is connected to another p through the same solution.+The front surface of the Si wafer was opposed, and the 6-inch diameter platinum plate was opposed to the outermost wafer. The solution between the wafers was separated by the wafer and was placed so as not to energize. A current density of 10 mA / cm between the silicon wafer and platinum.2Then, a current was passed for 12 minutes to anodize the silicon wafer, and 12 μm thick porous silicon was formed on the surface.
[0174]
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment for 1 hour in a 400 degree oxygen atmosphere. Since this oxidation treatment forms only an oxide film of approximately 50 mm or less, the silicon oxide film is formed only on the surface of the porous silicon and the side walls of the holes, and the single crystal silicon region remains inside.
[0175]
4) The wafer is exposed to an aqueous HF solution diluted to 1.25% for about 30 seconds, and then immersed in pure water for 10 minutes to remove the ultrathin silicon oxide film formed on the surface of the porous layer as an overflow rinse. did.
[0176]
5) Then, as a pre-baking step, heat treatment was performed at 1100 ° C. for 120 seconds.
[0177]
The haze value immediately before pre-baking was 9.1 ppm, and the haze value after pre-baking was 34.5 ppm. That is, r = 3.8 (> 3.5).
[0178]
Therefore, when the heat treatment conditions were varied so that the change rate r of the haze value satisfies 1 ≦ r ≦ 3.5, when the heat treatment was performed at 950 ° C. for 120 seconds, the change rate r of the haze value was It was found to be 2.8 (<3.5).
[0179]
After pre-baking under the condition of r = 2.8, SiH was added to hydrogen carrier gas so as to have a concentration of 28 ppm at a pressure of 600 Torr in the same reaction vessel.FourFor 200 seconds and SiHFourAfter that, the pressure was lowered to 80 Torr, the temperature was lowered to 900 ° C., and this time, SiH2Cl2Was added to a concentration of 0.5 mol% to form a non-porous single crystal silicon film having a thickness of 2 μm. The wafer was then subjected to defect revealing etching to reveal crystal defects introduced into the non-porous single crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects were 99% or more of stacking faults. The density of stacking faults is 160 / cm2Met.
[0180]
On the other hand, when the rate of change haze value r = 3.8, epitaxial growth under the same conditions results in a stacking fault density of 1.5 × 10 5.Four/ Cm2I found out that From the above, it was confirmed that a single-crystal Si layer having a very low stacking fault density can be formed by performing pre-baking under conditions where the haze value is within a certain range.
[0181]
Note that the stacking fault was observed with a microscope after performing defect revealing etching. Specifically, as an etchant, K in the Secco etching method is used.2Cr2O7In order to reduce the etching rate, a mixed aqueous solution of (0.15M) and 49% -HF (2: 1) was diluted with pure water and introduced into the non-porous single crystal silicon layer on the wafer surface. After revealing the crystal defects, the stacking fault density was determined by observation with a Nomarski differential interference microscope.
[0182]
(Example 2-950 ° C., 600 Torr Prebake (2 s, 120 s), Preinjection, Epi-2 μm)
1) Addition of boron as a p-type impurity and a specific resistance of 0.015 Ω · cm+/-CZ 6 inch (100) p made 0.005Ω · cm+A silicon wafer was prepared.
[0183]
2) In a solution in which a 49% HF aqueous solution and ethyl alcohol were mixed at a ratio of 2: 1, the silicon wafer was placed as an anode and a 6-inch diameter platinum plate was placed as a cathode so as to face the silicon wafer. The back side of the silicon wafer is connected to another p through the same solution.+The front surface of the Si wafer was opposed, and the 6-inch diameter platinum plate was opposed to the outermost wafer. The solution between the wafers was separated by the wafer and was placed so as not to conduct. A current density of 10 mA / cm between the silicon wafer and platinum.2Then, the silicon wafer was anodized by supplying a current for 12 minutes to form 12 μm thick porous silicon on the surface.
[0184]
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment for 1 hour in a 400 degree oxygen atmosphere.
[0185]
Since this oxidation process forms only an oxide film of approximately 50 mm or less, the silicon oxide film is formed only on the surface of the porous silicon and the side wall of the hole, and the region of single crystal silicon is left inside. .
[0186]
4) The wafer is exposed to an aqueous HF solution diluted to 1.25% for about 30 seconds, and then immersed in pure water for 10 minutes to remove the ultrathin silicon oxide film formed on the surface of the porous layer as an overflow rinse. did.
[0187]
5) The wafer is placed in the load lock chamber of the epitaxial CVD growth apparatus in which the load lock chamber in which the wafer is placed and set, the transfer chamber in which the wafer transfer robot is set, and the process chamber are connected to each other. Installed.
[0188]
After depressurizing the load lock chamber from atmospheric pressure to 1 Torr or less with a dry pump, N2Gas was flowed to 80 Torr. Transfer chamber is N in advance.2And is held at 80 Torr. In the process chamber, a susceptor in which carbon is coated with CVD-SiC is installed to hold a wafer. The susceptor is heated to about 750 ° C. by an IR lamp in advance. In the process chamber, purified hydrogen gas is supplied to the process chamber by a stainless steel pipe whose inner surface is polished about 10 m from the refiner by a hydrogen purifier using a heated palladium alloy.
[0189]
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot and placed on the susceptor.
[0190]
6) After setting the pressure in the process chamber to 600 Torr, the wafer transferred on the susceptor was heated with an IR lamp to increase the temperature at a rate of 100 ° C. per minute, held at 950 ° C. for 2 seconds, The temperature was lowered to 750 ° C., and the wafer was again taken out to the load lock chamber via the transfer chamber by the transfer robot. The other wafer was held at 950 ° C. for 120 seconds, and the other processes were performed in the same manner as the wafer described above and returned to the load lock chamber.
[0191]
7) The load lock chamber was opened to the atmosphere, the wafer was taken out, and when the haze on the surface of the porous layer was observed with a foreign substance inspection apparatus, the average haze value of the porous material on the wafer treated for 2 seconds was 11.9 ppm. The haze value of the porous material treated for 120 seconds was 25.7, which was about 1.3 and 2.8 times the haze value of 9.1 ppm of the sample before being installed in the epitaxial growth apparatus, respectively. That is, r = 1.3, 2.8.
[0192]
8) In addition, after preparing the SOI substrate prepared in advance by HF dipping, washing with water and drying, the film thickness of the SOI layer is measured with an optical interference film thickness meter, and the processing of 5) and 6) is performed. , Removed from the load lock. When the thickness of the SOI layer was measured again, the amount of decrease in the thickness of the SOI layer was less than 1 nm.
[0193]
9) The wafer having undergone the process of 4) was transferred to the process chamber of the epitaxial growth apparatus by the method described in 5).
[0194]
10) After setting the pressure in the process chamber to 600 Torr, the wafer transferred on the susceptor is heated with an IR lamp to raise the temperature at a rate of 100 ° C. per minute, and as a heat treatment (pre-bake treatment) at 950 ° C. for 2 seconds. After being held, SiH is added to the hydrogen carrier gas so that the concentration becomes 28 ppm.FourAnd treated for 200 seconds, SiHFourAfter that, the pressure was lowered to 80 Torr, the temperature was lowered to 900 ° C., and this time, SiH2Cl2Is added to a concentration of 0.5 mol% to form a non-porous single crystal silicon film of 2 μm, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is transferred to the transfer chamber again by the transfer robot. It was taken out to the load lock room via. The other wafer was pre-baked in a hydrogen atmosphere at 950 ° C. for 120 seconds, and the other processes were the same and returned to the load lock chamber. In addition, the concentration of 28 ppm SiHFourWhen gas is added, the growth rate is 3.3 nm / min.
[0195]
11) The wafer after the processing of 10) was subjected to defect revealing etching to reveal crystal defects introduced into the non-porous single crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects were 99% or more of stacking faults. The density of stacking faults is 84 / cm in the case of pre-baking for 2 seconds.2In the case of 60 seconds of pre-baking, 160 pieces / cm2In the case of pre-baking at 1100 ° C. for 120 seconds, 1.5 × 10Four/ Cm2Compared to, it decreased sharply. Especially when prebaked at 950 ° C for 2 seconds, 100 pieces / cm2A stacking fault density of less than 5 was obtained.
[0196]
(Example 3-950 ° C., 600 Torr Prebake (2e), Preinjection, Epi-0.32 μm ELTRAN)
1) Addition of boron as a p-type impurity and a specific resistance of 0.015 Ω · cm+/- 8 inches (100) p with 0.01 Ω · cm+A silicon wafer was prepared.
[0197]
2) In a solution in which a 49% HF aqueous solution and ethyl alcohol were mixed at a ratio of 2: 1, the silicon wafer was placed as an anode and a 6-inch diameter platinum plate was placed as a cathode so as to face the silicon wafer. The back side of the silicon wafer is passed through the same solution with another p+The front surface of the Si wafer was opposed, and the 6-inch diameter platinum plate was opposed to the outermost wafer. The solution between the wafers was separated by the wafer and was placed so as not to conduct. A current density of 10 mA / cm between the silicon wafer and platinum.2Then, the silicon wafer was anodized by flowing an electric current for 12 minutes to form a plurality of 12 μm thick porous silicon on the surface.
[0198]
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment for 1 hour in an oxygen atmosphere at 400 degrees. Since this oxidation treatment forms only an oxide film of approximately 50 mm or less, the silicon oxide film is formed only on the surface of the porous silicon and the side wall of the hole, and the single crystal silicon region remains inside.
[0199]
4) The ultrathin silicon oxide film formed on the surface of the porous layer by exposing the wafer to an aqueous HF solution diluted to 1.25% for about 30 seconds, then immersing in pure water for 10 minutes, overflow rinsing, and Was removed.
[0200]
5) Place the wafer in the wafer carrier in the load lock chamber of the epitaxial CVD growth apparatus in which the load lock chamber in which the wafer is placed in the wafer carrier, the transfer chamber in which the wafer transfer robot is set, and the process chamber are connected. Installed. After depressurizing the load lock chamber from atmospheric pressure to 1 Torr or less with a dry pump, N2To 80 Torr. Transfer chamber is N in advance2And is held at 80 Torr. In the process chamber, a susceptor in which carbon is coated with CVD-SiC is installed to hold a wafer. The susceptor is heated to about 750 ° C. by an IR lamp in advance. In the process chamber, purified hydrogen gas is supplied to the process chamber by a stainless steel pipe whose inner surface is polished about 10 m from the refiner by a hydrogen purifier using a heated palladium alloy.
[0201]
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot and placed on the susceptor.
[0202]
6) The wafer transferred on the susceptor was heated with an IR lamp and heated at a rate of 100 ° C. per minute, and kept at 950 ° C. for 2 seconds as a pre-bake process. The haze change rate r was 1.3.
[0203]
Next, SiH is used as a hydrogen carrier gas so that the concentration becomes 28 ppm.FourCl2For 200 seconds, and SiHFourIs completed, and then the temperature is lowered to 900 ° C., this time SiHFourCl2Is added to a concentration of 0.5 mol% to form a non-porous single crystal silicon film of 0.32 μm, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is again transferred by the transfer robot. It was taken out into the load lock chamber via the chamber. The film thickness of the formed non-porous single crystal silicon layer was 0.32 μm on average and the maximum value−minimum value = 8 nm.
[0204]
7) A wafer obtained by epitaxially growing nonporous single crystal silicon is placed in a vertical furnace, and the nonporous single crystal is heat-treated at 1000 ° C. in a mixture of water vapor and residual oxygen formed by burning oxygen and hydrogen. The silicon surface was oxidized to form a 208 nm silicon oxide film.
[0205]
8) After the above wafer and the second silicon wafer are cleaned cleanly by a silicon semiconductor process cleaning line, the first main surfaces of both wafers are gently overlapped and the center is pressed. did.
[0206]
9) Subsequently, the integrated wafer set was placed in a vertical furnace and heat-treated at 1100 ° C. for 1 hour in an oxygen atmosphere.
[0207]
10) The back side of the wafer on which the porous silicon was formed was ground with a grinder: the porous silicon was exposed over the entire surface of the wafer.
[0208]
11) When the exposed porous silicon layer is dipped in a mixed solution of HF and hydrogen peroxide solution, all the porous silicon is removed in about 2 hours, and the non-porous single crystal silicon layer and the thermally oxidized silicon are formed on the entire surface of the wafer. Interference color due to the film was observed.
[0209]
12) After the processing in 11) is completed, the wafer is cleaned in a cleaning line generally used in a silicon semiconductor device process, and then placed in a vertical hydrogen annealing furnace to perform heat treatment at 1100 ° C. for 4 hours in a 100% hydrogen atmosphere. It was. Hydrogen gas is purified by a commercially available hydrogen refining device using a palladium alloy connected to the device by an internally polished stainless steel pipe of about 7 m.
[0210]
13) Thus, an SOI structure wafer was fabricated in which a 200 nm silicon oxide layer and a 200 nm single crystal silicon layer were stacked on the second silicon wafer.
[0211]
The average thickness of the single crystal silicon layer was 201 nm, and the maximum value−minimum value = 8 nm.
[0212]
14) After removing the single crystal silicon layer by 130 nm by defect revealing etching, the wafer was immersed in 49% HF for 3 minutes. As a result, the buried oxide film is etched by HF from the portion of the crystal defect remaining in the single crystal silicon layer etched by the defect revealing etching, and the defect density can be easily measured with a Nomarski differential interference microscope. The observed defect density is 64 / cm.2Met. Due to the hydrogen annealing treatment, stacking faults introduced into the non-porous single crystal silicon layer were reduced. Defect density 100 / cm2And a thin film SOI layer having a uniform film thickness was obtained.
[0213]
(Example 4-950 ° C., 600 Torr Prebake (2s, 120s), No Preinjection, Epi-2 μm)
1) Addition of boron as a p-type impurity and a specific resistance of 0.015 Ω · cm+/-0.005 Ω · cm, 6 inches (100) p+A silicon wafer (CZ wafer) was prepared.
[0214]
2) In a solution in which 49% HF and ethyl alcohol were mixed at a ratio of 2: 1, the silicon wafer was placed as an anode and a 6-inch diameter platinum plate was placed as a cathode so as to face the silicon wafer. The back side of the silicon wafer is connected to another p through the same solution.+The front surface of the Si wafer was opposed, and the 6-inch diameter platinum plate was opposed to the outermost wafer. The solution between the wafers was separated by the wafer and was placed so as not to conduct. A current density of 10 mA / cm between the silicon wafer and platinum.2Then, the silicon wafer was anodized by applying an electric current for 12 minutes to form 12 μm thick porous silicon on the surface.
[0215]
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment for 1 hour in a 400 degree oxygen atmosphere. Since this oxidation treatment forms only an oxide film of approximately 50 mm or less, the silicon oxide film is formed only on the surface of the porous silicon and the side walls of the holes, and the single crystal silicon region remains inside.
[0216]
4) The wafer is exposed to an aqueous HF solution diluted to 1.25% for about 30 seconds, then immersed in pure water for 10 minutes, overflow rinsed, and an ultrathin silicon oxide film formed on the surface of the porous layer is formed. Removed.
[0217]
5) Place the wafer in the wafer carrier in the load lock chamber of the epitaxial CVD growth apparatus in which the load lock chamber in which the wafer is placed in the wafer carrier, the transfer chamber in which the wafer transfer robot is set, and the process chamber are connected. Installed.
[0218]
After depressurizing the load lock chamber from atmospheric pressure to 1 Torr or less with a dry pump, N2To 80 Torr.
[0219]
Transfer chamber is N in advance2And is held at 80 Torr. In the process chamber, a susceptor in which carbon is coated with CVD-SiC is installed to hold a wafer. The susceptor is heated to about 750 ° C. by an IR lamp in advance. In the process chamber, purified hydrogen gas is supplied to the process chamber by a stainless steel pipe whose inner surface is polished about 10 m from the refiner by a hydrogen purifier using a heated palladium alloy.
[0220]
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot and placed on the susceptor.
[0221]
6) After setting the pressure of the process chamber to 600 Torr, the wafer transferred on the susceptor is heated with an IR lamp to raise the temperature at a rate of 100 ° C. per minute, and kept at 950 ° C. for 2 seconds. The temperature was lowered to 750 ° C., and the wafer was again taken out to the load lock chamber via the transfer chamber by the transfer robot. The other wafer was held at 950 ° C. for 60 seconds, and the other processes were performed in the same manner and returned to the load lock chamber.
[0222]
7) The load lock chamber was opened to the atmosphere, the wafer was taken out, and the haze value of the porous layer surface was measured with a foreign substance inspection device. The average haze value of the porous surface on the wafer treated for 2 seconds was 11.9. Yes, the haze value of the porous material treated for 60 seconds was 16.3, which was about 1.3 and 1.8 times the haze value 9.1 of the sample before being installed in the epitaxial growth apparatus, respectively.
[0223]
8) In addition, dip the SOI substrate prepared in advance, wash it with water, dry it, measure the film thickness of the SOI layer with an optical interference film thickness meter, and perform the processing 5) and 6). , Removed from the load lock. When the thickness of the SOI layer was measured again, the amount of decrease in the thickness of the SOI layer was less than 1 nm.
[0224]
9) The wafer having undergone the process of 4) was transferred to the process chamber of the epitaxial growth apparatus according to 5).
[0225]
10) After setting the pressure in the process chamber to 600 Torr, the wafer transferred on the susceptor was heated with an IR lamp to raise the temperature at a rate of 100 ° C. per minute, and maintained at 950 ° C. for 2 seconds as a pre-bake treatment. The temperature is lowered to 900 ° C., the pressure is 80 Torr, SiH2Cl2Is added to a concentration of 0.5 mol% to form a non-porous single crystal silicon film of 2 μm, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is transferred to the transfer chamber again by the transfer robot. It was taken out to the load lock room via. The other wafer was subjected to a pre-bake treatment time in a hydrogen atmosphere at 950 ° C. for 60 seconds, and the other treatments were the same and returned to the load lock chamber.
[0226]
11) The wafer after the processing of 10) was subjected to defect revealing etching to reveal crystal defects introduced into the non-porous single crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects were 99% or more of stacking faults. The density of stacking faults is 170 / cm in the case of pre-baking for 2 seconds.2270 pieces / cm for 60 seconds of pre-baking2In the case of pre-baking at 1100 ° C. for 120 seconds, 1.5 × 10Four/ Cm2Compared to, it decreased sharply.
[0227]
(Example 5-900 ° C., 450 Torr Prebake (2 s, 120 s), Preinjection, Epi-2 μm)
1) Addition of boron as a p-type impurity and a specific resistance of 0.015 Ω · cm+/-6 inches (100) p with 0.005 Ω · cm+A silicon wafer (CZ wafer) was prepared.
[0228]
2) In a solution in which 49% HF and ethyl alcohol were mixed at a ratio of 2: 1, the silicon wafer was placed as an anode and a 6-inch diameter platinum plate was placed as a cathode so as to face the silicon wafer. The back side of the silicon wafer is connected to another p through the same solution.+The front surface of the Si wafer was opposed, and the 6-inch diameter platinum plate was opposed to the outermost wafer. The solution between the wafers was separated by the wafer and was placed so as not to conduct. A current density of 10 mA / cm between the silicon wafer and platinum.2Then, the silicon wafer was anodized by applying an electric current for 12 minutes to form 12 μm thick porous silicon on the surface.
[0229]
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment for 1 hour in a 400 degree oxygen atmosphere. Since this oxidation treatment forms only an oxide film of approximately 50 mm or less, the silicon oxide film is formed only on the surface of the porous silicon and the side walls of the holes, and the single crystal silicon region remains inside.
[0230]
4) The wafer is exposed to an aqueous HF solution diluted to 1.25% for about 30 seconds, then immersed in pure water for 10 minutes, overflow rinsed, and an ultrathin silicon oxide film formed on the surface of the porous layer is formed. Removed.
[0231]
5) Place the wafer in the wafer carrier in the load lock chamber of the epitaxial CVD growth apparatus in which the load lock chamber in which the wafer is placed in the wafer carrier, the transfer chamber in which the wafer transfer robot is set, and the process chamber are connected. Installed. After depressurizing the load lock chamber from atmospheric pressure to 1 Torr or less with a dry pump, N2To 80 Torr. Transfer chamber is N in advance2And is held at 80 Torr. In the process chamber, a susceptor in which carbon is coated with CVD-SiC is installed to hold a wafer. The susceptor is heated to about 750 ° C. by an IR lamp in advance. In the process chamber, purified hydrogen gas is supplied to the process chamber by a stainless steel pipe whose inner surface is polished about 10 m from the refiner by a hydrogen purifier using a heated palladium alloy.
[0232]
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot and placed on the susceptor.
[0233]
6) After setting the pressure in the process chamber to 450 Torr, the wafer transferred on the susceptor is heated with an IR lamp to raise the temperature at a rate of 100 ° C. per minute, and held at 900 ° C. for 2 seconds. The temperature was lowered to 750 ° C., and the wafer was again taken out to the load lock chamber via the transfer chamber by the transfer robot. The other wafer was held at 900 ° C. for 120 seconds, and the other processes were the same and returned to the load lock chamber.
[0234]
7) The load lock chamber was opened to the atmosphere, the wafer was taken out, and the haze value on the surface of the porous layer was measured with a foreign substance inspection device. The average haze value of the porous material on the 2-second treated wafer was 12.1. The average haze value of the porous material treated for 60 seconds was 14.3, which was about 1.3 and 1.6 times the average haze value 9.2 of the sample before being installed in the epitaxial growth apparatus, respectively.
[0235]
8) In addition, dip the SOI substrate prepared in advance, wash it with water, dry it, measure the film thickness of the SOI layer with an optical interference film thickness meter, and perform the processing 5) and 6). , Removed from the load lock. When the thickness of the SOI layer was measured again, the amount of decrease in the thickness of the SOI layer was less than 1 nm.
[0236]
9) The wafer having undergone the process of 4) was transferred to the process chamber of the epitaxial growth apparatus according to 5).
[0237]
10) After setting the pressure in the process chamber to 450 Torr, the wafer transferred on the susceptor was heated with an IR lamp to raise the temperature at a rate of 100 ° C. per minute, and maintained at 900 ° C. for 2 seconds as a pre-bake treatment. SiH into the hydrogen carrier gas to a concentration of 28 ppmFourFor 200 seconds, and SiHFourAfter that, the pressure was lowered to 80 Torr, the temperature was lowered to 900 ° C., and this time, SiH2Cl2Is added to a concentration of 0.7 mol% to form a non-porous single crystal silicon film having a thickness of 2 μm, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is transferred again to the transfer chamber by a transfer robot. It was taken out to the load lock room. The pre-baking process time in another wafer at 900 ° C. in a hydrogen atmosphere was set to 60 seconds, and the other processes were the same and returned to the load lock chamber.
[0238]
11) The wafer after the processing of 10) was subjected to defect revealing etching to reveal crystal defects introduced into the non-porous single crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects were 99% or more of stacking faults. The density of stacking faults is 350 / cm in the case of pre-baking for 2 seconds.2In the case of 60 seconds of pre-baking, 400 pieces / cm2In the case of pre-baking at 1100 ° C. for 120 seconds, 1.5 × 10Four/ Cm21000 pieces / cm2Less than defect density was realized.
[0239]
(Example 6-870 ° C., 80 Torr Prebake (5 s, 60 s), Preinjection, Epi-2 μm)
1) Addition of boron as a p-type impurity and a specific resistance of 0.015 Ω · cm+/-6 inches (100) p with 0.005 Ω · cm+A silicon wafer (CZ wafer) was prepared.
[0240]
2) In a solution in which 49% HF and ethyl alcohol were mixed at a ratio of 2: 1, the silicon wafer was placed as an anode and a 6-inch diameter platinum plate was placed as a cathode so as to face the silicon wafer. The back side of the silicon wafer is connected to another p through the same solution.+The front surface of the Si wafer was opposed, and the 6-inch diameter platinum plate was opposed to the outermost wafer. The solution between the wafers was separated by the wafer and was placed so as not to conduct. A current density of 10 mA / cm between the silicon wafer and platinum.2Then, the silicon wafer was anodized by applying an electric current for 12 minutes to form 12 μm thick porous silicon on the surface.
[0241]
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment for 1 hour in a 400 degree oxygen atmosphere. Since this oxidation treatment generally forms only an oxide film of 50 mm or less, the silicon oxide film is formed only on the surface of the porous silicon and the side wall of the hole, and the single crystal silicon region remains inside.
[0242]
4) The wafer is exposed to an aqueous HF solution diluted to 1.3% for about 30 seconds, then immersed in pure water for 10 minutes, overflow rinsed, and an ultrathin silicon oxide film formed on the surface of the porous layer is formed. Removed.
[0243]
5) Place the wafer in the wafer carrier in the load lock chamber of the epitaxial CVD growth apparatus in which the load lock chamber in which the wafer is placed in the wafer carrier, the transfer chamber in which the wafer transfer robot is set, and the process chamber are connected. Installed. After depressurizing the load lock chamber from atmospheric pressure to 1 Torr or less with a dry pump, N2To 80 Torr. Transfer chamber is N in advance2And is held at 80 Torr. In the process chamber, a susceptor in which carbon is coated with CVD-SiC is installed to hold a wafer. The susceptor is heated to about 750 ° C. by an IR lamp in advance. In the process chamber, purified hydrogen gas is supplied to the process chamber by a stainless steel pipe whose inner surface is polished about 10 m from the refiner by a hydrogen purifier using a heated palladium alloy.
[0244]
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot and placed on the susceptor.
[0245]
6) The pressure of the process chamber is 80 Torr, the wafer transferred on the susceptor is heated with an IR lamp, heated at a rate of 100 ° C. per minute, held at 870 ° C. for 5 seconds, and then the temperature is increased to 750 ° C. The temperature was lowered, and the wafer was taken out again by the transfer robot into the load lock chamber via the transfer chamber. The other wafer was held at 860 ° C. for 60 seconds, and the other processes were the same and returned to the load lock chamber.
[0246]
7) The load lock chamber was opened to the atmosphere, the wafer was taken out, and the haze value on the surface of the porous layer was measured with a surf scan 6420 obliquely incident with an argon laser having a wavelength of 488 nm as a commercially available foreign matter inspection apparatus. The average haze value of the porous material on the wafer is 10.2, the average haze value of the porous material after 30 seconds treatment is 19.5, and the average haze value of the sample before installation in the epitaxial growth apparatus is about 8.5. 1.2 and 2.3 times.
[0247]
8) In addition, after preparing the SOI substrate prepared in advance by HF dipping, washing with water and drying, the film thickness of the SOI layer is measured with an optical interference film thickness meter, and the processing of 5) and 6) is performed. , Removed from the load lock. When the thickness of the SOI layer was measured again, the amount of decrease in the thickness of the SOI layer was less than 1 nm.
[0248]
9) The wafer having undergone the process of 4) was transferred to the process chamber of the epitaxial growth apparatus according to 5).
[0249]
10) After setting the pressure in the process chamber to 80 Torr, the wafer transferred on the susceptor was heated with an IR lamp and heated at a rate of 100 ° C. per minute, and held at 860 ° C. for 2 seconds as a pre-bake treatment. SiH into the hydrogen carrier gas to a concentration of 35 ppmFourAnd treated for 150 seconds, SiHFourIs finished, and then SiH2Cl2Is added to a concentration of 1 mol% to form a non-porous single crystal silicon film having a thickness of 2 μm, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is again transferred by the transfer robot via the transfer chamber. Removed to load lock chamber. The other wafer was subjected to a pre-baking process time of 60 seconds, and the other processes were the same and returned to the load lock chamber.
[0250]
11) The wafer after the processing of 10) was subjected to defect revealing etching to reveal crystal defects introduced into the non-porous single crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects were 99% or more of stacking faults. The density of stacking faults is 120 / cm in the case of pre-baking for 5 seconds.2In the case of 30 seconds pre-baking, 430 pieces / cm2In the case of pre-baking at 1100 ° C. for 120 seconds, 1.5 × 10Four/ Cm21000 pieces / cm2Less than defect density was realized.
[0251]
(Example 7-950 ° C., Prebake (2 s), Preinjection, Epi-0.32 μm)
1) Boron is added as a p-type impurity, and the specific resistance value is 0.015 Ω · cm.+/-8-inch plane orientation (100) p of 0.01 Ω · cm+A silicon wafer (CZ wafer) was prepared.
[0252]
2) The surface layer of the first single crystal Si substrate was anodized in an HF solution.
[0253]
The anodizing conditions were as follows.
[0254]
Current density: 7 (min)
Anodizing solution: HF: H2O: C2HFiveOH = 1: 1: 1
Time: 5 (mA · cm-2)
Thickness of porous Si: 5 (μm)
further,
Current density: 50 (mA · cm-2)
Anodizing solution: HF: H2O: C2HFiveOH = 1: 1: 1
Time: 10 (sec)
Porous Si thickness: ~ 0.2 (μm)
50 (mA · cm-2), The porosity of the porous Si layer was increased, and a structurally fragile high-porosity thin layer was formed. That is, a low-porosity porous layer and a high-porosity porous layer were formed in this order from the surface side of the silicon wafer.
[0255]
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to oxidation treatment (pre-oxidation) for 1 hour in a 400 ° oxygen atmosphere. Since this oxidation treatment forms only an oxide film of approximately 50 mm or less, the silicon oxide film is formed only on the surface of the porous silicon and the side wall of the hole, and the single crystal silicon region remains inside.
[0256]
4) The wafer is exposed to an aqueous HF solution diluted to 1.25% for about 30 seconds, and then immersed in pure water for 10 minutes to remove the ultrathin silicon oxide film formed on the surface of the porous layer as an overflow rinse. did.
[0257]
5) The wafer is placed in a load lock chamber of an epitaxial CVD growth apparatus in which a load lock chamber for setting a wafer in a wafer carrier, a transfer chamber in which a wafer transfer robot is set, and a process chamber are connected. Placed in a wafer carrier.
[0258]
After depressurizing the load lock chamber from atmospheric pressure to 1 Torr or less with a dry pump, N2To 80 Torr.
[0259]
Transfer chamber is N in advance.2And is held at 80 Torr. In the process chamber, a susceptor in which carbon is coated with CVD-SiC is installed to hold a wafer. The susceptor is heated to about 750 ° C. by an IR lamp in advance. In the process chamber, purified hydrogen gas is supplied to the process chamber by a stainless steel pipe whose inner surface is polished about 10 m from the refiner by a hydrogen purifier using a heated palladium alloy.
[0260]
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot and placed on the susceptor.
[0261]
6) The wafer transferred on the susceptor is heated with an IR lamp and heated at a rate of 100 ° C. per minute, held at 950 ° C. for 2 seconds as a heat treatment (prebake treatment), and then hydrogenated to a concentration of 28 ppm. SiH as carrier gasFourFor 200 seconds, and SiHFourIs completed, and then the temperature is lowered to 900 ° C., this time SiH2Cl2Is added to a concentration of 0.5 mol%, a non-porous single crystal silicon film is formed to 0.32 μm, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is again transferred to the transfer chamber by the transfer robot. To the load lock chamber. The film thickness of the formed non-porous single crystal silicon layer was 0.32 μm on average and maximum value−minimum value = 8 nm. In addition, it was 11.4 after heat processing with respect to haze value 9.5 before heat processing. That is, r = 1.2.
[0262]
In addition, the prepared SOI substrate is dipped in HF, washed with water and dried, and then the thickness of the SOI layer is measured with an optical interference type film thickness meter and subjected to the processing of 5) and 6), and loaded. Removed from the lock. When the film thickness of the SOI layer was measured again, the amount of decrease in the film thickness of the SOI layer was less than 1 nm.
[0263]
7) A wafer obtained by epitaxially growing nonporous single crystal silicon is placed in a vertical furnace, and the nonporous single crystal is heat-treated at 1000 ° C. in a mixture of water vapor and residual oxygen formed by burning oxygen and hydrogen. The silicon surface was oxidized to form a 208 nm silicon oxide film.
[0264]
8) After the above wafer and the second silicon wafer are cleaned cleanly by a silicon semiconductor process cleaning line, the first main surfaces of both wafers are gently overlapped and the center is pressed. did.
[0265]
9) Subsequently, the integrated wafer set was placed in a vertical furnace and processed at 1100 ° C. for 1 hour in an oxygen atmosphere.
[0266]
10) When a water jet was sprayed on the side surface of the bonded wafer, the high porosity layer was cracked and divided. In addition to the water jet, the dividing method is a method of applying external pressure such as pressurization, tension, shear, wedge, etc., a method of applying ultrasonic waves, a method of applying heat, and the porous Si is expanded from the periphery by oxidation to be in the porous Si. There are a method of applying an internal pressure to the material, a method of heating in pulses, applying a thermal stress, or a method of softening. It is possible to separate by any method.
[0267]
11) When a second silicon wafer having an exposed porous silicon layer on the surface is dipped in a mixed solution of HF and hydrogen peroxide solution, all the porous silicon is removed in about 2 hours. Interference colors due to the porous single crystal silicon layer and the thermally oxidized silicon film were observed.
[0268]
12) After the processing in 11) is completed, the wafer is cleaned in a cleaning line generally used in a silicon semiconductor device process, and then placed in a vertical hydrogen annealing furnace to perform heat treatment at 1100 ° C. for 4 hours in a 100% hydrogen atmosphere. It was. Hydrogen gas is purified by a commercially available hydrogen refining device using a palladium alloy connected to the device by an internally polished stainless steel pipe of about 7 m.
[0269]
13) Thus, an SOI structure wafer was fabricated in which a 200 nm silicon oxide layer and a 200 nm single crystal silicon layer were stacked on the second silicon wafer.
[0270]
The average thickness of the single crystal silicon layer was 201 nm, and the difference between the maximum value and the minimum value was 8 nm.
[0271]
14) After removing the single crystal silicon layer by 130 nm by the defect revealing etching of the wafer of 13), the wafer was immersed in 49% HF for 3 minutes. As a result, the buried oxide film is etched by HF from the portion of the crystal defect remaining in the single crystal silicon layer etched by the defect revealing etching, and the defect density can be easily measured with a Nomarski differential interference microscope. The observed defect density is 64 / cm.2Met. Due to the hydrogen annealing treatment, stacking faults introduced into the non-porous single crystal silicon layer were reduced. Defect density 100 / cm2And a thin film SOI layer having a uniform film thickness was obtained.
[0272]
(Example 8-950 ° C., 80 Torr Prebake (2 s), Preinjection, Epi-0.01 μm Hetero-epitaxy)
1) Anodizing four p-type or n-type (100) single crystal Si substrates having a specific resistance of 0.01 Ω · cm having a thickness of 615 μm in a solution diluted with HF alcohol, A porous Si layer was formed on one main surface which is the mirror surface.
[0273]
2) The anodizing conditions were as follows.
[0274]
Current density: 7 mA / cm2
Anodizing solution: HF: H2O: C2HFiveOH = 1: 1: 1
Time: 12 minutes
The thickness of the porous Si layer: 10 μm
Porosity: 20%
[0275]
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment for 1 hour in a 400 degree oxygen atmosphere. Since this oxidation treatment generally forms only an oxide film of 50 mm or less, the silicon oxide film is formed only on the surface of the porous silicon and the side wall of the hole, and the single crystal silicon region remains inside.
[0276]
4) The wafer is exposed to an aqueous HF solution diluted to 1.25% for about 30 seconds, then immersed in pure water for 10 minutes, overflow rinsed, and an ultrathin silicon oxide film formed on the surface of the porous layer is formed. Removed.
[0277]
5) Place the wafer in the wafer carrier in the load lock chamber of the epitaxial CVD growth apparatus in which the load lock chamber in which the wafer is placed in the wafer carrier, the transfer chamber in which the wafer transfer robot is set, and the process chamber are connected. Installed. After depressurizing the load lock chamber from atmospheric pressure to 1 Torr or less with a dry pump, N2To 80 Torr. Transfer chamber is N in advance2And is held at 80 Torr. In the process chamber, a susceptor in which carbon is coated with CVD-SiC is installed to hold a wafer. The susceptor is heated to about 750 ° C. by an IR lamp in advance. In the process chamber, purified hydrogen gas is supplied to the process chamber by a stainless steel pipe whose inner surface is polished about 10 m from the refiner by a hydrogen purifier using a heated palladium alloy.
[0278]
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot and placed on the susceptor.
[0279]
6) The wafer transferred on the susceptor is heated with an IR lamp and heated at a rate of 100 ° C. per minute, held at 950 ° C. for 2 seconds as a heat treatment (prebake treatment), and then hydrogenated to a concentration of 28 ppm. SiH as carrier gasFourFor 200 seconds, and SiHFourThen, the temperature was lowered to 750 ° C. in a hydrogen atmosphere, and the wafer was again taken out to the load lock chamber via the transfer chamber by the transfer robot. The film thickness of the formed non-porous single crystal silicon layer was 0.03 μm on average. In addition, it was 11.2 after heat processing with respect to the haze value 8.5 before heat processing.
[0280]
Single crystal GaAs was epitaxially grown on the porous Si to a thickness of 1 μm by MOCVD (Metal Organic Chemical Vapor Deposition). The growth conditions were as follows.
[0281]
Source gas: TMG / AsHThree/ H2
Gas pressure: 80 Torr
Temperature: 700 ° C
[0282]
As a result of cross-sectional observation with a transmission electron microscope, it was confirmed that no crystal defects were introduced into the GaAs layer and a GaAs layer having good crystallinity was formed. At the same time, it was confirmed that an extremely steep interface was formed between the porous Si layer whose surface was sealed with Si.
[0283]
Further, the defect density was obtained by counting the number of crystal defects revealed by an optical microscope by defect revealing etching.Four/ Cm2Met.
[0284]
【The invention's effect】
As described above, according to the present invention, the heat treatment (pre-baking) conditions performed prior to the growth of the non-porous single crystal layer on the porous silicon layer are set to the change rate r of the haze value that is easy to measure. It can be determined using.
[0285]
Further, as described above, according to the present invention, the heat treatment (pre-bake) is performed so that the change rate r of the haze value of the surface of the porous silicon before and after the heat treatment is within 3.5, more preferably within 2, and The stacking fault density of the non-porous single crystal silicon layer formed on the porous layer is set to 1000 / cm by performing the conditions under which the silicon etching amount in the heat treatment is suppressed to 2 nm or less, more preferably 1 nm or less.2Less than 100 / cm2I was able to. Furthermore, the defect reduction of the present invention can be further improved by reducing the amount of silicon raw material supplied to the growth surface at the initial growth stage of non-porous single crystal silicon.
[0286]
As a result, when the present invention is applied to the bonding method, an SOI layer having a uniform film thickness and extremely few crystal defects can be obtained.
[0287]
In other words, the present invention reduces the heat treatment time and temperature for removing the natural oxide film in a short time by suppressing the amount of the natural oxide film on the porous surface that is formed in the epitaxial growth apparatus. At the same time, the structural change in the surface of the porous layer and in the vicinity of the surface is suppressed, and the formation of the non-porous single crystal silicon film is started before the change in the surface structure of the porous layer becomes obvious. Density 1000cm2Less epitaxial silicon layer is obtained.
[Brief description of the drawings]
FIG. 1 is a flowchart showing a method for forming a non-porous single crystal layer on a porous silicon layer.
FIG. 2 is a diagram showing an example of an apparatus with a load lock chamber.
FIG. 3 is a diagram for explaining a silicon etching amount in an epitaxial growth apparatus;
FIG. 4 is a diagram for explaining a relationship between a heat treatment temperature and a defect density according to a difference in an epitaxial growth apparatus.
FIG. 5 is a diagram illustrating a change in haze value due to heat treatment of porous silicon.
FIG. 6 is a diagram for explaining a relationship between a change rate of a haze value and a defect density.
FIG. 7 is an SEM image for explaining the change of the surface pores of the porous layer due to heat treatment.
FIG. 8 is a schematic diagram for explaining changes due to heat treatment of the surface pores of the porous layer.
FIG. 9 is a diagram for explaining the relationship between the time of a trace silicon raw material supply step and the defect density.
FIG. 10 is a diagram illustrating a change in haze value due to supply of a small amount of silicon.
FIG. 11 is a diagram for explaining the difference in the relationship between the heat treatment temperature and the defect density due to the difference in pressure during the heat treatment.
FIG. 12 is a diagram illustrating the relationship between heat treatment time and defect density.
FIG. 13 is an example of a system for determining conditions for appropriately performing pre-baking processing.
FIG. 14 is a schematic diagram illustrating a process of the present invention.
FIG. 15 is a schematic view illustrating a manufacturing process of an SOI substrate according to the present invention.
FIG. 16 is a conceptual diagram of an observation method of the foreign matter inspection apparatus.
[Explanation of symbols]
1. Substrate having a porous silicon layer
2 holes
3 hole wall
4 Protective film
5 Protective coating
6 Non-porous single crystal layer
10 Substrate
11 Porous silicon layer
13 Incident light
14 Reflected light
15 Scattered light
16 Silicon wafer
17 Observation area

Claims (10)

多孔質シリコン層上に非多孔質単結晶層を有する半導体基板の作製方法において、前記多孔質シリコン層上に前記非多孔質単結晶層を形成する工程に先立って、前記多孔質シリコン層を非多孔質単結晶層の原料ガスを含まない雰囲気中で熱処理を行う工程を含み、かつ前記熱処理前後での前記多孔質シリコン層表面のヘイズ値の変化率r(r=(前記熱処理後の多孔質シリコン層表面のヘイズ値)/(前記熱処理前の多孔質シリコン層表面のヘイズ値))が、1≦r≦3.5を満足するように行われることを特徴とする半導体基板の作製方法。In a method for manufacturing a semiconductor substrate having a non-porous single crystal layer on a porous silicon layer, the porous silicon layer is non-coated prior to the step of forming the non-porous single crystal layer on the porous silicon layer. A rate of change of the haze value of the surface of the porous silicon layer before and after the heat treatment r (r = (porous after the heat treatment) A method for producing a semiconductor substrate, wherein the haze value on the surface of the silicon layer) / (the haze value on the surface of the porous silicon layer before the heat treatment)) satisfies 1 ≦ r ≦ 3.5 . 多孔質シリコン層を有する基板を用意する工程、前記多孔質シリコン層を熱処理する熱処理工程、及び前記多孔質シリコン層上に非多孔質単結晶層を成長させる成長工程を有する半導体基板の作製方法において、前記熱処理は、前記非多孔質単結晶層の原料ガスを含まない雰囲気中で且つ、前記熱処理によるシリコンのエッチング量が2nm以下、及び前記多孔質シリコン層表面のヘイズ値の変化率r(r=前記熱処理後ヘイズ値/前記熱処理前のヘイズ値)が、1≦r≦3.5を満足するように行われることを特徴とする半導体基板の作製方法。  In a method for manufacturing a semiconductor substrate, comprising a step of preparing a substrate having a porous silicon layer, a heat treatment step of heat-treating the porous silicon layer, and a growth step of growing a non-porous single crystal layer on the porous silicon layer The heat treatment is performed in an atmosphere that does not include the source gas of the non-porous single crystal layer, the silicon etching amount by the heat treatment is 2 nm or less, and the change rate r (r of the haze value on the surface of the porous silicon layer) = Haze value after heat treatment / Haze value before heat treatment) is performed so as to satisfy 1 ≦ r ≦ 3.5. 多孔質シリコン層を有する第1の基板を用意する工程、前記多孔質シリコン層を熱処理する熱処理工程、前記多孔質シリコン層上に非多孔質単結晶層を成長させる成長工程、及び前記第1の基板上の前記非多孔質単結晶層を第2の基板上に移設する工程を有する半導体基板の作製方法において、前記熱処理は、前記非多孔質単結晶層の原料ガスを含まない雰囲気中で且つ、前記熱処理によるシリコンのエッチング量が2nm以下、及び前記多孔質シリコン層表面のヘイズ値の変化率r(r=前記熱処理後ヘイズ値/前記熱処理前のヘイズ値)が、1≦r≦3.5を満足するように行われることを特徴とする半導体基板の作製方法。  Providing a first substrate having a porous silicon layer, a heat treatment step for heat-treating the porous silicon layer, a growth step for growing a non-porous single crystal layer on the porous silicon layer, and the first step In the method for manufacturing a semiconductor substrate, including the step of transferring the non-porous single crystal layer on the substrate onto the second substrate, the heat treatment is performed in an atmosphere that does not include the source gas of the non-porous single crystal layer and The etching amount of silicon by the heat treatment is 2 nm or less, and the change rate r (r = the haze value after the heat treatment / the haze value before the heat treatment) of the haze value on the surface of the porous silicon layer is 1 ≦ r ≦ 3. 5. A method for manufacturing a semiconductor substrate, which is performed so as to satisfy 5. 前記非多孔質単結晶が、非多孔質単結晶シリコン層である請求項記載の半導体基板の作製方法。It said non-porous single crystal, non-porous method for manufacturing a semiconductor substrate according to claim 1 wherein the single crystal silicon layer. 前記熱処理工程は、昇温工程と自然酸化膜除去工程を含み、前記自然酸化膜除去工程は、850℃以上、1000℃以下の温度で行われる請求項記載の半導体基板の作製方法。The heat treatment step includes a Atsushi Nobori step and the native oxide film removal step, the native oxide film removal step is 850 ° C. or higher, a method for manufacturing a semiconductor substrate according to claim 1, which is carried out at 1000 ° C. or lower. 該熱処理工程に先だって、該多孔質単結晶シリコン層の表面に形成された酸化膜を除去する工程を有する請求項記載の半導体基板の作製方法。Prior to the heat treatment step, a method for manufacturing a semiconductor substrate according to claim 1, further comprising a step of removing the oxide film formed on the surface of the porous monocrystalline silicon layer. 前記熱処理工程、前記成長工程は、ロードロック室が付設された反応容器内で行われることを特徴とする請求項記載の半導体基板の作製方法。The thermal treatment process, the growth step, the method for manufacturing a semiconductor substrate according to claim 1, wherein a carried out in a reaction vessel load lock chamber is attached. 前記熱処理工程時の圧力は、前記成長工程の圧力よりも高い請求項記載の半導体基板の作製方法。The pressure during the heat treatment step, a method for manufacturing a semiconductor substrate of high claim 1 than the pressure of the growing step. 前記熱処理工程は、水素ガスを含む還元性雰囲気、窒素ガス雰囲気、あるいは不活性ガス雰囲気中で行われる請求項記載の半導体基板の作製方法。The heat treatment process, a reducing atmosphere containing hydrogen gas, a nitrogen gas atmosphere or a method for manufacturing a semiconductor substrate according to claim 1, which is carried out in an inert gas atmosphere. 前記熱処理工程は、870℃以上970℃以下で行われる請求項記載の半導体基板の作製方法。The heat treatment process, a method for manufacturing a semiconductor substrate according to claim 1, which is carried out at 870 ° C. or higher 970 ° C. or less.
JP24428899A 1998-09-04 1999-08-31 Method for manufacturing semiconductor substrate Expired - Fee Related JP3748500B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24428899A JP3748500B2 (en) 1998-09-04 1999-08-31 Method for manufacturing semiconductor substrate

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10-251271 1998-09-04
JP25127198 1998-09-04
JP24428899A JP3748500B2 (en) 1998-09-04 1999-08-31 Method for manufacturing semiconductor substrate

Publications (2)

Publication Number Publication Date
JP2000150840A JP2000150840A (en) 2000-05-30
JP3748500B2 true JP3748500B2 (en) 2006-02-22

Family

ID=26536675

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24428899A Expired - Fee Related JP3748500B2 (en) 1998-09-04 1999-08-31 Method for manufacturing semiconductor substrate

Country Status (1)

Country Link
JP (1) JP3748500B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006203087A (en) * 2005-01-24 2006-08-03 Sumco Corp Micro roughness evaluating method of thin film soi wafer
US9455150B2 (en) * 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
JP6221928B2 (en) * 2014-05-13 2017-11-01 株式会社Sumco Manufacturing method of semiconductor epitaxial wafer and manufacturing method of solid-state imaging device
JP6637515B2 (en) * 2015-03-17 2020-01-29 グローバルウェーハズ カンパニー リミテッドGlobalWafers Co.,Ltd. Thermally stable charge trapping layer for use in the fabrication of semiconductor-on-insulator structures

Also Published As

Publication number Publication date
JP2000150840A (en) 2000-05-30

Similar Documents

Publication Publication Date Title
KR100376658B1 (en) Semiconductor substrate and method for producing the same
US6143629A (en) Process for producing semiconductor substrate
KR100352368B1 (en) Semiconductor substrate and method for producing the same
US6136684A (en) Semiconductor substrate and process for production thereof
US6100165A (en) Method of manufacturing semiconductor article
US6180497B1 (en) Method for producing semiconductor base members
JP3762144B2 (en) Method for manufacturing SOI substrate
KR100369219B1 (en) Semicnductor substrated, process for its production, and electronic device fabricated on semiconductor substrate
JPH09162090A (en) Semiconductor basic material and production thereof
JPH05275358A (en) Method of controlling irregularity at surface of single crystal
JP3542491B2 (en) Semiconductor substrate having compound semiconductor layer, method of manufacturing the same, and electronic device manufactured on the semiconductor substrate
JP3748500B2 (en) Method for manufacturing semiconductor substrate
JP3611290B2 (en) Method for producing semiconductor substrate and semiconductor substrate
Lukianov et al. Free-standing epitaxial silicon thin films for solar cells grown on double porous layers of silicon and electrochemically oxidized porous silicon dioxide
JP3733265B2 (en) Method for manufacturing semiconductor substrate and method for manufacturing semiconductor film
JP3748499B2 (en) Method for manufacturing semiconductor substrate
JP3216078B2 (en) Semiconductor substrate and method of manufacturing semiconductor substrate
JPH11251563A (en) Method and furnace for heat treating soi substrate and production of soi substrate employing them
JP2008211197A (en) Method of cleaning oxide substrate and method of manufacturing oxide semiconductor thin film
JP3293766B2 (en) Semiconductor member manufacturing method
JP2000260967A (en) Manufacture of semiconductor substrate and device for heat-treating the semiconductor substrate

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050621

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050822

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051128

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081209

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091209

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091209

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101209

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111209

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121209

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131209

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees