JP2000150840A - Semiconductor substrate and production thereof - Google Patents

Semiconductor substrate and production thereof

Info

Publication number
JP2000150840A
JP2000150840A JP11244288A JP24428899A JP2000150840A JP 2000150840 A JP2000150840 A JP 2000150840A JP 11244288 A JP11244288 A JP 11244288A JP 24428899 A JP24428899 A JP 24428899A JP 2000150840 A JP2000150840 A JP 2000150840A
Authority
JP
Japan
Prior art keywords
porous
heat treatment
layer
silicon
silicon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11244288A
Other languages
Japanese (ja)
Other versions
JP3748500B2 (en
Inventor
Nobuhiko Sato
信彦 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP24428899A priority Critical patent/JP3748500B2/en
Publication of JP2000150840A publication Critical patent/JP2000150840A/en
Application granted granted Critical
Publication of JP3748500B2 publication Critical patent/JP3748500B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To produce a semiconductor substrate having reduced crystal defect on a porous silicon layer by performing heat treatment in an atmosphere containing no nonporous single crystal material gas, specifying silicon etching at a specified amount or less and specifying the variation rate of haze on the surface of a porous silicon layer. SOLUTION: A substrate 1 having a porous silicon layer 90 at least in the surface layer is prepared and a thin protective film 4 is formed on the wall 3 of a hole made in the porous single crystal silicon layer. It is immersed into an aqueous solution of low concentration HF and the protective film 4 is removed. The basic body where porous silicon is formed is then set in an epitaxial growth system and subjected to heat treatment (prebake) before a nonporous single crystal 6 is grown. Prebake conditions are set such that the etching amount of porous silicon layer, i.e., reduction in the thickness thereof, is 2 nm or less, preferably 1 nm or less, and the variation rate(r) of haze of the porous silicon layer is within 3.5, preferably within 2.0.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体基板、及び
その作製方法に関し、詳しくは、多孔質半導体層上へ形
成された非多孔質半導体層及びその形成方法に関する。
更にまた、本発明は、半導体基板とくに多孔質層表面形
状・状態の評価方法及び、当該評価方法を利用した半導
体基板の作製方法に関するものである。
The present invention relates to a semiconductor substrate and a method for manufacturing the same, and more particularly, to a non-porous semiconductor layer formed on a porous semiconductor layer and a method for forming the same.
Furthermore, the present invention relates to a method for evaluating the surface shape and state of a semiconductor substrate, particularly a porous layer, and a method for manufacturing a semiconductor substrate using the evaluation method.

【0002】また、本発明は、主としてMOSFET、
バイポーラトランジスタ等を使用する集積回路の基体と
して利用される半導体基板、および、その形成方法に関
する。
The present invention mainly relates to a MOSFET,
The present invention relates to a semiconductor substrate used as a base of an integrated circuit using a bipolar transistor or the like, and a method for forming the same.

【0003】[0003]

【従来の技術】シリコン系半導体デバイス、集積回路技
術において、絶縁物上に単結晶シリコン膜を配置したシ
リコンオンインシュレーター(SOI)構造は、寄生容
量の低減、素子分離の容易化等により、トランジスタの
高速化、低消費電力化、高集積化、および、トータルコ
ストの削減をもたらす技術としてこれまでに幾多の研究
がなされてきた。
2. Description of the Related Art In silicon-based semiconductor devices and integrated circuit technology, a silicon-on-insulator (SOI) structure in which a single-crystal silicon film is disposed on an insulator has a reduced parasitic capacitance and facilitates element isolation. Numerous studies have been made on technologies for achieving high speed, low power consumption, high integration, and reduction in total cost.

【0004】このSOI構造を形成する手法として、1
970年代から1980年代前半にかけて盛んであった
今井の提案によるFIPOS(Fully isola
tion by porous silicon)法が
ある。(K.Imai,Solid State El
ectronics 24(1981)P.159)。
この方法は、多孔質シリコンの増速酸化現象を利用して
SOI構造を形成するものであるが、表面シリコン層を
島状にしか形成できないといった特有の問題がある。
As a method of forming this SOI structure, 1
FIPOS (Fully isola) proposed by Imai, which was popular during the 970s and early 1980s
There is a method of “tion by porous silicon”. (K. Imai, Solid State El
electronics 24 (1981) P.E. 159).
This method forms an SOI structure using the accelerated oxidation phenomenon of porous silicon, but has a specific problem that a surface silicon layer can be formed only in an island shape.

【0005】最近注目を集めているSOI形成技術の一
つとして、ウエハ貼り合わせ技術(wafer bon
ding technology)があり、SOI構造
の表面シリコン層、埋め込み酸化シリコン層の膜厚任意
性と表面シリコン層の結晶性の良さから、様々な手法が
提案されている。
[0005] As one of the SOI formation techniques that have recently attracted attention, a wafer bonding technique (wafer bond) is used.
Ding technology), and various methods have been proposed from the viewpoint of the arbitrary thickness of the surface silicon layer and the buried silicon oxide layer having the SOI structure and the good crystallinity of the surface silicon layer.

【0006】ウエハ同士を接着剤等の中間層なしに接合
する貼り合わせ(Bonding)法は、中村らにより
提案されたが、その研究が盛んになるのは、J.B.L
askyら(J.B.Lasky,S.R.Stiff
ler,F.R.White,and J.R.Abe
rnathey,technical Digesto
f the International Elect
ron Devices Meeting(IEEE,
New York,1985),P.684)が貼り合
わせたウエハの一方を薄膜化する手法とその上に形成し
たMOSトランジスタの動作を1984年に報告して以
降である。
A bonding method for bonding wafers to each other without an intermediate layer such as an adhesive has been proposed by Nakamura et al. B. L
Asky et al. (JB Lasky, SR Stiff
ler, F .; R. White, and J.M. R. Abe
rnathey, technical Digesto
f the International Elect
ron Devices Meeting (IEEE,
New York, 1985); 684) reported in 1984 the method of thinning one of the bonded wafers and the operation of the MOS transistor formed thereon.

【0007】Laskyの方法は、第1のウエハとして
高濃度にボロンの添加された単結晶シリコンウエハ上に
低濃度あるいは、n型のエピタキシャルシリコン層を形
成したものを用意し、この第1のウエハと表面に酸化膜
が形成された第2のウエハを必要に応じて洗浄した後、
密着するとファンデルワールス力により2枚のウエハは
接着する。さらに熱処理を行うと、両ウエハの間には共
有結合が形成され、接合強度はデバイス作製に支障のな
いレベルにまで高まる。しかるのち、第1のウエハを裏
面より、ふっ酸、硝酸、酢酸の混合液でエッチングし、
+ シリコンウエハを選択的に除去し、エピタキシャル
シリコン層のみを第2のウエハ上に残すというのがLa
skyらの(Single Etch−stop法)で
ある。しかしながら、p+ シリコンとエピタキシャルシ
リコン(P- あるいは、n)のエッチング速度の比は数
十と低く、ウエハ全面に均一な膜厚のエピタキシャルシ
リコン層を残す為にさらなる改良が望まれていた。
In the method of Lasky, a low-concentration or n-type epitaxial silicon layer is formed on a single-crystal silicon wafer to which high-concentration boron is added as a first wafer. And the second wafer having an oxide film formed on the surface is cleaned as necessary,
When the two wafers are brought into close contact, the two wafers are adhered by Van der Waals force. When heat treatment is further performed, a covalent bond is formed between the two wafers, and the bonding strength is increased to a level that does not hinder device fabrication. Thereafter, the first wafer is etched from the back surface with a mixed solution of hydrofluoric acid, nitric acid, and acetic acid,
La selectively removes the p + silicon wafer and leaves only the epitaxial silicon layer on the second wafer.
Sky et al. (Single Etch-stop method). However, the ratio between the etching rates of p + silicon and epitaxial silicon (P or n) is as low as several tens, and further improvement has been desired in order to leave an epitaxial silicon layer having a uniform thickness on the entire surface of the wafer.

【0008】そこで、選択エッチングを2回に分けて実
施する方法が考え出された。すなわち、第1の基板とし
て、低不純物濃度のシリコンウエハの基板の表面にp++
のSi層と低不純物濃度層を積層したものを用意し、こ
の基板を前記方法と同様な第2の基板に貼り合わせる。
そののち、第1の基板を裏面より、研削、研磨等の機械
的方法で薄層化する。次に第1の基板に埋め込まれたp
++Si層が全面で露出するまで、選択エッチングを行な
う。このとき、エッチング液としてエチレンジアミンピ
ロカテコール、KOH等のアルカリ液を用いることで、
基板の不純物濃度の違いによる選択エッチングが行われ
る。しかるのち、前記したLaskyらの方法と同様の
ふっ酸、硝酸、酢酸の混合液による選択エッチングによ
り露出したp++のSi層を選択的に除去すれば、第2の
基板上には前記した低不純物濃度の単結晶Si層のみが
移設される(Double Etch−stop法)。
かかる方法においては、選択エッチングを複数回実施す
ることにより、総合的なエッチングの選択比を向上せし
め、結果としてSOIにおける表面Si層の膜厚均一性
を向上させていた。
Therefore, a method has been devised in which the selective etching is performed twice. That is, as the first substrate, p ++ is added to the surface of the low impurity concentration silicon wafer substrate.
And a low-impurity-concentration layer is prepared, and this substrate is bonded to a second substrate similar to the above-described method.
After that, the first substrate is thinned from the back surface by a mechanical method such as grinding and polishing. Next, p embedded in the first substrate
++ Selective etching is performed until the entire surface of the Si layer is exposed. At this time, by using an alkaline solution such as ethylenediamine pyrocatechol and KOH as an etching solution,
Selective etching is performed depending on the difference in the impurity concentration of the substrate. Thereafter, if the exposed p ++ Si layer is selectively removed by selective etching using a mixture of hydrofluoric acid, nitric acid and acetic acid in the same manner as in the method of Lasky et al., The above-mentioned is formed on the second substrate. Only a single-crystal Si layer with a low impurity concentration is transferred (Double Etch-stop method).
In this method, by performing selective etching a plurality of times, the overall etching selectivity is improved, and as a result, the film thickness uniformity of the surface Si layer in SOI is improved.

【0009】しかしながら、上記したような基板の不純
物濃度、ないしは、組成の違いを利用した選択エッチン
グによる薄層化においては、不純物濃度の深さ方向のプ
ロファイルの影響を受けることが予測される。すなわ
ち、ウエハの接合強度を高めるために貼り合わせ後の熱
処理を高温にすると、埋め込み層の不純物が拡散する結
果、エッチングの選択性が劣化し、結果として、膜厚均
一性が劣化してしまっていた。したがって、貼り合わせ
後の熱処理は摂氏800度以下である必要があった。ま
た、かかる複数回のエッチングはそれぞれのエッチング
選択比が低いので、量産時の制御性が疑問視されてい
た。
However, in the above-described thinning of the substrate by selective etching utilizing the impurity concentration of the substrate or the difference in composition, it is expected that the impurity concentration is affected by the profile in the depth direction. That is, if the heat treatment after bonding is performed at a high temperature in order to increase the bonding strength of the wafer, the impurities in the buried layer are diffused, so that the etching selectivity is deteriorated, and as a result, the film thickness uniformity is deteriorated. Was. Therefore, the heat treatment after bonding needs to be 800 degrees Celsius or less. In addition, since the plurality of etchings have low etching selectivity, controllability during mass production has been questioned.

【0010】前記した方法ではエッチングの選択性を不
純物濃度、ないしは、組成の差に求めていたが、特開平
5−21338号公報はかかる問題点を解決すべく、構
造の差異にエッチングの選択性をもとめている。すなわ
ち、単位体積当たりの表面積が200m2 /cm3 とい
うような多孔質シリコン非多孔質シリコンの構造の差異
により、10万倍という高い選択エッチングを実現して
いる(多孔質シリコンを用いた構造差による選択エッチ
ング法)。かかる方法では、第1の基板たる単結晶Si
ウエハ表面を陽極化成により多孔質化したのち、非多孔
質単結晶シリコン層をエピタキシャル成長させ、第1の
基板とする。その後、第2の基板と貼り合わせ、必要に
応じて熱処理等により接合強度を高めたのち、第1の基
板の裏面を研削、研磨等により除去し、多孔質シリコン
層を全面で露出せしめる。この後、多孔質シリコンをエ
ッチングにより選択的に除去し、結果として、第2の基
板上に前期非多孔質単結晶シリコン層を移設するという
方法である。10万倍という高い選択比を得た結果、得
られるSOI層の膜厚均一性はエッチングで損なわれる
ことはほとんどなく、エピタキシャル成長した単結晶シ
リコン層の成長時の均一性がそのまま反映されることが
明らかになった。すなわち、市販のCVDエピタキシャ
ル成長装置が実現するウエハ内均一性として例えば1.
5−3%以下がSOI−Si層において実現されるので
ある。この方法ではFIPOSで選択酸化のための材料
であった多孔質シリコンを選択エッチングの材料として
いる。したがって、Porosityは56%近辺に限
定されることはなく、むしろ、20%前後といった低い
ものが好適である。なお、上記した特開平5−2133
8号公報に開示されるSOI構造の作製方法は米原らの
報告(T.Yonehara,K.Sakaguch
i,N.sato,Appl.Phys.lett.6
4(1994)p.2108)において、ELTRAN
(登録商標)と名付けられた。
In the above-mentioned method, the selectivity of etching is determined based on the impurity concentration or the difference in composition. However, Japanese Patent Laid-Open Publication No. Hei 5-21338 discloses a method for solving the above-mentioned problem. I am looking for. That is, due to the difference in structure between porous silicon and non-porous silicon having a surface area per unit volume of 200 m 2 / cm 3 , selective etching as high as 100,000 times is realized (the structural difference using porous silicon). Selective etching method). In such a method, single crystal Si as a first substrate is used.
After the wafer surface is made porous by anodizing, a non-porous single-crystal silicon layer is epitaxially grown to obtain a first substrate. Then, after bonding with the second substrate and increasing the bonding strength by heat treatment or the like, if necessary, the back surface of the first substrate is removed by grinding, polishing, or the like, so that the entire surface of the porous silicon layer is exposed. Thereafter, the porous silicon is selectively removed by etching, and as a result, the non-porous single-crystal silicon layer is transferred onto the second substrate. As a result of obtaining a high selectivity of 100,000 times, the thickness uniformity of the obtained SOI layer is hardly impaired by etching, and the uniformity during the growth of the epitaxially grown single crystal silicon layer is directly reflected. It was revealed. That is, the uniformity within a wafer realized by a commercially available CVD epitaxial growth apparatus is, for example, 1.
Less than 5-3% is realized in the SOI-Si layer. In this method, porous silicon, which was a material for selective oxidation in FIPOS, is used as a material for selective etching. Therefore, the porosity is not limited to around 56%, but is preferably as low as around 20%. Note that the above-mentioned Japanese Patent Application Laid-Open No. 5-2133 is disclosed.
No. 8, published by Yonehara et al. (T. Yonehara, K. Sakaguchi).
i, N. sato, Appl. Phys. lett. 6
4 (1994) p. 2108), ELTRAN
(Registered trademark).

【0011】また、多孔質シリコン最終物の構造材とな
らないので、多孔質シリコンの構造変化、粗大化もエッ
チングの選択比を損なわない範囲で許容される。
Further, since it does not become the structural material of the porous silicon final product, structural change and coarsening of the porous silicon are allowed as long as the etching selectivity is not impaired.

【0012】本発明者である佐藤ら(N.Sato,
K.Sakaguchi,K.Yamagata,Y.
Fujiyama,and T.Yonehara,P
roc.of the Seventh Int.Sy
mp.on SiliconMater.Sci.an
d Tech.Semiconductor Sili
con,(Pennigton,The Electr
ochem.Soc.Inc.,1994),P.44
3)は、多孔質上のエピタキシャル成長として、SiH
2 Cl2 を原料ガスとしたCVD(Chemical
Vapor Depositon)法を実施しており、
その際のプロセス温度は、エピタキシャル成長前に行う
熱処理が1040℃エピタキシャル成長は900−95
0℃である。
The present inventor, Sato et al. (N. Sato,
K. Sakaguchi, K .; Yamagata, Y .;
Fujiyama, and T.M. Yonehara, P
rc. of the Seventh Int. Sy
mp. on SiliconMater. Sci. an
d Tech. Semiconductor Sili
con, (Pennigton, The Electr
ochem. Soc. Inc. , 1994), p. 44
3) As the epitaxial growth on the porous material, SiH
CVD (Chemical) using 2 Cl 2 as a source gas
Vapor Deposition) method,
The process temperature at that time is 1040 ° C. for the heat treatment performed before the epitaxial growth, and 900-95 for the epitaxial growth.
0 ° C.

【0013】多孔質シリコンは、高温の熱処理では構造
の粗大化が著しいが、佐藤らは、エピタキシャル成長に
先だって、多孔質シリコンの孔壁に保護膜を形成する工
程であるプリ酸化(Preoxidation)工程を
導入したことにより熱処理に伴う多孔質シリコン層の構
造粗大化はほぼ抑制されている。このプリ酸化は例えば
酸素雰囲気中400℃でなされる。
Although the structure of porous silicon is remarkably coarsened by heat treatment at a high temperature, Sato et al. Conducted a pre-oxidation (preoxidation) step of forming a protective film on the pore walls of porous silicon prior to epitaxial growth. Due to the introduction, the coarsening of the structure of the porous silicon layer due to the heat treatment is substantially suppressed. This pre-oxidation is performed, for example, at 400 ° C. in an oxygen atmosphere.

【0014】この方法においては、多孔質シリコン上へ
の非多孔質単結晶シリコンのエピタキシャル成長をいか
に欠陥少なく形成するかが重要な技術の一つである。こ
れにより形成されるSOIウエハでは、積層欠陥が主た
る欠陥であり、多孔質シリコン上のエピタキシャルシリ
コン層中の積層欠陥密度は103 〜104 /cm2 と報
告されている。
In this method, one of the important techniques is how to form a defect-free epitaxial growth of non-porous single crystal silicon on porous silicon. In the SOI wafer thus formed, stacking faults are the main defects, and stacking fault density in an epitaxial silicon layer on porous silicon is reported to be 10 3 to 10 4 / cm 2 .

【0015】[0015]

【発明が解決しようとする課題】一般に積層欠陥は酸化
膜の絶縁耐圧の劣化を引き起こすおそれがあることが指
摘されている。これは積層欠陥を囲む転移部分に金属不
純物が析出した場合にp−n接合のリーク電流を高め、
少数キャリアライフタイムを劣化させるためと考えられ
ている。その他の上記した多孔質上のエピタキシャル成
長に関する報告にあっても、より検出限界の低い欠陥顕
在化エッチングののち、光学顕微鏡観察するという方法
で結晶欠陥が103 /cm2 を下回るという報告はなか
った。10 3 〜104 /cm2 の積層欠陥が1μm2
ゲート領域に含まれる確率はおよそ0.0001〜0.
00001と低いものの、バルクシリコンウエハに比べ
れば、依然欠陥密度は高く、その影響は一般的には集積
回路の収率として表面化することが予測される。上記方
法により得られるSOIウエハを実用に供するにあたっ
てかかる積層欠陥密度を少なくとも1000/cm2
下に低減することが求められている。
Generally, stacking faults are oxidized.
It is important to note that the dielectric strength of the film may deteriorate.
Has been plucked. This is due to the absence of metal in the transition area surrounding stacking faults.
When a pure substance is deposited, the leakage current of the pn junction is increased,
It is thought to degrade the minority carrier lifetime
ing. Epitaxial growth on other porous materials mentioned above
Defect detection with lower detection limit
Method of optical microscopy after localized etching
With 10 crystal defectsThree / CmTwo There is no report that it is below
Was. 10 Three -10Four / CmTwo Stacking fault of 1μmTwo of
The probability of being included in the gate region is approximately 0.0001-0.
Although it is as low as 00001, compared to bulk silicon wafer
The defect density is still high, and the effect is generally
It is expected that the circuit will surface as the yield. Above
The SOI wafer obtained by the method
The stacking fault density to at least 1000 / cmTwo Less than
It is required to reduce it below.

【0016】また、多孔質シリコン層上に非多孔質単結
晶シリコンをエピタキシャル成長させる際に、積層欠陥
が数多く導入されるのは、エピタキシャル成長の支持基
体が「多孔質構造」であることに起因する。
Further, the reason why a large number of stacking faults are introduced when non-porous single-crystal silicon is epitaxially grown on a porous silicon layer is that the support substrate for epitaxial growth has a "porous structure".

【0017】そして、従来から、この多孔質構造、とく
にその表面形状や状態を評価(観察)する方法として
は、主として直接SEM等により観察する手段がとられ
ているが、手段としては、複雑であり、より簡便に評価
できる方法が求められていた。
Conventionally, as a method of evaluating (observing) this porous structure, particularly its surface shape and state, a means of directly observing with a SEM or the like has been mainly used, but the means is complicated. There was a need for a method that could be evaluated more easily.

【0018】(発明の目的)本発明の第1の目的は、多
孔質シリコン層上に結晶欠陥の低減された非多孔質単結
晶層を有する半導体基板とその基板の作製方法を提供す
ることである。
(Object of the Invention) A first object of the present invention is to provide a semiconductor substrate having a non-porous single crystal layer with reduced crystal defects on a porous silicon layer, and a method for manufacturing the substrate. is there.

【0019】本発明の第2の目的は、絶縁物上に結晶欠
陥密度の少ない非多孔質結晶層を有する基板及び、その
作製方法を提供することである。
A second object of the present invention is to provide a substrate having a non-porous crystal layer having a low crystal defect density on an insulator, and a method for manufacturing the same.

【0020】本発明の第3の目的は、多孔質層の表面状
態の簡易な評価方法を提供すること、更に該評価方法を
利用して多孔質上に形成される薄膜の積層欠陥密度を低
減することにある。
A third object of the present invention is to provide a simple method for evaluating the surface state of a porous layer, and further reduce the stacking fault density of a thin film formed on a porous material by using the method. Is to do.

【0021】[0021]

【課題を解決するための手段】本発明は、多孔質シリコ
ン層上に非多孔質単結晶層を有する半導体基板の作製方
法において、前記多孔質シリコン層上に前記非多孔質単
結晶層を形成する工程に先立って、前記多孔質シリコン
層を非多孔質単結晶層の原料ガスを含まない雰囲気中で
熱処理を行う工程を含み、かつ前記熱処理前後での前記
多孔質シリコン層表面のヘイズ値の変化率r(r=(前
記熱処理後の多孔質シリコン層表面のヘイズ値)/(前
記熱処理前の多孔質シリコン層表面のヘイズ値))が一
定の範囲内になるように前記熱処理条件を定めることを
特徴とする。
According to the present invention, there is provided a method of manufacturing a semiconductor substrate having a non-porous single-crystal layer on a porous silicon layer, wherein the non-porous single-crystal layer is formed on the porous silicon layer. Prior to the step of performing, including a step of performing a heat treatment on the porous silicon layer in an atmosphere containing no source gas of the non-porous single crystal layer, and the haze value of the surface of the porous silicon layer before and after the heat treatment The heat treatment conditions are determined so that the rate of change r (r = (haze value of porous silicon layer surface after heat treatment) / (haze value of porous silicon layer surface before heat treatment)) is within a certain range. It is characterized by the following.

【0022】また本発明は、前記一定の範囲が、1≦r
≦3.5であることを特徴とする。更に本発明は、多孔
質シリコン層を有する基板を用意する工程、前記多孔質
シリコン層を熱処理する熱処理工程、及び前記多孔質シ
リコン層上に非多孔質単結晶層を成長させる成長工程、
を有する半導体基板の作製方法において、前記熱処理
は、前記非多孔質単結晶層の原料ガスを含まない雰囲気
中で且つ、前記熱処理によるシリコンのエッチング量が
2nm以下、及び前記多孔質シリコン層表面のヘイズ値
の変化率r(r=前記熱処理後ヘイズ値/前記熱処理前
のヘイズ値)が、1≦r≦3.5を満足するように行わ
れることを特徴とする。
In the present invention, the predetermined range is 1 ≦ r
≦ 3.5. Further, the present invention provides a step of preparing a substrate having a porous silicon layer, a heat treatment step of heat treating the porous silicon layer, and a growth step of growing a non-porous single crystal layer on the porous silicon layer,
In the method for manufacturing a semiconductor substrate having, the heat treatment is performed in an atmosphere containing no source gas for the non-porous single crystal layer, and the amount of silicon etched by the heat treatment is 2 nm or less, and the surface of the porous silicon layer is The rate of change of the haze value r (r = haze value after the heat treatment / haze value before the heat treatment) satisfies 1 ≦ r ≦ 3.5.

【0023】また本発明は、多孔質シリコン層を有する
第1の基板を用意する工程、前記多孔質シリコン層を熱
処理する熱処理工程、前記多孔質シリコン層上に非多孔
質単結晶層を成長させる成長工程、及び前記第1の基板
上の前記非多孔質単結晶層を第2の基板上に移設する工
程、を有する半導体基板の作製方法において、前記熱処
理は、前記非多孔質単結晶層の原料ガスを含まない雰囲
気中で且つ、前記熱処理によるシリコンのエッチング量
が2nm以下、及び前記多孔質シリコン層表面のヘイズ
値の変化率r(r=前記熱処理後ヘイズ値/前記熱処理
前のヘイズ値)が、1≦r≦3.5を満足するように行
われることを特徴とする。
Further, the present invention provides a step of preparing a first substrate having a porous silicon layer, a heat treatment step of heat treating the porous silicon layer, and growing a non-porous single crystal layer on the porous silicon layer. A method of manufacturing a semiconductor substrate, comprising: a growth step; and a step of transferring the non-porous single crystal layer on the first substrate to a second substrate. In an atmosphere containing no source gas, the amount of silicon etched by the heat treatment is 2 nm or less, and the rate of change r of the haze value of the surface of the porous silicon layer (r = haze value after the heat treatment / haze value before the heat treatment) ) Is performed so as to satisfy 1 ≦ r ≦ 3.5.

【0024】以下、発明の実施の形態を説明する前に、
多孔質の基体上への非多孔質層の形成方法について説明
した後、本発明をなすに至った実験結果について述べ
る。
Before describing the embodiments of the present invention,
After a method for forming a non-porous layer on a porous substrate is described, experimental results that led to the present invention will be described.

【0025】多孔質シリコン層上への非多孔質単結晶層
(エピタキシャル成長層)の形成方法について、図1の
フローチャートを用いて説明する。
A method for forming a non-porous single crystal layer (epitaxially grown layer) on a porous silicon layer will be described with reference to the flowchart of FIG.

【0026】まず、多孔質シリコン層を有する基板を用
意する(S1)。次に、非多孔質単結晶層の成長に先立
って、上記多孔質シリコン層を非多孔質単結晶層の原料
ガスを含まない雰囲気下で熱処理を行う。
First, a substrate having a porous silicon layer is prepared (S1). Next, prior to the growth of the non-porous single-crystal layer, the porous silicon layer is subjected to a heat treatment in an atmosphere containing no source gas for the non-porous single-crystal layer.

【0027】これは、プリベーク工程(S2)と呼ばれ
るもので、多孔質シリコン層表面に付着した自然酸化膜
を除去等する工程である。
This is called a pre-bake step (S2), and is a step for removing a natural oxide film adhered to the surface of the porous silicon layer.

【0028】なお、上述の「非多孔質単結晶層の原料ガ
スを含まない雰囲気下」とは、具体的には、水素ガスを
含む還元性雰囲気、又はHe,Ar,Ne等の不活性ガ
ス雰囲気、又は超高真空中での熱処理である。
The above-mentioned "in an atmosphere containing no source gas for the non-porous single crystal layer" is specifically defined as a reducing atmosphere containing a hydrogen gas or an inert gas such as He, Ar, Ne or the like. This is a heat treatment in an atmosphere or an ultra-high vacuum.

【0029】上記プリベーク工程後、原料ガスを投入
し、非多孔質単結晶層の成長(S3)を行う。こうし
て、多孔質シリコン層上へ、非多孔質単結晶層が形成さ
れる。
After the pre-bake step, a raw material gas is supplied to grow a non-porous single crystal layer (S3). Thus, a non-porous single crystal layer is formed on the porous silicon layer.

【0030】次に、本発明をなすに至った技術的知見に
ついて述べる。
Next, the technical findings that led to the present invention will be described.

【0031】(実験1:エビ膜成長前の昇温工程におけ
るSiエッチング量の差異)図3に2つのエピタキシャ
ル成長系における非多孔質単結晶シリコン表面のエッチ
ングによる厚み減少量の時間依存性を示す。系Aは、ロ
ードロック室付の装置を、系Bはロードロック室のな
い、大気開放型の反応室をもつ装置を用いた系である。
(Experiment 1: Difference in Si Etching Amount in Heating Step Before Growth of Shrimp Film) FIG. 3 shows the time dependence of the amount of thickness reduction by etching of the surface of non-porous single crystal silicon in the two epitaxial growth systems. The system A is a system using a device with a load lock chamber, and the system B is a system using a device without a load lock chamber and having an open-to-air reaction chamber.

【0032】系Aの装置は、具体的には、以下に詳述す
るように、ロードロック室を設けることにより、反応室
を直接大気にさらすことなく、ウエハの出し入れを行え
るようにしている。
As will be described in detail below, the apparatus of the system A is provided with a load lock chamber so that wafers can be taken in and out without directly exposing the reaction chamber to the atmosphere.

【0033】そして、反応室のリーク量は20mTor
r/分以下、より好ましくは、10mTorr/分以下
とするのがよい。
The amount of leak in the reaction chamber is 20 mTorr.
r / min or less, more preferably 10 mTorr / min or less.

【0034】また、供給ガス系のガスパネルのリーク量
を0.5psi/24h、より好ましくは0.2psi
/24h以下とするのがよい。
Further, the leak amount of the gas panel of the supply gas system is set to 0.5 psi / 24 h, more preferably 0.2 psi.
/ 24h or less.

【0035】更にまた、供給ガスとしては高純度のもの
を用いることが好ましく、具体的には、例えばH2 ガス
を用いてプリベークを行う場合は、装置の近く約20m
以内、好ましくは10m以内に配置されるガス純化器を
通したものを使用するのがよい。純化器としては、加熱
したパラジウムセルを等価させるタイプのものや、吸着
材を装備したフィルター型のものが好適に用いられる。
Further, it is preferable to use a high-purity gas as the supply gas. Specifically, for example, when prebaking is performed using H 2 gas, about 20 m near the apparatus is used.
It is good to use what passed through a gas purifier arranged within 10 m, preferably within 10 m. As the purifier, a type that makes a heated palladium cell equivalent or a filter type equipped with an adsorbent is suitably used.

【0036】図2に模式的に示される処理装置を用い
た。
The processing apparatus shown schematically in FIG. 2 was used.

【0037】21は反応室(プロセスチャンバー)、2
2はロードロック室、32は、搬送室(移載チャンバ
ー)である。23は、反応室21と搬送室32とを仕切
るゲードバルブ、24は搬送室32とロードロック室2
2を仕切るゲートバルブである。25は、基板Wを加熱
する為のランプのような加熱器、26は、基板Wを載置
するサセプタ、27、28、33は反応室21とロッド
ロック室22搬送室32内をそれぞれ排気する為の排気
系、29は反応室21内に処理ガスを導入する為のガス
供給系、30、34は、搬送室32やロッドロック室2
2内をパージしたり、昇圧する為のガスを導入する為の
ガス供給系である。31は基板Wを反応室21に対して
搬入及び搬出する為の搬送アームである。35はウエハ
カセットである。
Reference numeral 21 denotes a reaction chamber (process chamber), 2
2 is a load lock chamber, and 32 is a transfer chamber (transfer chamber). Reference numeral 23 denotes a gate valve that separates the reaction chamber 21 from the transfer chamber 32, and 24 denotes a transfer chamber and the load lock chamber 2.
2 is a gate valve for partitioning 2. 25 is a heater such as a lamp for heating the substrate W, 26 is a susceptor for mounting the substrate W, and 27, 28 and 33 exhaust the inside of the reaction chamber 21 and the transfer chamber 32 of the rod lock chamber 22 respectively. Exhaust system 29, a gas supply system 29 for introducing a processing gas into the reaction chamber 21, 30, 34 a transfer chamber 32 and a rod lock chamber 2.
This is a gas supply system for purging the inside 2 and for introducing a gas for increasing the pressure. Reference numeral 31 denotes a transfer arm for transferring the substrate W into and out of the reaction chamber 21. Reference numeral 35 denotes a wafer cassette.

【0038】更に変形例として、ロッドロック室22を
搬送アームを収容する搬送室32をゲートバルブ24で
仕切らずに一体化したものであってもよい。
Further, as a modified example, the rod lock chamber 22 may be integrated with the transfer chamber 32 accommodating the transfer arm without being partitioned by the gate valve 24.

【0039】なおこのようなロードロック室付の処理装
置を用いて行う熱処理を、便宜上「系Aでの熱処理」と
いう。
The heat treatment performed using such a treatment apparatus with a load lock chamber is referred to as “heat treatment in system A” for convenience.

【0040】この系Aでは、反応室の加熱器を予め稼動
させ、サセプタ等を600℃〜1000℃程度に昇温さ
せておくことができる。
In the system A, the heater in the reaction chamber is operated in advance, and the temperature of the susceptor and the like can be raised to about 600 ° C. to 1000 ° C.

【0041】この方法を採用すると、反応室に導入され
たウエハをおよそ10秒程度で600℃〜1000℃に
昇温させることが可能であり、昇温時間の短縮、および
後述する多孔質シリコンの表面の孔状態の変化がこの熱
処理により進行するのを抑制することができる。
By employing this method, it is possible to raise the temperature of the wafer introduced into the reaction chamber to 600 ° C. to 1000 ° C. in about 10 seconds. The change in the state of the pores on the surface can be suppressed from progressing by this heat treatment.

【0042】熱処理温度は系Aの場合1100℃、系B
の場合1050℃、系Aでは600Torr、系Bは7
60Torr、雰囲気はどちらも水素ガスである。エッ
チング量は、SOI基板を用い、SOI層の膜厚減少量
を測定して求めた。
The heat treatment temperature is 1100 ° C. for system A,
1050 ° C., 600 Torr for system A, 7 for system B
At 60 Torr, both atmospheres are hydrogen gas. The etching amount was obtained by measuring the amount of decrease in the thickness of the SOI layer using an SOI substrate.

【0043】系Bでは、熱処理時間が0であってもエッ
チング量が7nm以上である。これは上記基板を設定温
度まで昇温したのち、すぐに温度を下げた場合のエッチ
ング量を意味する。昇温するだけで、7nm近くもシリ
コン厚が減少する。
In the system B, the etching amount is 7 nm or more even if the heat treatment time is 0. This means the amount of etching when the temperature is immediately lowered after the substrate is heated to the set temperature. Just increasing the temperature will reduce the silicon thickness by as much as 7 nm.

【0044】一方、系Aでは10分間熱処理してもエッ
チング量は、2nm以下となっている。なお、系Aで
は、設定温度1050℃の場合よりも1100℃の場合
の方が熱処理時間に対するエッチング量が多いことが分
かっている。
On the other hand, in the system A, the etching amount is 2 nm or less even after the heat treatment for 10 minutes. It is known that, in the system A, the etching amount with respect to the heat treatment time is larger at 1100 ° C. than at 1050 ° C.

【0045】この差異は反応容器内の酸素分・水分によ
る昇温工程でのシリコンの酸化と形成された酸化シリコ
ンのエッチングによって説明される。反応容器内の酸素
分・水分は、供給されるガスの純度、供給配管内の吸着
水分、微小リーク、反応容器自身の気密性、及び反応容
器への基板搬入時の混入によって決まる。基板搬入時の
酸素分、水素の混入はロードロックを介して、基板を反
応容器に導入するか、直接反応容器を大気開放して基板
を搬入するかが大きく作用する。
This difference is explained by the oxidation of silicon and the etching of the formed silicon oxide in the temperature raising step by the oxygen content and moisture in the reaction vessel. The oxygen content and moisture in the reaction vessel are determined by the purity of the supplied gas, the moisture absorbed in the supply pipe, minute leaks, the hermeticity of the reaction vessel itself, and the contamination when the substrate is carried into the reaction vessel. Incorporation of oxygen and hydrogen at the time of carrying in the substrate greatly depends on whether the substrate is introduced into the reaction vessel via a load lock or the reaction vessel is directly opened to the atmosphere and the substrate is carried in.

【0046】但し、反応容器を大気開放しても、その後
昇温せずに十分に容器内のガスを置換すれば、残留酸素
・水分濃度は減少するが、量産時には効率が問題とな
る。また、エッチング量は、設定温度まで昇温するのに
要する時間の影響も受ける。熱容量の小さい基板保持具
に支持されている場合には、昇温速度を高めることが可
能である。
However, even if the reaction vessel is opened to the atmosphere, if the gas in the vessel is sufficiently replaced without raising the temperature thereafter, the concentration of residual oxygen and water decreases, but efficiency becomes a problem during mass production. Further, the amount of etching is also affected by the time required to raise the temperature to the set temperature. When supported by a substrate holder having a small heat capacity, the rate of temperature rise can be increased.

【0047】なお、微量の酸素分や水分が系に存在して
いる場合、これらの濃度が低ければシリコンをエッチン
グすることは、F.w.Smith et.al.J.
Electrochem.Soc.129 1300
(1982)やG.Ghidini et.al.J.
Electrochem.Soc.131 2924
(1984)に報告されている。
When a trace amount of oxygen or moisture is present in the system, if these concentrations are low, etching of silicon can be performed as described in F.S. w. Smith et. al. J.
Electrochem. Soc. 129 1300
(1982) and G.W. Ghidini et. al. J.
Electrochem. Soc. 131 2924
(1984).

【0048】一方、水分等の濃度が高くなると、シリコ
ンを酸化して酸化シリコンを形成する。そして、この酸
化シリコンは、温度上昇に伴い、隣接するシリコンと反
応し、エッチングされてしまう。SiO2 +Si→2S
iO↑との反応をおこすのである。
On the other hand, when the concentration of moisture or the like increases, silicon is oxidized to form silicon oxide. Then, this silicon oxide reacts with the adjacent silicon as the temperature rises and is etched. SiO 2 + Si → 2S
It reacts with iO ↑.

【0049】結局、系に残留する酸素分、水分は、昇温
中にシリコンのエッチングに寄生するので、反応容器内
の残留酸素・水分量の大小は、シリコンのエッチング量
を調べることによって把握できる。
After all, the oxygen content and moisture remaining in the system are parasitic on the silicon etching during the temperature rise. Therefore, the magnitude of the residual oxygen and moisture content in the reaction vessel can be grasped by examining the silicon etching amount. .

【0050】(実験2:プリベーク時の温度と積層欠陥
密度の関係)これら系A,Bで、多孔質シリコン層上に
形成した非多孔質単結晶シリコン中に導入される積層欠
陥密度の熱処理温度(プリベーク温度)依存性を示した
のが、図4である。系Aにおける圧力は、600Tor
rで、系B−1,B−2における圧力は共に760To
rrである。
(Experiment 2: Relationship between Prebaking Temperature and Stacking Fault Density) In these systems A and B, heat treatment temperature of stacking fault density introduced into non-porous single-crystal silicon formed on the porous silicon layer FIG. 4 shows (pre-bake temperature) dependency. The pressure in system A is 600 Torr
r, the pressures in systems B-1 and B-2 are both 760 To
rr.

【0051】系B−1、系B−2は佐藤ら(N.Sat
o et.al.Jpn.J.Appl.Phys.3
5(1996)973)に報告されているデータであ
る。積層欠陥密度は、プリベーク温度を上げるのに伴
い、減少している。また、系B−2は成長初期のシリコ
ンソースガスの供給量を少なくして、成長速度を著しく
抑制したものである。系B−1比して、温度に依らず積
層欠陥密度が約1/3に減少しているものの、いずれの
場合にも欠陥密度は熱処理温度を高温にして初めて低減
されている。
The systems B-1 and B-2 were obtained from Sato et al.
o et. al. Jpn. J. Appl. Phys. 3
5 (1996) 973). The stacking fault density decreases with increasing pre-bake temperature. In the system B-2, the supply rate of the silicon source gas in the initial stage of the growth was reduced to significantly suppress the growth rate. Although the stacking fault density is reduced to about 1/3 irrespective of the temperature as compared with the system B-1, the defect density is reduced in any case only when the heat treatment temperature is increased.

【0052】なお、このようにプリベーク温度を高温に
することで、積層欠陥密度が低減される理由は次のとお
りである。シリコンエッチング量が7nm程と多い系B
−1、B−2では、昇温過程で、残留酸素・水分により
シリコン表面に酸化シリコンが一旦形成される。低温領
域では、形成された酸化シリコンを除去しきれないの
で、欠陥密度が高い。ところが熱処理温度、時間を十分
に確保すると、形成された酸化シリコンを除去する結
果、結晶欠陥密度が減少し始めると考えられる。
The reason why the stacking fault density is reduced by increasing the pre-bake temperature as described above is as follows. System B with a large silicon etching amount of about 7 nm
At -1 and B-2, silicon oxide is once formed on the silicon surface by the residual oxygen and moisture during the temperature raising process. In the low temperature region, the formed silicon oxide cannot be completely removed, so that the defect density is high. However, if the heat treatment temperature and time are sufficiently secured, it is considered that the formed silicon oxide is removed, and as a result, the crystal defect density starts to decrease.

【0053】一方、系Aでは結晶欠陥密度は、1000
℃を越える高温領域では104 /cm2 台で、欠陥密度
は熱処理温度を上げても系B−1、B−2ほど顕著には
減少しない。しかし、温度を下げていくと950℃付近
に欠陥密度の極小値が存在し、欠陥密度は、950℃で
102 /cm2 程度まで減少した。
On the other hand, in the system A, the crystal defect density is 1000
In a high temperature range exceeding 100 ° C., the density is on the order of 10 4 / cm 2 , and the defect density does not decrease remarkably as compared with the systems B-1 and B-2 even when the heat treatment temperature is increased. However, as the temperature was lowered, a minimum value of the defect density was present at around 950 ° C., and the defect density was reduced to about 10 2 / cm 2 at 950 ° C.

【0054】すなわち、シリコンエッチング量の多い系
B−1、B−2と異なり、シリコンエッチング量が2n
m以下と少ない系Aでは多孔質の構造変化・粗大化を伴
わずに積層欠陥密度を低減できることが分かった。
That is, unlike the systems B-1 and B-2 which have a large silicon etching amount, the silicon etching amount is 2n.
It was found that in the case of the system A as small as m or less, the stacking fault density can be reduced without changing the structure and coarsening of the porous structure.

【0055】以上のことから、エピタキシャル成長装置
に多孔質シリコン層を形成した基板を設置してから、シ
リコン原料ガスを反応容器内に導入して非多孔質単結晶
層形成を開始するまでの間に対皇室シリコン層表面から
エッチングされるシリコン量即ち、多孔質シリコン層の
層厚減少量が非多孔質単結晶シリコン層への積層欠陥導
入に重要な役割を果たしていることを知見するに至っ
た。
As described above, the period from when the substrate on which the porous silicon layer is formed is set in the epitaxial growth apparatus to when the silicon source gas is introduced into the reaction vessel and the formation of the non-porous single crystal layer is started. It has been found that the amount of silicon etched from the surface of the silicon layer of the imperial chamber, that is, the amount of reduction in the thickness of the porous silicon layer plays an important role in introducing stacking faults into the non-porous single-crystal silicon layer.

【0056】(実験3:ヘイズレベルと積層欠陥密度の
関係)一方、系Aにおいて、950℃付近で欠陥密度が
極小値をとる理由を明らかにするために多孔質シリコン
層を形成した基板をPrebakeの処理だけ施した
後、反応容器より取り出して、市販の異物検査装置で多
孔質シリコンが形成された表面ヘイズレベルを測定し
た。
(Experiment 3: Relationship between Haze Level and Stacking Fault Density) On the other hand, in System A, in order to clarify the reason why the defect density takes a minimum value near 950 ° C., the substrate on which the porous silicon layer was formed was prebaked. After performing only the above treatment, the substrate was taken out of the reaction vessel, and the surface haze level at which the porous silicon was formed was measured using a commercially available foreign substance inspection device.

【0057】ヘイズレベルの測定には、シリコンウエハ
の鏡面上にある異物(Particle)の位置、大き
さ等を検知する装置として、異物検査装置が複数の装置
メーカーより市販されているので、それを用いて行なっ
た。これらの異物検査装置では、レーザー光をシリコン
ウエハに入射し、その正反射光ではなく散乱光をモニタ
ーすることにより、異物を検知する。レーザー光、ない
しは、シリコンウエハ側を移動させることにより、レー
ザー光の入射するウエハ内の位置を移動させ、おのおの
の場所での散乱光強度を座標位置と対応させてモニター
する。異物のある場所にレーザー光が差し掛かると、異
物によってレーザーが散乱されるために、散乱光強度が
高くなる。
For measuring the haze level, a foreign substance inspection apparatus is commercially available from a plurality of apparatus manufacturers as an apparatus for detecting the position, size, etc. of a foreign substance (Particle) on a mirror surface of a silicon wafer. Was performed using In these foreign substance inspection devices, a foreign substance is detected by irradiating a laser beam onto a silicon wafer and monitoring not a specular reflection light but a scattered light. By moving the laser light or the silicon wafer side, the position in the wafer where the laser light is incident is moved, and the scattered light intensity at each location is monitored in correspondence with the coordinate position. When a laser beam reaches a place where a foreign substance exists, the laser is scattered by the foreign substance, and the scattered light intensity increases.

【0058】図16にはテンコール社サーフスキャン6
420における観測概念図を示す。この散乱光強度を予
めラテックス粒子などの標準粒子によって校正して異物
の大きさに換算するのが、現在市販されている異物検査
装置の多くで採用されている手法である。なお、50は
入射光、51は反射光、52は散乱光、53はシリコン
ウエハ、54は観察領域である。
FIG. 16 shows Surfscan 6 of Tencor Company.
A conceptual diagram of the observation at 420 is shown. Calibrating the scattered light intensity with standard particles such as latex particles in advance and converting the scattered light intensity into the size of a foreign substance is a method adopted by many foreign substance inspection apparatuses currently on the market. In addition, 50 is incident light, 51 is reflected light, 52 is scattered light, 53 is a silicon wafer, and 54 is an observation area.

【0059】シリコンウエハの表面はメカノケミカル研
磨等によって鏡面化されているが、ミクロに観察すると
完全平坦表面ではなく、微小なラフネスや長周期のうね
りなど、さまざまな周期と振幅の凹凸成分から構成され
た表面であることは、原子間力顕微鏡や光干渉顕微鏡に
よる観察で確認されている。これらの凹凸は異物検査装
置で用いるレーザー光が入射した際に微小な散乱光成分
を与えることになる。このような異物に比べると、局所
的でなく広い領域にわたって観測される散乱光は、レー
ザー光の照射位置の移動に沿って見ると、異物のように
ある場所で突然信号強度が変化するのではなく、連続し
て一定の強度を与えることになる。
Although the surface of the silicon wafer is mirror-finished by mechanochemical polishing or the like, it is not a completely flat surface when observed microscopically, but is composed of unevenness components having various periods and amplitudes, such as minute roughness and long-period undulations. It is confirmed by the observation with an atomic force microscope or an optical interference microscope that the surface is a finished surface. These irregularities give a minute scattered light component when the laser beam used in the foreign substance inspection device is incident. Compared to such a foreign substance, the scattered light observed over a wide area rather than locally may have a sudden change in signal intensity at a certain place like the foreign substance when viewed along the movement of the irradiation position of the laser beam. Instead, it gives a constant strength continuously.

【0060】言うなれば、直流成分(DC成分)、ある
いは、バックグラウンド成分と言える。すなわち、異物
のような突発的な信号変化を取り除き、連続的な散乱光
成分を観測すれば、それはまさに表面凹凸をモニターし
ていることになり、これをもって、ヘイズ(Haze)
と呼称されている。
In other words, a direct current component (DC component) or a background component can be said. That is, if a sudden signal change such as a foreign substance is removed and a continuous scattered light component is observed, it means that the surface unevenness is monitored exactly.
It is called.

【0061】ヘイズは一般的には正反射光強度、あるい
は、入射光強度に対する散乱光強度の比(単位:pp
m)として表示される。但し、各装置で入射光と散乱光
の検出位置が異なるので絶対値の比較は困難である。
The haze is generally the intensity of specularly reflected light or the ratio of the intensity of scattered light to the intensity of incident light (unit: pp).
m). However, since the detection positions of the incident light and the scattered light are different in each device, it is difficult to compare the absolute values.

【0062】また、散乱光強度は一般的にはせいぜい数
十ppm程度までであるため、正反射光に対する比をと
って、入射光に対する比をとっても、ほぼ同じである。
Further, the scattered light intensity is generally at most about several tens of ppm, so that the ratio to the regular reflected light and the ratio to the incident light are almost the same.

【0063】市販されている異物検査装置はそれぞれレ
ーザー光の入射角度、波長、散乱光のモニター位置等に
各社工夫を凝らしている。
Each of the commercially available foreign-matter inspection apparatuses is devoted to the incident angle, wavelength, monitor position of scattered light, and the like of the laser light.

【0064】しかし、入射光として用いるレーザー光
は、表面で完全に反射するのではなく、シリコン中にも
染み込むことは周知の事実であり、その染み込みの深さ
は波長に依存する。
However, it is a well-known fact that laser light used as incident light does not completely reflect on the surface but also penetrates into silicon, and the depth of the penetration depends on the wavelength.

【0065】多孔質シリコンは、シリコンウエハの表面
から微細な孔をエッチングによって数多く開けた構造を
しているが、この孔の側壁は、染み込んできたレーザー
光を散乱させることになる。
The porous silicon has a structure in which a number of fine holes are formed by etching from the surface of a silicon wafer, and the side walls of the holes scatter the permeated laser light.

【0066】すなわち、多孔質シリコン表面にレーザー
光を入射させて、その散乱光を観察すれば、多孔質層の
表面、及び、表面近傍の多孔質の構造を反映した情報を
得ることができる。
That is, if laser light is made incident on the surface of the porous silicon and the scattered light is observed, information reflecting the surface of the porous layer and the porous structure near the surface can be obtained.

【0067】本発明者は、多孔質シリコン上の非多孔質
単結晶シリコン層の形成において、非多孔質単結晶シリ
コン層形成直前すなわち、プリベークェ程直後のヘイズ
値が、非多孔質単結晶シリコン層に導入される結晶欠陥
密度と相関していることを見出した。
The present inventors have found that in forming a non-porous single-crystal silicon layer on porous silicon, the haze value immediately before the formation of the non-porous single-crystal silicon layer, that is, immediately after the pre-bake process, is reduced. Was found to be correlated with the density of crystal defects introduced into the crystal.

【0068】多孔質シリコンをエピタキシャル成長装置
に設置し、昇温し、シリコン原料ガスを導入し、非多孔
質単結晶シリコン層を形成する直前の熱処理までを行
い、装置から取り出した多孔質シリコンのヘイズ値を一
定範囲に制御することによって、非多孔質単結晶シリコ
ン層の結晶欠陥密度を抑制できることを見出したのであ
る。
The porous silicon was placed in an epitaxial growth apparatus, the temperature was raised, a silicon source gas was introduced, heat treatment was performed immediately before the formation of the non-porous single-crystal silicon layer, and the haze of the porous silicon taken out of the apparatus was increased. It has been found that by controlling the value to a certain range, the crystal defect density of the non-porous single-crystal silicon layer can be suppressed.

【0069】多孔質シリコンは、HF−C25 OH−
2 O混合溶液中で陽極化成して作製し、その後、40
0℃、酸素雰囲気中で1時間熱処理した(Preoxi
dation)。その後、1.25%HF水溶液に25
秒ほど漬け、水洗いして、乾燥した後、エピタキシャル
成長装置に設置した。
The porous silicon is HF-C 2 H 5 OH-
Anodized in a H 2 O mixed solution, and then
Heat treated at 0 ° C. for 1 hour in an oxygen atmosphere (Preoxi
dation). Then, 25% HF aqueous solution
It was immersed for about a second, washed with water, dried and then placed in an epitaxial growth apparatus.

【0070】950℃、600Torrでの熱処理のみ
を施して、エピタキシャル成長装置より取り出した後、
異物検査装置でヘイズ値を測定した結果を図5に示し
た。
After performing only a heat treatment at 950 ° C. and 600 Torr and taking out from the epitaxial growth apparatus,
FIG. 5 shows the result of measuring the haze value with the foreign matter inspection device.

【0071】ヘイズ値は、Preoxidation後
におよそ6であったが、HF溶液による処理により、9
程度に上昇した。
The haze value was about 6 after preoxidation, but was 9
Rose to the extent.

【0072】エピタキシャル成長装置に設置し、上記し
た熱処理の時間が増すにしたがって、ヘイズ値は上昇を
始める。2秒で11.9、30秒で12.7、60秒で
16.3、120秒で25.7と上昇した。市販のシリ
コンウエハ表面のヘイズ値は、0.18であった。
The haze value starts to increase as the time of the heat treatment described above is increased in the epitaxial growth apparatus. It increased to 11.9 in 2 seconds, 12.7 in 30 seconds, 16.3 in 60 seconds, and 25.7 in 120 seconds. The haze value of the surface of a commercially available silicon wafer was 0.18.

【0073】また、図6には、非多孔質単結晶層の成長
前に行われる熱処理温度と時間を様々に変化させて求め
たヘイズ値と積層欠陥密度の相関関係を示した。
FIG. 6 shows the correlation between the haze value and the stacking fault density obtained by variously changing the temperature and time of the heat treatment performed before the growth of the non-porous single crystal layer.

【0074】ヘイズ値の上昇が3.5倍以内、より好ま
しくは2倍以内であれば、積層欠陥密度が低く維持され
ることがわかった。熱処理によるヘイズ値の増加は多孔
質の構造変化に伴うと考えられる。
It was found that the stacking fault density was kept low when the increase in the haze value was within 3.5 times, more preferably within 2 times. It is considered that the increase in the haze value due to the heat treatment is accompanied by a change in the porous structure.

【0075】図7は、エピタキシャル成長装置に設置す
る直前(a)、および、950℃、2秒(b)、110
0℃、2秒(c)の処理を施した後、エピタキシャル成
長装置より取り出し、高分解能走査型電子顕微鏡で多孔
質シリコン層の表面を観察した写真である。それぞれの
ヘイズ値は、9、11.9、45であった。なお図8
は、図7(a)(b)(c)をそれぞれ模式的に示した
ものである。
FIGS. 7A and 7B show a state immediately before installation in an epitaxial growth apparatus (a), 950 ° C., 2 seconds (b), 110
It is a photograph in which the surface of the porous silicon layer was observed with a high-resolution scanning electron microscope after taking out from the epitaxial growth apparatus after performing the treatment at 0 ° C. for 2 seconds (c). The respective haze values were 9, 11.9 and 45. FIG. 8
7 schematically show FIGS. 7A, 7B, and 7C, respectively.

【0076】図7(a)はエピタキシャル成長装置に設
置する直前の多孔質シリコン表面のSEM像の模式図で
ある。直径10nm程度の孔が1011/cm2 の密度で
形成されている。950℃、600Torrで、2秒の
熱処理を施したのみの多孔質シリコン表面のSEM像の
模式図を図7(b)に示す。孔密度は多少減少している
が、依然1010/cm2 台である。
FIG. 7A is a schematic view of an SEM image of the surface of the porous silicon immediately before being installed in the epitaxial growth apparatus. Holes having a diameter of about 10 nm are formed at a density of 10 11 / cm 2 . FIG. 7B is a schematic view of an SEM image of the porous silicon surface subjected to only the heat treatment at 950 ° C. and 600 Torr for 2 seconds. Although the pore density is somewhat reduced, it is still on the order of 10 10 / cm 2 .

【0077】一方、1100℃で2秒処理した多孔質表
面を観察すると、孔密度は著しく減少し、およそ106
/cm2 に減少していた。残留した孔は、図7(c)に
示すように孔径が大きくなっており、直径40nmに及
ぶものもあった。孔径の増大は、残留酸素・水分による
酸化、エッチングや、表面拡散による拡大、隣接する孔
の合体などで生じる。図より明らかな通り、熱処理強度
が上がると共に全般的には多孔質の表面では孔密度が減
少し、平滑な表面が形成されている。しかしながら、残
留孔の孔径は増大しており、表面、表面近傍でのシリコ
ン原子の移動が激しいことを示している。断面観察を行
なうと、表面直下の多孔質構造は熱処理強度の増大と共
に孔径の拡大等の構造変化が進んでいることが確認され
た。すなわち、ヘイズ値が図7(a)→(b)→(c)
の順に増大していることは、これら多孔質層表面の構造
変化、多孔質層中の構造変化の影響を反映していること
を意味する。なお、積層欠陥密度は、図7(b)の場合
は、1×102 個/cm2、図7(c)の場合は、2×
104個/cm2 であった。
On the other hand, when the porous surface treated at 1100 ° C. for 2 seconds was observed, the pore density was remarkably reduced to about 10 6
/ Cm 2 . As shown in FIG. 7 (c), the diameter of the remaining holes was large, and some of the holes reached a diameter of 40 nm. The increase in the pore diameter is caused by oxidation due to residual oxygen and moisture, etching, enlargement by surface diffusion, coalescence of adjacent pores, and the like. As is clear from the figure, as the heat treatment strength increases, the pore density generally decreases on the porous surface, and a smooth surface is formed. However, the diameter of the residual holes is increasing, indicating that the silicon atoms move strongly on the surface and in the vicinity of the surface. Cross-sectional observation confirmed that the porous structure immediately below the surface had undergone structural changes, such as an increase in pore size, along with an increase in heat treatment strength. That is, the haze value changes as shown in FIG. 7 (a) → (b) → (c).
Means that the influence of the structural change on the surface of the porous layer and the structural change in the porous layer is reflected. The stacking fault density is 1 × 10 2 / cm 2 in FIG. 7B, and 2 × 10 2 / cm 2 in FIG.
It was 10 4 pieces / cm 2 .

【0078】シリコンのエッチング量が2nm、より好
ましくは1nm以下に抑制できるエピタシャル成長装置
に多孔質シリコンを有する基板を導入してから、シリコ
ン原料ガスを導入するまでの熱処理による多孔質層のヘ
イズ値の変化を4倍以内、より好ましくは2倍以内に抑
制することにより、結晶欠陥密度の従来の103 〜10
4 /cm2 台から、1×102 /cm2 程度まで減少で
きることが明らかになった。但し、前述した通り、シリ
コンのエッチング量が多い系では、酸化量が多いために
低温で低欠陥化することは困難である。単結晶シリコン
のエッチング量を多い成長系では昇温時の酸化量が多い
ためである。
The haze value of the porous layer due to the heat treatment from the introduction of the substrate having porous silicon to the introduction of the silicon source gas into an epitaxial growth apparatus capable of suppressing the etching amount of silicon to 2 nm, more preferably 1 nm or less. Is suppressed within 4 times, more preferably within 2 times, so that the crystal defect density of the conventional 10 3 to 10 is suppressed.
It was clarified that the amount could be reduced from about 4 / cm 2 to about 1 × 10 2 / cm 2 . However, as described above, it is difficult to reduce defects at low temperature in a system in which the amount of silicon etching is large because the amount of oxidation is large. This is because a growth system in which the etching amount of single crystal silicon is large has a large oxidation amount at the time of temperature rise.

【0079】なお、特開平9−100197号公報に示
されるように成長初期に微量のシリコン原子ないし、シ
リコンソースガスの供給を行えば、本発明による結晶欠
陥の低減をさらに効果的にする。この成長初期に微量の
シリコン原子等の供給を行う工程をプリインジェクショ
ン工程ということもある。
Incidentally, if a small amount of silicon atoms or a silicon source gas is supplied in the early stage of the growth as shown in Japanese Patent Application Laid-Open No. Hei 9-100197, the reduction of crystal defects according to the present invention is made more effective. The step of supplying a small amount of silicon atoms or the like in the early stage of the growth may be referred to as a pre-injection step.

【0080】本発明の例として水素43(1/mi
n)、圧力600Torrの雰囲気下で、摂氏750℃
程度に保たれたカーボンCVD−SiCでコートしたサ
セプタ上に予め多孔質を形成した基板をロードロックを
介して設置し、およそ100℃/分程度の昇温速度で9
50℃まで昇温して、2秒保持したのち、SiH4 を極
微量として濃度28ppm程を一定時間添加した後、シ
リコンソースガスの流量を増やして、所望の膜厚の非多
孔質単結晶シリコン膜を形成した。図9には、SiH4
添加処理時間に対する積層欠陥密度依存性を示した。S
iH4 微量添加処理を行うことにより、結晶欠陥密度が
低減されることが明らかである。
As an example of the present invention, hydrogen 43 (1 / mi)
n), 750 ° C. in an atmosphere of a pressure of 600 Torr
A porous substrate is placed on a susceptor coated with carbon CVD-SiC kept at a temperature of about 10 ° C. through a load lock, and is heated at a rate of about 100 ° C./min.
After the temperature was raised to 50 ° C. and maintained for 2 seconds, a concentration of about 28 ppm was added for a certain period of time using a trace amount of SiH 4, and then the flow rate of the silicon source gas was increased to increase the flow rate of the non-porous single crystal silicon having a desired thickness. A film was formed. FIG. 9 shows SiH 4
The dependence of stacking fault density on the treatment time was shown. S
It is apparent that the crystal defect density is reduced by performing the iH 4 trace addition treatment.

【0081】微量シリコン供給による初期成長を行った
後、基板をエピタキシャル成長装置より取り出し、ヘイ
ズ値を測定した結果を図10に示す。図より明らかなよ
うに、微量シリコンの供給処理により、ヘイズ値は一旦
上昇したのち、再び減少を始める。図10に示すように
少なくともヘイズ値が減少に傾向を示すまで微量シリコ
ンの供給工程を実施することが効果的である。
After the initial growth by supplying a small amount of silicon, the substrate was taken out from the epitaxial growth apparatus and the haze value was measured. The result is shown in FIG. As is clear from the figure, the haze value is once increased by the supply processing of the trace amount of silicon, and then starts to decrease again. As shown in FIG. 10, it is effective to carry out the step of supplying a small amount of silicon at least until the haze value tends to decrease.

【0082】多孔質シリコンは、HF−C25 OH−
2 O混合液中で陽極化成して作製し、その後、400
℃、酸素雰囲気中で1時間熱処理した。その後、1.2
5%HF水溶液に25秒ほど漬け、水洗して、乾燥した
後、エピタキシャル成長装置に設置した。
The porous silicon is HF-C 2 H 5 OH-
Anodized in a H 2 O mixed solution to produce
Heat treatment was performed in an oxygen atmosphere at a temperature of 1 ° C. for 1 hour. Then, 1.2
It was immersed in a 5% HF aqueous solution for about 25 seconds, washed with water, dried, and set in an epitaxial growth apparatus.

【0083】また、微量の膜の構成原子、ないし、原料
ガスの供給は酸化物の除去を促進し、酸化物に起因する
欠陥発生を抑制する効果もある。
The supply of a small amount of constituent atoms of the film or the supply of the source gas has the effect of promoting the removal of oxides and suppressing the generation of defects caused by oxides.

【0084】すなわち本発明によれば、単結晶シリコン
のエッチング量が極めて小さいエピ前熱処理を、多孔質
シリコンの表面のヘイズ値の減少が4倍、より好ましく
は2倍以内に抑制される範囲で実施することにより、多
孔質層上に形成された非多孔質単結晶シリコン層の積層
欠陥密度を1000/cm2 未満、さらには、100/
cm2 にできることがあきらかとなった。さらに、非多
孔質単結晶シリコンの成長初期のシリコン原料の成長表
面への供給量を微量にすることで、本発明の欠陥低減を
さらに向上させることができる。又、本発明は、市販の
異物検査装置等のレーザー光を基板表面に入射し、散乱
光強度を観測する装置において、散乱光のDCレベルか
ら導出するヘイズ値を管理する方法であり、非破壊で簡
便にプロセス条件を抑制して、結晶欠陥密度を1000
/cm2 以下、より好ましくは100/cm2 以下に抑
制する。
That is, according to the present invention, the pre-epi heat treatment in which the etching amount of single-crystal silicon is extremely small is performed within a range in which the decrease in the haze value of the surface of the porous silicon is suppressed to four times, and more preferably within two times. By carrying out, the stacking fault density of the non-porous single crystal silicon layer formed on the porous layer is less than 1000 / cm 2 ,
It became clear that what we could do in cm 2 . Further, by reducing the supply amount of the silicon raw material to the growth surface in the initial stage of the growth of the non-porous single crystal silicon, the defect reduction of the present invention can be further improved. The present invention also relates to a method for managing a haze value derived from a DC level of scattered light in a device for observing scattered light intensity by irradiating a substrate surface with laser light such as a commercially available foreign matter inspection device. To easily suppress the process conditions and increase the crystal defect density to 1000
/ Cm 2 or less, more preferably 100 / cm 2 or less.

【0085】さらに、本発明では、従来の多孔質上のエ
ピタキシャル成長工程に比べ、熱処理温度、特に孔の封
止前ての熱処理温度を図4に示されるが如く低温化でき
るため、多孔質層中の孔の凝集・拡大、分断等を抑制で
きるため、ELTRAN法(登録商標)での後の工程で
の多孔質層の選択エッチングでの選択性を劣化させな
い。すなわち、多孔質層除去において、残さを発生させ
ないで、非多孔質単結晶シリコン層の結晶性を向上でき
る。また、FIPOS法においては、多孔質層の選択酸
化の酸化速度を劣化せしめない。
Further, in the present invention, the heat treatment temperature, especially the heat treatment temperature before sealing the holes, can be lowered as shown in FIG. Of the porous layer can be suppressed, so that the selectivity in the selective etching of the porous layer in the subsequent step by the ELTRAN method (registered trademark) is not deteriorated. That is, in removing the porous layer, the crystallinity of the non-porous single-crystal silicon layer can be improved without generating any residue. Also, in the FIPOS method, the oxidation rate of the selective oxidation of the porous layer is not deteriorated.

【0086】また本発明者は、積層欠陥密度と、プリベ
ーク時の圧力の相関を調べるべく、下記の実験を行っ
た。
The present inventor conducted the following experiment in order to examine the correlation between the stacking fault density and the pressure during prebaking.

【0087】試料として、基板(100)Siにボロン
ドープされた非抵抗0.013−0.017Ωcmのウ
エハを用意した。陽極化成条件は、49%HFとエタノ
ールを1:1に混合した溶液中で、およそ8mA/cm
2 の電流を11分流して多孔質層を形成した。およそ2
0%の多孔度であった。
As a sample, a wafer having a non-resistance of 0.013 to 0.017 Ωcm obtained by doping boron into a substrate (100) Si was prepared. Anodizing conditions are as follows: approximately 8 mA / cm 2 in a solution in which 49% HF and ethanol are mixed at a ratio of 1: 1.
The current of No. 2 was passed for 11 minutes to form a porous layer. About 2
The porosity was 0%.

【0088】1.25%HF溶液に25sec漬けたの
ち、水洗して、乾燥させた。その後、400℃、1時
間、酸素雰囲気中で熱処理を行い、1.25%HF溶液
に、酸化シリコン膜であれば、5nm程度エッチングさ
れる時間だけ漬けた後、水洗し、そして、乾燥させた。
After being immersed in a 1.25% HF solution for 25 seconds, it was washed with water and dried. Thereafter, a heat treatment was performed at 400 ° C. for 1 hour in an oxygen atmosphere, and the silicon oxide film was immersed in a 1.25% HF solution for about 5 nm to be etched, washed with water, and dried. .

【0089】次に、多孔質層上へのエピタキシャル成長
であるが、エピ装置は、ロードロック室が付設された反
応容器内で行った。水素雰囲気中80Torr、600
Torrで120秒熱処理を行った。その後、濃度28
ppmになるように水素のキャリアガスにSiH4 を添
加して120秒間処理した。その後、SiH4 の添加は
終了し、圧力を80Torrに温度を900℃に下げて
2μmのエピ層を形成。そして各熱処理温度での積層欠
陥温度を調べた。
Next, regarding epitaxial growth on the porous layer, the epi apparatus was performed in a reaction vessel provided with a load lock chamber. 80 Torr, 600 in hydrogen atmosphere
Heat treatment was performed at Torr for 120 seconds. Then, the concentration 28
SiH 4 was added to a hydrogen carrier gas so that the concentration became ppm, and the treatment was performed for 120 seconds. Thereafter, the addition of SiH 4 was completed, the pressure was reduced to 80 Torr, the temperature was reduced to 900 ° C., and a 2 μm epi layer was formed. The stacking fault temperature at each heat treatment temperature was examined.

【0090】その結果を図11に示す。これにより、圧
力は多孔質シリコン表面でのシリコン原子の表面拡散・
孔構造の変質に重大な影響を与え、圧力が低ければ低い
ほど積層欠陥密度の減少の発現は低温化されることが分
かった。
FIG. 11 shows the result. As a result, the pressure increases the surface diffusion of silicon atoms on the porous silicon surface.
It has been found that this has a significant effect on the alteration of the pore structure, and that the lower the pressure, the lower the onset of the stacking fault density reduction.

【0091】図12には、図11と同様にして作製した
試料を水素雰囲気中、600Torr、950℃の熱処
理での積層欠陥密度の成長前熱処理時間依存性を示し
た。熱処理は60秒までに対して、120秒を越えると
およそ2倍に増大することが分かった。
FIG. 12 shows the dependency of the stacking fault density on the heat treatment time before growth in the heat treatment at 950 ° C. and 600 Torr in a hydrogen atmosphere for the sample prepared in the same manner as in FIG. It has been found that the heat treatment increases by a factor of about 2 over 120 seconds, up to 60 seconds.

【0092】[0092]

【発明の実施の形態】(実施態様例1)図13(a)
は、多孔質層のプリベーク処理を適切に行うための条件
を決定するシステムの一例である。
(Embodiment 1) FIG. 13 (a)
Is an example of a system for determining conditions for appropriately performing prebaking of a porous layer.

【0093】これは、実験3からも明らかなように、プ
リベーク前後でのヘイズ値の変化が積層欠陥密度と相関
があることに基づくものである。
This is based on the fact that the change in the haze value before and after the pre-bake has a correlation with the stacking fault density, as is apparent from Experiment 3.

【0094】多孔質層形成後、プリベーク処理直前の多
孔質層のヘイズ値を測定する(測定されたヘイズ値をd
0 とする)。
After the formation of the porous layer, the haze value of the porous layer immediately before the pre-bake treatment is measured (the measured haze value is referred to as d.
0 ).

【0095】そして、プリベーク処理を行い、更にヘイ
ズ値を測定する(測定されたヘイズ値をd1 とする)。
Then, a pre-bake treatment is performed, and the haze value is measured (the measured haze value is d 1 ).

【0096】その後、ヘイズ値の変化を評価する。具体
的には
Thereafter, a change in the haze value is evaluated. In particular

【0097】[0097]

【外1】 を求め、1≦r≦3.5であれば、同じプリベーク処理
条件でエピタキシャル成長等の以降の工程を行う。
[Outside 1] If 1 ≦ r ≦ 3.5, the subsequent steps such as epitaxial growth are performed under the same pre-bake processing conditions.

【0098】逆にr>3.5であれば、プリベーク処理
条件の変更を行う。そして、1≦r≦3.5を満足する
ように条件を定める。
Conversely, if r> 3.5, the pre-bake processing conditions are changed. Then, conditions are determined so as to satisfy 1 ≦ r ≦ 3.5.

【0099】具体的には、温度や時間の変更、あるいは
プリベーク処理を行う装置内の水分、酸素の低減を図
る。
Specifically, the temperature and time are changed, or the water and oxygen in the apparatus for performing the pre-bake treatment are reduced.

【0100】なお、投入されたすべてのシリコンウエハ
について上記評価を行う必要はなく、数枚〜数百枚に1
枚あるいは数枚を評価すれば十分である。特に、新しい
装置において、プロセス条件を決定するための条件出し
試験、あるいは装置の改造、修理、反応容器のクリーニ
ング等を行ったあとの、テストの際に行うことが有効で
ある。又、得られる半導体基板の品質に異常をきたした
場合にも、本願の評価方法を行えば、原因の抽出を迅速
に行うことができる。
Note that it is not necessary to perform the above-described evaluation on all the silicon wafers that have been put in, and it is possible to reduce
It is sufficient to evaluate one or several sheets. In particular, it is effective to carry out a test for determining a process condition in a new apparatus, or a test after remodeling, repairing, cleaning the reaction vessel, etc. of the apparatus. Further, even when the quality of the obtained semiconductor substrate becomes abnormal, the cause can be quickly extracted by performing the evaluation method of the present invention.

【0101】また、プリベーク処理後のヘイズ値d1
体で評価が可能である場合は、d0の測定は省略するこ
ともできる。図13(b)は、決定された条件を基に、
プリベーク処理を行い、所望の半導体基板を作製するた
めの一連のシステムである。もちろん、エピタキシャル
成長工程前に、ヘイズ値を測定して適切にプリベークが
成されているか、確認することも好ましいものである。
When the haze value d 1 itself after the pre-bake treatment can be evaluated, the measurement of d 0 can be omitted. FIG. 13 (b) is based on the determined conditions.
This is a series of systems for performing a pre-bake process and manufacturing a desired semiconductor substrate. Of course, before the epitaxial growth step, it is also preferable to measure the haze value to confirm whether the pre-baking is properly performed.

【0102】(実施態様例2)図14は本発明による半
導体基板の形成方法を示す。
(Embodiment 2) FIG. 14 shows a method for forming a semiconductor substrate according to the present invention.

【0103】図14(a)に示すように、少なくとも表
面側に多孔質シリコン層90を有する基板1を用意す
る。2は孔、3は孔壁を示している。
As shown in FIG. 14A, a substrate 1 having a porous silicon layer 90 at least on the surface side is prepared. Reference numeral 2 denotes a hole, and 3 denotes a hole wall.

【0104】次に、必要に応じて図14(b)に示すよ
うに、前記多孔質単結晶シリコン層の孔壁3に薄い保護
膜4を形成する(プリ酸化工程)。
Next, if necessary, as shown in FIG. 14B, a thin protective film 4 is formed on the hole walls 3 of the porous single crystal silicon layer (pre-oxidation step).

【0105】このプリ酸化のために多孔質シリコン層表
面には酸化シリコン膜などの保護被膜5が形成されてい
るので、これを低濃度のHF水溶液に浸けて多孔質シリ
コン表面の保護膜を除去する(HFディップ工程)。図
14(c)にこの断面を模式的に示す。
Since a protective film 5 such as a silicon oxide film is formed on the surface of the porous silicon layer for the pre-oxidation, the protective film 5 is immersed in a low-concentration HF aqueous solution to remove the protective film on the surface of the porous silicon. (HF dip step). FIG. 14C schematically shows this cross section.

【0106】次に、多孔質単結晶シリコンが形成された
基体をエピタキシャル成長装置に設置し、図14の
(d)に示すように熱処理(プリベーク)を行った後、
図14Nの(e)に示すように非多孔質単結晶層6を形
成する。
Next, the substrate on which the porous single-crystal silicon was formed was set in an epitaxial growth apparatus, and heat-treated (pre-baked) as shown in FIG.
A non-porous single crystal layer 6 is formed as shown in FIG.

【0107】プリベーク時の条件は、多孔質シリコン層
のエッチング量、即ち多孔質シリコン層の層厚(t)の
減少量が2nm以下、より好ましくは1nm以下となる
条件(条件1)と、多孔質シリコン層のヘイズ値の変化
率rが3.5以内、より好ましくは2.0以内になる条
件(条件2)と、を満たすことが条件である。
The pre-baking conditions are as follows: the etching amount of the porous silicon layer, that is, the reduction amount of the thickness (t) of the porous silicon layer is 2 nm or less, more preferably 1 nm or less (condition 1); The condition (condition 2) is that the rate of change r of the haze value of the high quality silicon layer is within 3.5, more preferably within 2.0 (condition 2).

【0108】エッチング量teは、熱処理開始前の多孔
質シリコン層の層厚をt0 、熱処理終了時の多孔質シリ
コン層の層厚をt1 とした時に、te=t0 −t1 で表
すことができる。ヘイズ値の変化率rは熱処理開始眼の
ヘイズ値をd0 ,熱処理終了後のヘイズ値をd1 とした
時に、
[0108] etching amount te, when the layer thickness of the porous silicon layer before the heat treatment starting t 0, the layer thickness of the porous silicon layer during the heat treatment termination was t 1, represented by te = t 0 -t 1 be able to. The rate of change r of the haze value is represented by d 0 as the haze value of the heat treatment starting eye and d 1 as the haze value after the heat treatment.

【0109】[0109]

【外2】 で表わすことができる。[Outside 2] Can be represented by

【0110】そして、この熱処理時の雰囲気はシリコン
系ガスを含まない雰囲気、より好ましくは水素を含む還
元性雰囲気にするとよい。また、不活性ガス雰囲気、超
高真空中であってもよい。
The atmosphere during the heat treatment is preferably an atmosphere containing no silicon-based gas, more preferably a reducing atmosphere containing hydrogen. Further, an inert gas atmosphere or an ultra-high vacuum may be used.

【0111】以下のこの熱処理について述べる。The heat treatment will be described below.

【0112】装置への設置 多孔質シリコン層を表面に形成した基体を残量酸素分、
水分量が抑制された反応容器に設置する(図示せず)。
本発明に用いられる熱処理としては、昇温工程、自然酸
化膜除去工程の2工程に機能的に分けることもできる。
なお、ここでいう自然酸化膜とは、HFディップ工程以
降に意図せずに多孔質シリコン層の表面に形成される酸
化シリコン膜、およびHFディップ工程で除去しきれな
かった酸化シリコン膜のことである。
Installation in Apparatus The substrate having a porous silicon layer formed on the surface was treated with a residual oxygen content,
It is installed in a reaction vessel in which the amount of water is suppressed (not shown).
The heat treatment used in the present invention can be functionally divided into two steps, a temperature raising step and a natural oxide film removing step.
Note that the natural oxide film here refers to a silicon oxide film that is formed on the surface of the porous silicon layer unintentionally after the HF dip step and a silicon oxide film that cannot be completely removed in the HF dip step. is there.

【0113】エッチング量の抑制は昇温工程、自然酸化
膜除去工程中の反応容器内の残留酸素分、水分量を抑制
することにより実現される。前記反応容器内の残留酸素
分、水分量の抑制は、供給ガス系に含有する酸素分、水
分を抑制するだけでなく、反応容器への基体の搬入・搬
出をロードロック室を通して行うことにより、反応容器
内面が大気と直接接触することを防止することが有効で
ある。
The amount of etching can be suppressed by suppressing the amount of residual oxygen and the amount of water in the reaction vessel during the temperature raising step and the natural oxide film removing step. The residual oxygen content in the reaction vessel and the suppression of the water content are controlled not only by suppressing the oxygen content and the water content contained in the supply gas system, but also by carrying in and out the substrate into the reaction vessel through the load lock chamber. It is effective to prevent the inner surface of the reaction vessel from coming into direct contact with the atmosphere.

【0114】また、必要に応じてキャリアガスである水
素の純化装置(Purifier)を装置近くに設置す
ることも有効である。また、配管系、容器の気密性を高
くすることも望ましい。これらを制御すると、前記した
ように昇温工程、自然酸化膜の除去工程の2工程におけ
る多孔質シリコン層のエッチング量が少なくとも2nm
以下、より好ましくは1nm以下に維持できる。しか
し、エッチング量を抑制する方法は、上記した方法に必
ずしも限定されない。
It is also effective to install a purifier for purifying hydrogen, which is a carrier gas, near the device as necessary. It is also desirable to increase the airtightness of the piping system and the container. By controlling these, as described above, the amount of etching of the porous silicon layer in at least two steps of the temperature raising step and the natural oxide film removing step is at least 2 nm.
Or less, more preferably 1 nm or less. However, the method of suppressing the etching amount is not necessarily limited to the above-described method.

【0115】昇温工程 多孔質シリコン層を表面に形成した基体を反応容器に設
置後、基体を昇温させる。反応容器が石英材等の光透過
性材料で構成されている場合には、反応容器外からの赤
外ランプ照射で加熱する。その他、ランプ加熱の他にも
高周波による誘導加熱、抵抗加熱等がある。反応容器材
は石英材やSiCの他、ステンレス鋼などがある。昇温
速度は速ければ速いほど残留水分、酸素分による酸化・
エッチングが少なくて良い。好ましくは、1℃/sec
以上、さらに好ましくは5℃/sec以上である。
Heating Step After the substrate having the porous silicon layer formed on the surface is placed in a reaction vessel, the substrate is heated. When the reaction vessel is made of a light transmissive material such as a quartz material, the reaction vessel is heated by irradiation with an infrared lamp from outside the reaction vessel. In addition to the lamp heating, there are induction heating by high frequency, resistance heating and the like. The reaction vessel material includes stainless steel in addition to quartz and SiC. The higher the heating rate, the faster the oxidation by residual moisture and oxygen.
Less etching is required. Preferably, 1 ° C./sec
The temperature is more preferably 5 ° C./sec or more.

【0116】反応容器への基体の搬入をロードロック室
を介さずに行う場合には、基体搬入後、十分にパージを
行い、容器内に混入した酸素分、水分を除去してから基
体を加熱して昇温させる。いずれにしても、超高真空又
は非酸化性雰囲気で行うことが望まれる。
In the case where the substrate is loaded into the reaction vessel without passing through the load lock chamber, the substrate is loaded and purged sufficiently to remove oxygen and water mixed in the vessel, and then heat the substrate. And raise the temperature. In any case, it is desired to perform the treatment in an ultra-high vacuum or a non-oxidizing atmosphere.

【0117】自然酸化膜除去工程 昇温工程に引き続き自然酸化膜除去工程を行う。つま
り、水素中、又は水素を含む還元性雰囲気中あるいは、
超高真空中での熱処理により、自然酸化膜を除去するこ
の時、多孔質シリコン層の表面のヘイズ値の変化率r
が、3.5以内、より好ましくは2以内となるような条
件で行う。なお、rは1以上である。
Natural oxide film removing step A natural oxide film removing step is performed following the temperature raising step. In other words, in hydrogen, or in a reducing atmosphere containing hydrogen, or
At this time, the natural oxide film is removed by heat treatment in an ultra-high vacuum.
However, the conditions are set to be within 3.5, more preferably within 2. Note that r is 1 or more.

【0118】上記条件を実現するためには、熱処理時の
到達温度は、好ましくは850℃以上1000℃以下、
より好適には870℃以上970℃以下である。
In order to realize the above conditions, the temperature reached during the heat treatment is preferably from 850 ° C. to 1000 ° C.
More preferably, it is 870 ° C or more and 970 ° C or less.

【0119】また、圧力は特に限定されるものではない
が、好ましくは大気圧以下であり、700Torr以
下、更には100Torr以下で行うことも好ましい。
The pressure is not particularly limited, but is preferably not higher than the atmospheric pressure, and is preferably not higher than 700 Torr, more preferably not higher than 100 Torr.

【0120】昇温工程を除く熱処理時間は、100秒以
内、より好ましくは60秒以内、さらに好ましくは10
秒以内とし、その後は直ちに降温させるとよい。
The heat treatment time excluding the temperature raising step is within 100 seconds, preferably within 60 seconds, more preferably 10 seconds.
The temperature should be within seconds, and then the temperature should be lowered immediately.

【0121】自然酸化膜は、SiO2 +Si−>2Si
O↑という反応により気相中に脱離するため、自然酸化
膜厚が厚いと多孔質シリコン層表面、表面近傍のシリコ
ンがエッチングされることになる。
The natural oxide film is SiO 2 + Si−> 2Si
Since it is desorbed into the gas phase by the reaction of O ↑, if the natural oxide film thickness is large, the silicon on the surface of the porous silicon layer and near the surface is etched.

【0122】自然酸化膜は、HFディップ後の水洗中、
水洗・乾燥後、エピタキシャル成長装置へ設置するまで
の大気中、エピタキシャル成長装置への設置中、およ
び、昇温工程中に形成される。特に昇温工程中に残留水
分・酸素分が残留していると、温度の上昇とあいまっ
て、シリコンを酸化して酸化シリコン膜を形成してしま
う。結果、形成された酸化シリコンは近接するシリコン
と反応して、シリコンをエッチングすることになってし
まう。
During the water washing after the HF dip, the natural oxide film
After washing and drying, it is formed in the atmosphere until it is installed in the epitaxial growth apparatus, during installation in the epitaxial growth apparatus, and during the temperature raising step. In particular, if residual moisture and oxygen remain during the temperature raising step, silicon is oxidized to form a silicon oxide film in combination with the rise in temperature. As a result, the formed silicon oxide reacts with the adjacent silicon to etch the silicon.

【0123】また、昇温中に形成される酸化シリコン膜
が厚ければ厚いほど、形成された酸化シリコン膜を完全
に除去するのに必要な熱処理時間が長くなる。かかる熱
処理時間が長くなると、後に述べるように多孔質シリコ
ン表面の構造変化が進行してしまうので、好ましくな
い。
In addition, the thicker the silicon oxide film formed during the temperature rise, the longer the heat treatment time required to completely remove the formed silicon oxide film. If the heat treatment time is long, the structural change of the porous silicon surface proceeds as described later, which is not preferable.

【0124】本発明では、エッチング量が少なくとも2
nm以下、より好ましくは1nm以下でなければならな
いが、シリコンエッチング量が少ないということは、装
置内でのシリコンの酸化の程度が小さいということに他
ならない。
In the present invention, the etching amount is at least 2
Although it must be less than nm, more preferably less than 1 nm, a small silicon etching amount is nothing less than a small degree of oxidation of silicon in the device.

【0125】この熱処理を継続すると、多孔質シリコン
の表面では微小な荒れを平滑化し表面エネルギーを下げ
るべく表面原子のマイグレーションが生じ、表面の孔の
大半が消失する。
When this heat treatment is continued, migration of surface atoms occurs on the surface of the porous silicon to smooth the minute roughness and reduce the surface energy, and most of the pores on the surface disappear.

【0126】ロードロック式のCVDエピタキシャル成
長装置において、カーボンをCVD−SiCでコートし
たサセプタを反応容器内で予め750度に昇温してお
き、多孔質シリコンを形成したシリコンウエハをロード
ロックを介して設置する。その後、600Torr、水
素43(1/min)、の条件下で、摂氏1100度ま
で100度/分で昇温し、1100度で2秒保持したの
ち、100度/分で750度まで降温し、ロードロック
を介し、ウエハを取り出した場合、多孔質表面孔は、熱
処理前には平均直径がおよそ10nmの孔が1011/c
2 だったのが、孔密度は106 /cm2 に減少すると
共に、孔径は20〜40nmに拡大していた。この条件
で上に記した熱処理に引き続いて、シリコンソースガス
を水素ガスに添加して単結晶シリコン層をエピタキシャ
ル成長すれば、積層欠陥密度は10 4 /cm2 となっ
た。一方、1100度での熱処理を950度に代えて、
保持時間は2秒で等しくした場合には、熱処理後の孔密
度の減少はせいぜい1桁であった。また、孔径は殆ど増
大していなかった。この熱処理条件の後、シリコンソー
スガスを水素ガスに添加して単結晶シリコン層をエピタ
キシャル成長すれば、積層欠陥密度は102 /cm2
1100度の場合と比べて、1/100に激減した。
Load-lock type CVD epitaxial growth
In a long device, carbon is coated with CVD-SiC.
Temperature of the susceptor to 750 ° C in advance in the reaction vessel.
Load a silicon wafer with porous silicon
Install via lock. Then, 600 Torr, water
Under the condition of elementary temperature 43 (1 / min), up to 1100 degrees Celsius
And the temperature was raised at 100 degrees / minute and held at 1100 degrees for 2 seconds.
And, it cools down to 750 degrees at 100 degrees / minute,
When the wafer is taken out through the
Before treatment, 10 holes with an average diameter of about 10 nm11/ C
mTwo The pore density was 106 / CmTwo Decreases to
In both cases, the pore size was enlarged to 20 to 40 nm. This condition
Subsequent to the heat treatment described above, the silicon source gas
To the single crystal silicon layer by adding
Stacking fault density of 10 Four / CmTwo Becomes
Was. On the other hand, the heat treatment at 1100 degrees is replaced with 950 degrees,
If the holding time is equal to 2 seconds, the pore density after heat treatment
The degree decrease was at most an order of magnitude. Also, the hole diameter almost increased.
It didn't matter. After this heat treatment condition, silicon saw
Single-crystal silicon layer by adding
If grown axially, the stacking fault density will be 10Two / CmTwo When
Compared to the case of 1100 degrees, it decreased sharply to 1/100.

【0127】多孔質シリコンと非多孔質単結晶シリコン
基板の間に作用する応力により、多孔質シリコンの表面
の結晶格子は歪んでいるが、孔密度が減少した場合、こ
の歪みが残留孔の周縁部に集中するため、残留孔部分に
結晶欠陥が導入されやすくなると考えられる。
The crystal lattice on the surface of the porous silicon is distorted due to the stress acting between the porous silicon and the non-porous single-crystal silicon substrate. It is considered that the crystal defect is likely to be introduced into the residual hole portion because it is concentrated in the portion.

【0128】本方法では、残留孔が自然酸化膜除去のた
め熱処理で減少する眼に多孔質シリコン表面へシリコン
ソースガスの供給を開始することにより、孔密度の減少
による残留孔部分への歪みの集中を防ぎ、結晶欠陥の導
入を抑制するものである。本方法は、シリコンのエッチ
ング量が極めて小さい、昇温自然酸化膜除去によって初
めて実現可能になった。
In this method, the supply of the silicon source gas to the porous silicon surface is started for the eyes in which the residual pores are reduced by the heat treatment for removing the natural oxide film, so that the distortion of the residual pores due to the decrease in the pore density is reduced. It prevents concentration and suppresses the introduction of crystal defects. This method became feasible for the first time by removing a naturally heated oxide film with a very small silicon etching amount.

【0129】特に本発明は、市販の異物検査装置等のレ
ーザー光を基板表面に入射し、散乱光強度を観測する装
置において、散乱光のDCレベルから導入するヘイズ値
を管理する方法であり、非破壊で簡便にプロセス条件を
制御し、結晶欠陥密度を1000/cm2 以下、より好
ましくは100/cm2 以下に抑制する。
In particular, the present invention relates to a method for managing a haze value introduced from the DC level of scattered light in a device for observing scattered light intensity by irradiating a substrate surface with laser light such as a commercially available foreign matter inspection device. The process conditions are simply and nondestructively controlled to suppress the crystal defect density to 1000 / cm 2 or less, more preferably 100 / cm 2 or less.

【0130】自然酸化膜の除去は、シリコンのエッチン
グ量が上で述べた範囲に抑制されるならば、HFガスを
用いるなど、他の方法を採用したり、援用してもよい。
For removing the natural oxide film, another method such as the use of HF gas may be adopted or used as long as the etching amount of silicon is suppressed to the above-mentioned range.

【0131】本発明における昇温工程、自然酸化膜除去
工程は、シリコンエッチングが抑制されること、および
多孔質表面に熱処理によって被膜が形成されなければよ
いのであって、特に限定されないが、超高真空中、ない
しは、水素雰囲気中で行うことが望ましい。
The temperature raising step and the natural oxide film removing step in the present invention are not particularly limited as long as silicon etching is suppressed and a film is not formed on the porous surface by heat treatment. It is desirable to perform the treatment in a vacuum or in a hydrogen atmosphere.

【0132】ヘイズ値の測定 ヘイズ値の測定は、レーザー光等の平行光を基板表面に
入射した際の散乱光強度を測定することによって得られ
る。市販のレーザー光を用いた異物検査装置を用いれ
ば、簡便に測定可能である。レーザー光の波長は、例え
ばArレーザーの488nmなど、短波長が好適に用い
られる。短波長ほど、光の多孔質層への侵入長が短いた
め、エピタキシャル成長層の結晶性に直接影響を及ぼす
多孔質層の表面近傍の構造変化を鋭敏に検知できる。ま
た、入射角は大きい方が、すなわち、基板表面に対して
浅い角度で入射する方が多孔質層内への侵入長を短く
し、表面近傍の構造変化に対して敏感な測定が可能とな
る。
Measurement of Haze Value The measurement of the haze value is obtained by measuring the scattered light intensity when parallel light such as laser light is incident on the substrate surface. If a commercially available foreign matter inspection device using a laser beam is used, measurement can be easily performed. As the wavelength of the laser beam, a short wavelength such as 488 nm of an Ar laser is suitably used. The shorter the wavelength, the shorter the penetration length of light into the porous layer, so that a structural change near the surface of the porous layer that directly affects the crystallinity of the epitaxially grown layer can be detected sharply. In addition, when the incident angle is large, that is, when the light is incident at a shallow angle with respect to the substrate surface, the penetration length into the porous layer is shortened, and a measurement sensitive to a structural change near the surface becomes possible. .

【0133】エピタキシャル成長 熱処理工程(プリベーフ)を経た後には、ソースガスを
供給し、多孔質の孔を塞ぎ、非多孔質単結晶膜を所望の
膜厚まで形成する。こうして多孔質シリコン上に積層欠
陥密度の低減した非多孔質単結晶層を形成することがで
きる。
Epitaxial Growth After the heat treatment step (pre-bake), a source gas is supplied to close the porous holes, and a non-porous single crystal film is formed to a desired thickness. In this manner, a non-porous single crystal layer with reduced stacking fault density can be formed on porous silicon.

【0134】非多孔質単結晶としては、ホモエピタキシ
ャル成長させたシリコンであっても、ヘテロエピタキャ
シル成長させたSiGe、SiC、GaAs、InP、
AlGaAs、GaN等であってもよい。
As the non-porous single crystal, even if silicon is homoepitaxially grown, SiGe, SiC, GaAs, InP, or heteroepitaxially grown silicon may be used.
It may be AlGaAs, GaN, or the like.

【0135】(多孔質シリコン層)本発明に用いられる
多孔質Siは、1964年にUhlirらが発見して以
来現在に至るまで研究されている多孔質シリコンと本質
的には同一であり、陽極化成(Anodizatio
n)などの方法により作製されるが、多孔質Siである
かぎり、基板の不純物、面方位、作成方法等に限定され
ない。
(Porous Silicon Layer) The porous Si used in the present invention is essentially the same as the porous silicon which has been studied since the discovery of Uhril et al. Chemical formation (Anodizatio
n) or the like, but is not limited to impurities, plane orientation, preparation method and the like of the substrate as long as it is porous Si.

【0136】陽極化成により多孔質シリコンを形成する
場合、化成液はフッ酸を主たる成分とする水溶性であ
る。陽極化成中には、電極やシリコン表面に気体が付着
し、多孔質層を不均一にしやすいので、一般にはエタノ
ールなどのアルコールを添加して接触角(Contac
t Angle)を大きくして、付着した気泡の脱離を
加速し(Enhance)、化成が均一に起こるように
している。もちろん、アルコールを添加せずとも多孔質
は形成される。本発明による多孔質シリコンをFIPO
S法に用いる場合には、多孔質は56%付近が、貼り合
わせ法に用いる場合には低い多孔質(概ね50%以下、
より好ましくは30%以下)が好適である。しかし、こ
れに限定されるものではない。
When porous silicon is formed by anodization, the chemical conversion solution is water-soluble with hydrofluoric acid as a main component. During the anodization, gas adheres to the electrodes and the silicon surface, and the porous layer tends to be non-uniform.
t Angle) is increased to accelerate the desorption of the attached air bubbles (Enhance) so that the chemical conversion occurs uniformly. Of course, the porosity is formed without adding alcohol. FIPO porous silicon according to the present invention
When used in the S method, the porosity is about 56%, and when used in the bonding method, the porosity is low (approximately 50% or less,
More preferably 30% or less). However, it is not limited to this.

【0137】多孔質シリコンは以上のようにエッチング
により形成されるため、その表面には多孔質の内部まで
貫通する孔以外にも表面からField Emissi
ontype Scanning Electron
Microscope(FESEM)で観察可能な程度
に浅い凹凸といった方がより浅い孔も存在する。多孔質
シリコンの多孔度(Prosity(%))は低い方が
多孔質上の積層欠陥密度は低減される。低多孔質度の多
孔質シリコンは例えば、陽極化成時のHF濃度を高め
る、電流密度を下げる、温度を上げるなどの方法によっ
て、実現される。
Since the porous silicon is formed by the etching as described above, the surface of the porous silicon is not limited to the hole penetrating to the inside of the porous material, and the field emission is not limited to the field emission.
type Scanning Electron
There are also holes that are shallower, such as irregularities that are shallow enough to be observed by Microscope (FESEM). The lower the porosity (Prosity (%)) of the porous silicon, the lower the stacking fault density on the porous material. Porous silicon having a low porosity can be realized by, for example, a method of increasing the HF concentration during anodization, decreasing the current density, or increasing the temperature.

【0138】また、多孔質単結晶シリコン層は、Si基
板の主表面層のみを多孔質化しても、Si基板の全部を
多孔質化してもよい。
In the porous single-crystal silicon layer, only the main surface layer of the Si substrate may be made porous, or the entire Si substrate may be made porous.

【0139】なお、多孔質層の形成は、非多孔質単結晶
シリコンに、He、Ne、Arのような希ガスイオン又
は水素イオンを打込んで、必要に応じて熱処理すること
により、微小気泡(マイクロバブル)を生成させ、多孔
質化することもできる。こと点に関しては特開平5−2
11128号公報に開示がある。
The porous layer is formed by implanting rare gas ions such as He, Ne and Ar or hydrogen ions into non-porous single-crystal silicon and subjecting it to a heat treatment as necessary, thereby forming microbubbles. (Micro-bubbles) can be generated and made porous. Regarding this point, see JP-A-5-5-2.
This is disclosed in Japanese Patent Publication No. 11128.

【0140】(プリ酸化)本発明においては、必要に応
じて多孔質シリコン層の孔壁に保護膜を形成してもよ
い。
(Pre-Oxidation) In the present invention, a protective film may be formed on the pore wall of the porous silicon layer, if necessary.

【0141】多孔質シリコンの隣接する孔の間の壁の厚
みは数nm〜数十nmと非常に薄いため、エピタキシャ
ル成長時、エピタキシャル成長層の熱酸化時、あるい
は、貼り合わせ後の熱処理によっては多孔質層中の隣接
する孔が凝集・粗大化し、さらには分断してしまうこと
がある。この多孔質層の孔の凝集(agglomara
tion)・粗大化(Coarsening)現象は、
多孔質シリコンの選択エッチング速度の低下と選択比の
劣化を招いてしまうことがある。FIPOSにおいては
孔壁厚みの増加と孔の分断のために多孔質層の酸化の進
行が妨げられ、多孔質層を完全に酸化することが困難に
なってしまう。そこで、多孔質層形成後に熱酸化等の方
法により、あらかじめ孔壁に薄い保護膜を形成して、孔
の凝集・粗大化を抑制することができる。保護膜の形成
に際しては、特に酸化による場合は孔壁内部に単結晶シ
リコンの領域を残すことが必須である。従って、膜厚は
数nmあれば十分である。
Since the thickness of the wall between adjacent holes of the porous silicon is very thin, several nm to several tens of nm, the porous silicon may be porous at the time of epitaxial growth, thermal oxidation of the epitaxially grown layer, or heat treatment after bonding. Adjacent pores in the layer may aggregate and coarsen, further dividing. Agglomeration of the pores of this porous layer (agglomara)
tion) and coarsening (Coarsening) phenomenon
In some cases, the selective etching rate of the porous silicon is reduced and the selectivity is deteriorated. In FIPOS, the progress of the oxidation of the porous layer is hindered by the increase in the thickness of the hole wall and the division of the holes, and it becomes difficult to completely oxidize the porous layer. Therefore, after forming the porous layer, a thin protective film is previously formed on the hole walls by a method such as thermal oxidation to suppress aggregation and coarsening of the holes. In forming the protective film, it is essential to leave a region of single-crystal silicon inside the hole wall, especially in the case of oxidation. Therefore, a film thickness of several nm is sufficient.

【0142】一方で、貼り合わせ法によりSOI基板を
作製する場合に、貼り合わせ後の熱処理などの後工程の
低温化が十分になされ、多孔質の構造変化が抑制されれ
ば、この工程は省略することも可能である。
On the other hand, in the case where an SOI substrate is manufactured by the bonding method, if the temperature of the post-process such as heat treatment after the bonding is sufficiently lowered and the change in the porous structure is suppressed, this process is omitted. It is also possible.

【0143】(HFディップ)上記プリ酸化された多孔
質シリコン層は、HFディップ処理することもできる。
(HF Dip) The pre-oxidized porous silicon layer may be subjected to an HF dip treatment.

【0144】HFディップに関して、佐藤ら(N.Sa
to,K.Sakaguchi,K.yamagat
a,Y.Fujiyama,and T.Yoneha
ra,Proc.of the Seventh In
t.Symp.on Silicon Mater.S
ci.and Tech.,Semiconducto
r Silicon,(Pennington,the
Electrochem.Soc.Inc.,199
4),p.443)によればHFディップの時間を長く
することにより、積層欠陥を103 /cm2 程度まで低
減できると報告しているが、既述の通り長時間HFディ
ップをした場合、貼り合わせ後のアニール温度によって
は多孔質層の構造粗大化が進行し、多孔質シリコンのエ
ッチングに際し、エッチングされない部分(エッチング
残渣)が生じることがあるため、HFディップ時間は適
当な範囲に制御する必要することが望ましい。
Regarding the HF dip, Sato et al. (N. Sa)
to, K. Sakaguchi, K .; yamagata
a, Y. Fujiyama, and T.M. Yoneha
ra, Proc. of the Seventh In
t. Symp. on Silicon Mater. S
ci. and Tech. , Semiconducto
r Silicon, (Pennington, the
Electrochem. Soc. Inc. , 199
4), p. According to 443), it is reported that the stacking fault can be reduced to about 10 3 / cm 2 by lengthening the time of the HF dip. Depending on the annealing temperature, the structure of the porous layer may be coarsened, and an unetched portion (etching residue) may be generated during the etching of the porous silicon. Therefore, it is necessary to control the HF dip time in an appropriate range. desirable.

【0145】HFディップの後、水洗・乾燥を行い、多
孔質の孔中の残留HF濃度を低下させることができる。
After the HF dip, washing and drying are performed to reduce the concentration of residual HF in the porous pores.

【0146】(微量の原料供給による孔の閉塞)なお、
本発明においては、多孔質の孔の閉塞させる成長初期過
程にて、SiH 2 Cl2 ,SiH4 ,SiHCl3 ,S
iCl4 や、Si2 6 等のシリコン系ソースガスを用
いて、20nm./min以下、より好ましくは10n
m./min.以下、さらに好ましくは2nm/mi
n.以下の成長速度になるようソースガスの流量を設定
するとよい。なお、常温・常圧で気体であるシランが供
給量の制御性の点からより好ましい。これにより結晶欠
陥がさらに低減される。MBE法のようにSiを固体ソ
ースから供給し、基板温度が800度以下と低い場合に
は成長速度は、0.1nm/min以下であることが望
ましい。微量の原料供給工程(「プリインジェクショ
ン」と呼ぶこともある)により、孔の閉塞が完了した後
は、成長速度は特に制約されない。
(Clogging of holes due to supply of a small amount of raw material)
In the present invention, the initial growth period in which the porous pores are closed is increased.
In the process, SiH TwoClTwo, SiHFour, SiHClThree, S
iClFourAnd SiTwoH6Uses silicon source gas such as
And 20 nm. / Min or less, more preferably 10n
m. / Min. Hereinafter, more preferably, 2 nm / mi
n. Set the source gas flow rate so that the growth rate is below
Good to do. Silane, which is a gas at normal temperature and pressure, is supplied.
It is more preferable from the viewpoint of controllability of the supply amount. As a result,
Depression is further reduced. As in the MBE method, Si
When the substrate temperature is as low as 800 degrees or less.
It is expected that the growth rate is 0.1 nm / min or less.
Good. A small amount of raw material supply process (“Pre-injection
After the closure of the hole is completed
The growth rate is not particularly limited.

【0147】通常のバルクシリコン上の成長と同条件で
あっても構わない。あるいは、上記した微量の原料供給
工程と同じ成長速度で引き続き成長をつづけてもよい
し、ガス種等を変更しても何等本発明の要件を阻害する
ものではない。また、微量の原料供給工程とは連続した
工程であっても、一旦、原料の供給を中断したのち、改
めて所望の原料を供給して成長としても構わない。な
お、N.Sato et.al.Jpn.J.App
l.Phys.35(1996)973.では、微量の
成長初期のSiH2 Cl2 の供給量を減じることによ
り、従来法に比して積層欠陥密度が低減されることが報
告された。しかしながら、かかる方法では積層欠陥密度
は、エピ前プリベーク温度を高くすることで低減される
傾向に変わりはなく、上記したような多孔質層の構造粗
大化に伴うエッチング残さが発生することがあった。本
発明では、成長前の熱処理を従来よりも低温の950℃
程度で行うことができるので、多孔質の構造の粗大化は
生じにくい。
The conditions may be the same as those for normal growth on bulk silicon. Alternatively, the growth may be continued at the same growth rate as in the above-mentioned trace amount raw material supply step, and even if the gas type is changed, the requirements of the present invention are not hindered at all. Further, even if the process of supplying a small amount of the raw material is a continuous process, the supply of the raw material may be temporarily interrupted, and then the desired raw material may be supplied again for growth. In addition, N. Sato et. al. Jpn. J. App
l. Phys. 35 (1996) 973. Reported that the stacking fault density was reduced as compared with the conventional method by reducing the supply of a small amount of SiH 2 Cl 2 in the initial stage of growth. However, in such a method, the stacking fault density remains unchanged by increasing the pre-epi pre-bake temperature, and etching residue due to the coarsening of the structure of the porous layer may occur as described above. . In the present invention, the heat treatment before growth is performed at 950 ° C.
Therefore, the porous structure is unlikely to be coarsened.

【0148】本発明の形態によれば、シリコンのエッチ
ング量の少ない装置に多孔質シリコン層を有する基体を
設置して、成長前の熱処理時間を制御することにより、
従来法のように高温の熱処理を避けることもできる。こ
うすれば、結晶欠陥密度を低減でき、多孔質の構造粗大
化と孔の分断抑止し得る。
According to the embodiment of the present invention, a substrate having a porous silicon layer is provided in an apparatus having a small silicon etching amount, and the heat treatment time before growth is controlled.
High-temperature heat treatment as in the conventional method can be avoided. In this case, the crystal defect density can be reduced, and the porous structure can be coarsened and pores can be prevented from being separated.

【0149】また、成長温度・圧力・ガス流量等は上記
成長初期工程とは独立に制御できるので、処理温度を低
温にして、多孔質シリコンの構造粗大化、あるいは、多
孔質シリコンからのボロン、燐等の不純物のオートドー
ピング、固相拡散を抑制したり、成長温度を上げ、シリ
コンソースガスの流量を増やすことで成長速度を高め
て、厚い非多孔質単結晶シリコン膜を短時間で形成して
もよい。
Further, since the growth temperature, pressure, gas flow rate, etc. can be controlled independently of the above-mentioned initial growth step, the processing temperature is lowered to increase the structure of the porous silicon, or to remove boron from the porous silicon. Auto-doping of impurities such as phosphorus, solid-phase diffusion suppression, raising the growth temperature and increasing the flow rate of the silicon source gas to increase the growth rate to form a thick non-porous single-crystal silicon film in a short time. You may.

【0150】また、成長する非多孔質単結晶層は、前述
したとおりシリコンに限られるものではなく、SiG
e,SiC等のIV族系のヘテロエピタキシー材料、あ
るいは、GaAsに代表される化合物半導体であっても
構わない。又、前記微量原料供給工程ではシリコン系ガ
スを用い、その後は別のガスを用いてヘテロエピタキシ
ャル成長させても構わない。
Further, the non-porous single crystal layer to be grown is not limited to silicon as described above.
It may be a group IV heteroepitaxy material such as e or SiC, or a compound semiconductor represented by GaAs. In the above-mentioned trace material supply step, a silicon-based gas may be used, and thereafter, another gas may be used for heteroepitaxial growth.

【0151】なお、多孔質層表面の孔の封止工程(プリ
ベーク、プリインジェクション)後、所望の膜の成長前
に、プリベーク、プリインジェクションよりも高い温度
で、かつ半導体膜の原料ガスを含まない雰囲気(たとえ
ば水素を含む還元性雰囲気)で熱処理することも好まし
い。当該熱処理を中間ベーク(inter bakin
g)という。
After the step of sealing the pores on the surface of the porous layer (pre-bake, pre-injection), and before the growth of the desired film, the temperature is higher than that of the pre-bake or pre-injection and the semiconductor film does not contain the source gas. It is also preferable to perform heat treatment in an atmosphere (for example, a reducing atmosphere containing hydrogen). The heat treatment is performed by an intermediate baking (interbakin).
g).

【0152】(実施態様例3)多孔質単結晶シリコン層
上に低欠陥密度の非多孔質単結晶シリコン層を有する半
導体基板を応用した例について説明する。
(Embodiment 3) An example in which a semiconductor substrate having a non-porous single-crystal silicon layer having a low defect density on a porous single-crystal silicon layer will be described.

【0153】単結晶Si基板の少なくとも一表面側の部
分を多孔質化し多孔質シリコン層11を有する基体10
を作製する(図15(a))。
A substrate 10 having a porous silicon layer 11 by making at least one surface portion of a single crystal Si substrate porous
(FIG. 15A).

【0154】実施態様例2に示したのと同様の方法、す
なわちシリコンのエッチング量が2nm以下で、(より
好ましくは1nm以下)、かつ多孔質シリコンのヘイズ
値の変化率rが、3.5以内、(より好ましくは、2以
内)となる熱処理(プリベーク)を行う(図15
(b))。その後で該多孔質単結晶シリコン層上に非多
孔質単結晶層12を形成する(図15(c))。
A method similar to that shown in the embodiment example 2, that is, the silicon etching amount is 2 nm or less (more preferably 1 nm or less), and the rate of change r of the haze value of the porous silicon is 3.5 15 (more preferably, within 2) (FIG. 15)
(B)). Thereafter, a non-porous single crystal layer 12 is formed on the porous single crystal silicon layer (FIG. 15C).

【0155】なお、熱処理に先だって、前述のプリ酸
化、HFディップを行ってもよい。更には、熱処理後に
微量の原料供給(プリインジェクション)による孔の閉
塞を行うことも好ましい。
Note that the pre-oxidation and the HF dip described above may be performed prior to the heat treatment. Further, it is also preferable to close holes by supplying a small amount of raw material (pre-injection) after the heat treatment.

【0156】次に貼り合わせ法によりSOI基板を作製
するが、まず非多孔質単結晶シリコン、第2の基体の少
なくともどちらか一方の主面に絶縁層を形成し、その
後、両主面を貼り合わせ、多層構造体を形成する(図1
5(d))。必要に応じて貼り合わせ強度を高めるため
の熱処理を行った後、多孔質シリコンの選択エッチング
等による除去の工程(図15(e))を経て、多孔質シ
リコン上のエピタキシャル成長層を第2の基板上に移設
すれば、SOI構造を得ることができる。
Next, an SOI substrate is manufactured by a bonding method. First, an insulating layer is formed on at least one of the main surfaces of the non-porous single-crystal silicon and the second substrate. To form a multilayer structure (FIG. 1)
5 (d)). After performing a heat treatment for increasing the bonding strength as necessary, the epitaxial growth layer on the porous silicon is removed from the second substrate through a step of removing the porous silicon by selective etching or the like (FIG. 15E). If it is moved upward, an SOI structure can be obtained.

【0157】なお、貼り合わせ強度が、後の工程に耐え
るのに十分であれば、後工程に進む。研削等の機械的方
法、エッチング等の化学的方法などにより、多孔質層が
形成された基板の裏面側を除去して多孔質層を表出す
る。あるいは、多孔質層を境に、多層構造体から基体1
0のうちの多孔質化されていない15の部分を剥離(分
離)することによって、多孔質層を表出させてもよい。
剥離は、くさび等を端面から挿入することや、ウォータ
ージェットのように流体を噴きつけることにより、機械
的に剥離させてもよいし、超音波や、熱応力等を利用し
てもよい。予め多孔質層中に機械的強度の弱い高多孔度
層を部分的に形成しておくことにより、分離しやすくし
ておくとよい。例えば、多孔質層11の構成を、非多孔
質単結晶層12側から、第1の多孔質層(10%〜30
%の多孔度)、その下に、第2の多孔質層(30%〜7
0%の多孔度)とするものである。
When the bonding strength is sufficient to withstand the subsequent steps, the process proceeds to the subsequent steps. The back surface side of the substrate on which the porous layer is formed is removed by a mechanical method such as grinding or a chemical method such as etching to expose the porous layer. Alternatively, from the multilayer structure to the substrate 1 at the porous layer
The porous layer may be exposed by peeling (separating) the 15 nonporous portions of 0.
The separation may be performed mechanically by inserting a wedge or the like from the end face or by spraying a fluid like a water jet, or may use ultrasonic waves, thermal stress, or the like. It is preferable that a high porosity layer having low mechanical strength is partially formed in the porous layer in advance to facilitate separation. For example, the configuration of the porous layer 11 is changed from the non-porous single crystal layer 12 side to the first porous layer (10% to 30%).
% Porosity), under which the second porous layer (30% to 7%)
0% porosity).

【0158】(多孔質の選択エッチング)非多孔質単結
晶層12上に残留した多孔質層は、選択エッチングによ
り除去する。選択エッチング液はHF、H2 2 、H2
2 の混合液が好適に用いられる。反応中に生成される
気泡を除去するために、混合液中にエチルアルコール、
イソプロピルアルコールや界面活性剤を添加してもよ
い。
(Porous Selective Etching) The porous layer remaining on the non-porous single crystal layer 12 is removed by selective etching. Selective etchants are HF, H 2 O 2 , H 2
A mixture of O 2 is preferably used. In order to remove bubbles generated during the reaction, ethyl alcohol in the mixture,
Isopropyl alcohol or a surfactant may be added.

【0159】本方法では、多孔質層の構造変化・粗大
化、孔の分断が抑制されているので、選択エッチングに
おいて選択性の劣化が少ない。
In this method, since the structural change and coarsening of the porous layer and the division of the pores are suppressed, the deterioration of the selectivity in the selective etching is small.

【0160】なお、多孔質シリコン上に形成した非多孔
質単結晶シリコン層を貼り合わせる第2の基体は特に限
定されない。シリコンウエハ、熱酸化シリコン膜を形成
したシリコンウエハ、石英ウエハなどの透明基板、サフ
ァイアウエハなど、前記非多孔質単結晶シリコン表面、
ないしは、その上に形成した膜の表面と密着できる平滑
さを有していればよい。絶縁性基体を貼り合わせる場合
には、絶縁層14は省ける。
The second substrate to which the non-porous single-crystal silicon layer formed on the porous silicon is bonded is not particularly limited. A silicon wafer, a silicon wafer on which a thermally oxidized silicon film is formed, a transparent substrate such as a quartz wafer, a sapphire wafer or the like, the non-porous single-crystal silicon surface,
Alternatively, it is sufficient that the film has a smoothness capable of being in close contact with the surface of the film formed thereon. When bonding an insulating substrate, the insulating layer 14 can be omitted.

【0161】また、非多孔質単結晶シリコン層はそのま
ま第2の基体を貼り合わせても貼り合わせる前に膜を形
成してもよい。形成する膜は、酸化シリコン、窒化シリ
コンの他、SiGe,SiC,III−V化合物、II
−VI化合物などの単結晶膜を形成したものであっても
よいし、これらの複数の膜を積層したものであってもよ
い。
The non-porous single-crystal silicon layer may be bonded to the second substrate as it is, or a film may be formed before bonding. Films to be formed include silicon oxide, silicon nitride, SiGe, SiC, III-V compound, II
A single crystal film such as a -VI compound may be formed, or a plurality of these films may be stacked.

【0162】貼り合わせ前には貼り合わせ面を清浄に洗
浄することが好適である。洗浄は通常の半導体プロセス
で用いられる先行工程を採用してもよい。また、貼り合
わせ前に窒素プラズマ等を照射すると接着強度を高める
ことができる。
Before bonding, it is preferable to clean the bonded surface cleanly. The cleaning may employ a preceding step used in a normal semiconductor process. Irradiation with nitrogen plasma or the like before bonding can increase the bonding strength.

【0163】貼り合わせ後には、熱処理を行って貼り合
わせ強度を高めることが望ましい。
After bonding, it is desirable to perform a heat treatment to increase the bonding strength.

【0164】(水素アニール)多孔質シリコンの除去後
の表面多孔質シリコンの孔と側壁の周期を反映した凹凸
が存在する。なぜなら、この表面は非多孔質単結晶シリ
コンと多孔質シリコンの界面に相当するが、そもそもど
ちらも単結晶シリコンであり、孔があるかどうかだけの
差であるためである。この表面凹凸は研磨等によっても
除去できるが、水素雰囲気中で熱処理を行うと、非多孔
質単結晶シリコンの膜厚を殆ど減じることなく凹凸を除
去できる。水素アニールは、大気圧下、高圧下、微減圧
下いずれでも行うことができる。
(Hydrogen annealing) There are irregularities reflecting the period of the holes and side walls of the surface porous silicon after the removal of the porous silicon. This is because this surface corresponds to the interface between non-porous single-crystal silicon and porous silicon, but both are single-crystal silicon in the first place, and the only difference is whether or not there is a hole. The surface irregularities can be removed by polishing or the like. However, when heat treatment is performed in a hydrogen atmosphere, the irregularities can be removed without substantially reducing the thickness of the non-porous single-crystal silicon. Hydrogen annealing can be performed under any of atmospheric pressure, high pressure, and slightly reduced pressure.

【0165】また、アニール温度は、800℃からシリ
コンの融点以下、より好ましくは、900℃から135
0℃以下である。
The annealing temperature is from 800 ° C. to the melting point of silicon, more preferably from 900 ° C. to 135 ° C.
0 ° C. or less.

【0166】(ボロン濃度制御)一方、多孔質シリコン
上のエピタキシャル層の結晶性は一般にP+ Si
- 0.01Ω・cm boron doped)を多
孔質化した方が、P- Si(-0.01Ω・cm bo
ron doped)を化成した場合と比べはるかに良
好であるが、高濃度Boronがエピタキシャル成長時
にオートドーピング、あるいは、固相拡散してエピタキ
シャルシリコン層に拡散してしまう場合がある。エピタ
キシャルシリコン層に拡散したボロンは多孔質シリコン
除去後にも残留してしまい、SOIにおける活性層の不
純物濃度の抑制に支障を来す場合がある。これを解決す
るために佐藤ら(n.Sato,and T.Yone
hara,Appl.Phys.Lett.65(19
94)p.1924)でSOI構造が完成した基板を水
素中でアニールすることで、ボロンの拡散速度の低いS
OI層表面の自然酸化膜を除去し、SOI層中のボロン
を外部に拡散することで、低濃度化を実現している。し
かしながら、エピタキシャルシリコン層への過度のボロ
ン拡散は、埋め込み酸化膜中へのボロン取り込みを招
き、水素アニールの長時間化を招き、プロセスコストの
増大、あるいは、埋め込み酸化膜中のボロン濃度の制御
性の悪化などの問題が生じることがあった。この課題の
解決には、エピタキシャルシリコン層の形成条件を低温
化するなどしてボロンの拡散を抑制することが有効であ
る。本発明によれば、エピタキシャルシリコン層の形成
は、孔の閉塞とは独立に条件を設定できるので、適切な
条件を設定可能である。
(Control of Boron Concentration) On the other hand, the crystallinity of the epitaxial layer on the porous silicon is generally P + Si
(- 0.01Ω · cm boron doped) who made porous to have, P - Si (- 0.01Ω · cm bo
Although it is much better than the case of forming boro-doped, high-concentration Boron sometimes diffuses into the epitaxial silicon layer by auto-doping or solid-phase diffusion during epitaxial growth. Boron diffused into the epitaxial silicon layer remains even after porous silicon is removed, which may hinder the suppression of the impurity concentration of the active layer in SOI. To solve this, Sato et al. (N. Sato, and T. Yone)
hara, Appl. Phys. Lett. 65 (19
94) p. By annealing the substrate having the SOI structure completed in 1924) in hydrogen, S having a low boron diffusion rate is obtained.
By removing the natural oxide film on the surface of the OI layer and diffusing boron in the SOI layer to the outside, a low concentration is realized. However, excessive boron diffusion into the epitaxial silicon layer causes boron to be taken into the buried oxide film, resulting in a longer hydrogen annealing, increasing the process cost, or controlling the boron concentration in the buried oxide film. In some cases, problems such as deterioration of the product may occur. In order to solve this problem, it is effective to suppress the diffusion of boron by lowering the conditions for forming the epitaxial silicon layer. According to the present invention, conditions for forming the epitaxial silicon layer can be set independently of blockage of the holes, so that appropriate conditions can be set.

【0167】(FIPOS法)マタハ、貼り合わせ工程
を行わずFIPOS法により、エピタキシャル成長層を
部分的に除去した後、酸化処理により多孔質シリコンを
選択的に酸化して、SOI構造を形成しても良い。本方
法では、多孔質層の構造変化・粗大化、孔の分断が抑制
されているので、選択酸化においても選択性の劣化が少
ない。
(FIPOS Method) Even after the epitaxial growth layer is partially removed by the FIPOS method without performing the bonding process, the SOI structure may be formed by selectively oxidizing the porous silicon by the oxidation treatment. good. In the present method, since the structural change and coarsening of the porous layer and the separation of the pores are suppressed, the selectivity is less deteriorated even in the selective oxidation.

【0168】(ヘテロエピタキシー)あるいは、GaA
s等の化合物半導体、SiC,SiGe等のIV族系の
ヘテロエピを実施しても良い。ヘテロエピタキシーにお
いては、多孔質シリコンが応力の緩衝材料として作用
し、格子不整合による応力を緩和することができる上
に、非多孔質単結晶シリコン層の結晶欠陥密度が低減で
きているので、ヘテロエピタキシャル成長層の欠陥密度
も低減される。本方法では、多孔質層の構造変化・粗大
化、孔の分断が抑制されているので、応力の緩衝効果の
劣化が少ない。
(Heteroepitaxy) or GaAs
A compound semiconductor such as s and a group IV heteroepitaxy such as SiC and SiGe may be performed. In heteroepitaxy, porous silicon acts as a stress buffering material, which can relieve stress due to lattice mismatch, and can reduce the crystal defect density of the non-porous single crystal silicon layer. The defect density of the epitaxial growth layer is also reduced. In the present method, since the structural change and coarsening of the porous layer and the division of the pores are suppressed, the deterioration of the stress buffering effect is small.

【0169】(その他の応用)多孔質シリコンにはゲッ
タリング作用があるため、上記したようなSOI構造を
形成せずとも、本発明により作製した非多孔質単結晶シ
リコン層にMOSトランジスタ、バイポーラトランジス
タ等を直接形成すれば、工程中の金属汚染等の不純物汚
染耐性の高い基板となる。
(Other Applications) Since porous silicon has a gettering action, a MOS transistor and a bipolar transistor can be formed on the non-porous single-crystal silicon layer manufactured according to the present invention without forming the SOI structure as described above. If a substrate is formed directly, a substrate having high resistance to impurity contamination such as metal contamination during the process can be obtained.

【0170】本方法では、従来法に比べ、熱処理温度、
特に孔の封止前の熱処理温度を低温化できるため、多孔
質層中の孔の凝集・拡大、分断等を抑制できるため、貼
り合わせ法での後の工程での多孔質層の選択エッチング
での選択性を劣化させない。すなわち、多孔質層除去に
おいて、残さを発生させないで、非多孔質単結晶シリコ
ン層の結晶性を向上できる。また、FIPOS法におい
ては、多孔質層の選択酸化の酸化速度を劣化せしめな
い。
In the present method, the heat treatment temperature,
In particular, since the heat treatment temperature before sealing the holes can be lowered, aggregation, expansion, and division of the holes in the porous layer can be suppressed, so that the porous layer can be selectively etched in a later step of the bonding method. Does not degrade the selectivity of That is, in removing the porous layer, the crystallinity of the non-porous single-crystal silicon layer can be improved without generating any residue. Also, in the FIPOS method, the oxidation rate of the selective oxidation of the porous layer is not deteriorated.

【0171】以下、本発明の具体的な実施例について説
明する。
Hereinafter, specific examples of the present invention will be described.

【0172】(実施例1−950℃,600Torr
Prebake(2s,120s),Preinjec
tion,Epi−2μm) 1)p型不純物としてボロンを添加し、比抵抗0.01
5Ω・cm+ - 0.005Ω・cmにしたCZ6イン
チ(100)p+ シリコンウエハを用意した。
Example 1-950 ° C., 600 Torr
Prebake (2s, 120s), Preinjec
1) Boron is added as a p-type impurity, and the specific resistance is 0.01.
5Ω · cm + / - 0.005Ω · CZ6 inch (100) were in cm were prepared p + silicon wafer.

【0173】2)49%HFとエチルアルコールを2:
1の比で混合した溶液中で前記シリコンウエハを陽極
に、6インチ径の白金板を陰極としてシリコンウエハと
向かい合うように設置した。前記シリコンウエハの裏面
側は同じ溶液を介して、別のp + Siウエハの表面側と
対向させ、もっとも端のウエハは6インチ径の白金板を
対向させた。ウエハとウエハの間の溶液はウエハで隔て
られ、通電しないように配置した。前記シリコンウエハ
と白金の間に電流密度10mA/cm2 で12分間電流
を流して前期シリコンウエハを陽極化成し(Anodi
ze)、表面に12μm厚の多孔質シリコンを形成し
た。
2) 49% HF and ethyl alcohol in 2:
Anode the silicon wafer in a solution mixed at a ratio of 1.
And a silicon wafer with a 6-inch diameter platinum plate as the cathode
They were installed facing each other. Back side of the silicon wafer
The side is through the same solution, another p +With the front side of the Si wafer
Opposite, the endmost wafer is a 6 inch diameter platinum plate
Faced. Solution between wafers is separated by wafer
And arranged so as not to energize. The silicon wafer
And current density between 10mA / cmTwoFor 12 minutes
To anodize the silicon wafer in the previous period (Anodi
ze), forming a porous silicon 12 μm thick on the surface
Was.

【0174】3)つづいて、多孔質シリコン層を形成し
たウエハに400度の酸素雰囲気中で1時間酸化処理を
施した。この酸化処理は概ね50Å以下の酸化膜しか形
成しないためので酸化シリコン膜は多孔質シリコンの表
面と孔の側壁にしか形成されておらず、内部には単結晶
シリコンの領域が残されている。
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment in an oxygen atmosphere at 400 degrees for 1 hour. Since this oxidation process only forms an oxide film of approximately 50 ° or less, the silicon oxide film is formed only on the surface of the porous silicon and the side walls of the holes, and a single crystal silicon region remains inside.

【0175】4)1.25%に希釈したHF水溶液に前
記ウエハを30秒程度曝し、続いて10分間純水に漬け
て、オーバーフローリンスとして、多孔質層の表面に形
成された極薄酸化シリコン膜を除去した。
4) The wafer was exposed to an HF aqueous solution diluted to 1.25% for about 30 seconds, and then immersed in pure water for 10 minutes to form an ultra-thin silicon oxide formed on the surface of the porous layer as overflow rinse. The film was removed.

【0176】5)その後プリベーク工程として、110
0℃、120秒間の熱処理を行った。
5) Thereafter, as a pre-bake step, 110
Heat treatment was performed at 0 ° C. for 120 seconds.

【0177】プリベーク直前のヘイズ値は、9.1pp
mであり、プリベーク後のヘイズ値は、34.5ppm
であった。すなわち、r=3.8(>3.5)であっ
た。
The haze value immediately before prebaking was 9.1 pp.
m, and the haze value after prebaking was 34.5 ppm.
Met. That is, r = 3.8 (> 3.5).

【0178】そこで、ヘイズ値の変化率rが、1≦r≦
3.5を満たすよう様々に熱処理条件を変えて行ったと
ころ、950℃で120秒間の熱処理を行った場合、ヘ
イズ値の変化率rは、2.8(<3.5)となることが
分った。
Then, the rate of change r of the haze value is 1 ≦ r ≦
When the heat treatment conditions were variously changed so as to satisfy 3.5, when the heat treatment was performed at 950 ° C. for 120 seconds, the change rate h of the haze value was 2.8 (<3.5). I understand.

【0179】r=2.8となる条件で、プリベークを行
った後、同じ反応容器内において、圧力600Torr
で、濃度28ppmになるように水素のキャリアガスに
SiH4 を添加して、200秒処理し、SiH4 の添加
は終了し、その後、圧力を80Torrに温度を900
℃に下げて、今度はSiH2 Cl2 を濃度0.5mol
%となるように添加して、非多孔質単結晶シリコン膜を
2μm形成した。そしてウエハを欠陥顕在化エッチング
して、非多孔質単結晶シリコン層に導入された結晶欠陥
を顕在化した後、ノマルスキー微分干渉顕微鏡で観察し
た。観察された欠陥は積層欠陥が99%以上であった。
積層欠陥の密度は、160個/cm2 であった。
After pre-baking under the condition that r = 2.8, the pressure was 600 Torr in the same reaction vessel.
Then, SiH 4 is added to a carrier gas of hydrogen so as to have a concentration of 28 ppm, and a treatment is performed for 200 seconds. Then, the addition of SiH 4 is completed.
℃, and then the concentration of SiH 2 Cl 2 is 0.5 mol
% To form a non-porous single-crystal silicon film of 2 μm. Then, the wafer was subjected to defect revealing etching to reveal the crystal defects introduced into the non-porous single crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects had a stacking fault of 99% or more.
The stacking fault density was 160 / cm 2 .

【0180】一方、ヘイズ値の変化率r=3.8の場合
は同様の条件でエピタキシャル成長すると、積層欠陥密
度は、1.5×104 /cm2 となっていることが分か
った。以上のことより、ヘイズ値が一定の範囲内になる
条件でプリベークを行うことで、非常に積層欠陥密度の
低い単結晶Si層の形成が可能であることが確認でき
た。
On the other hand, when the rate of change of the haze value was r = 3.8, when the epitaxial growth was carried out under the same conditions, the stacking fault density was found to be 1.5 × 10 4 / cm 2 . From the above, it was confirmed that a single crystal Si layer having a very low stacking fault density can be formed by performing pre-baking under the condition that the haze value is within a certain range.

【0181】なお、積層欠陥の観察は、欠陥顕在化エッ
チングして顕微鏡で観察した。具体的には、エッチング
液として、Seccoエッチング法におけるK2 Cr2
7(0.15M)と49%−HF(2:1)の混合水
溶液を、エッチング速度を下げるために、純水で希釈し
たものを用い、ウエハ表面の非多孔質単結晶シリコン層
に導入された結晶欠陥を顕在化させた後、ノマルスキー
微分干渉顕微鏡で観察し積層欠陥密度を求めた。
The stacking faults were observed under a microscope after etching to reveal the defects. Specifically, K 2 Cr 2 in the Secco etching method is used as an etching solution.
An aqueous solution of a mixture of O 7 (0.15M) and 49% -HF (2: 1) diluted with pure water was used to reduce the etching rate, and introduced into the non-porous single-crystal silicon layer on the wafer surface. After clarifying the crystal defects, observation was performed with a Nomarski differential interference microscope to determine the stacking fault density.

【0182】(実施例2−950℃,600Torr
Prebake(2s,120s),Preinjec
tion,Epi−2μm) 1)p型不純物としてボロンを添加し、比抵抗0.01
5Ω・cm+ - 0.005Ω・cmにしたCZ6イン
チ(100)p+ シリコンウエハを用意した。
(Example 2-950 ° C., 600 Torr)
Prebake (2s, 120s), Preinjec
1) Boron is added as a p-type impurity, and the specific resistance is 0.01.
5Ω · cm + / - 0.005Ω · CZ6 inch (100) were in cm were prepared p + silicon wafer.

【0183】2)49%HF水溶液とエチルアルコール
を2:1の比で混合した溶液中で前記シリコンウエハを
陽極に、6インチ径の白金板を陰極としてシリコンウエ
ハと向かい合うように設置した。前記シリコンウエハの
裏面側は同じ溶液を介して、別のp+ Siウエハの表面
側と対向させ、もっとも端のウエハは6インチ径の白金
板を対向させた。ウエハとウエハの間の溶液はウエハで
隔てられ、導通しないように配置した。前記シリコンウ
エハと白金の間に電流密度10mA/cm2 で12分間
電流を流して前記シリコンウエハを陽極化成し、表面に
12μm厚の多孔質シリコンを形成した。
2) In a solution in which a 49% HF aqueous solution and ethyl alcohol were mixed at a ratio of 2: 1, the silicon wafer was set as an anode and a 6-inch diameter platinum plate was set as a cathode so as to face the silicon wafer. The back side of the silicon wafer was opposed to the front side of another p + Si wafer through the same solution, and the platinum wafer having a diameter of 6 inches was opposed to the endmost wafer. The solution between the wafers was separated by the wafer and arranged so as not to conduct. A current was passed between the silicon wafer and platinum at a current density of 10 mA / cm 2 for 12 minutes to anodize the silicon wafer to form a 12 μm thick porous silicon on the surface.

【0184】3)つづいて、多孔質シリコン層を形成し
たウエハに400度の酸素雰囲気中で1時間酸化処理を
施した。
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment in a 400 ° C. oxygen atmosphere for 1 hour.

【0185】この酸化処理は、概ね50Å以下の酸化膜
しか形成しないので、酸化シリコン膜は多孔質シリコン
の表面と孔の側壁にしか形成されておらず、内部には単
結晶シリコンの領域が残されている。
In this oxidation treatment, only an oxide film of approximately 50 ° or less is formed. Therefore, the silicon oxide film is formed only on the surface of the porous silicon and on the side walls of the holes, and a single crystal silicon region remains inside. Have been.

【0186】4)1.25%に希釈したHF水溶液に前
記ウエハを30秒程度曝し、続いて10分間純水に漬け
て、オーバーフローリンスとして、多孔質層の表面に形
成された極薄酸化シリコン膜を除去した。
4) The wafer was exposed to an aqueous HF solution diluted to 1.25% for about 30 seconds, and then immersed in pure water for 10 minutes to form an ultra-thin silicon oxide formed on the surface of the porous layer as overflow rinse. The film was removed.

【0187】5)ウエハをウエハキャリアに入れてセッ
トするロードロック室と、ウエハ移載用ロボットのセッ
トされた移載チャンバーとプロセスチャンバーが接続さ
れたエピタキシャルCVD成長装置のロードロック室
に、前記ウエハをウエハキャリアに入れて設置した。
5) The wafer is placed in a load lock chamber in which a wafer is put into a wafer carrier and set, and a load lock chamber of an epitaxial CVD growth apparatus in which a transfer chamber in which a wafer transfer robot is set and a process chamber are connected. Was set in a wafer carrier.

【0188】ロードロック室は、大気圧からドライポン
プで1Torr以下に減圧したのち、N2 ガスを流し
て、80Torrにした。移載チャンバーは、予めN2
を流して80Torrに保持されている。プロセスチャ
ンバーには、ウエハを保持するためにカーボンにCVD
−SiCを被覆したサセプタが設置されている。サセプ
タは、IRランプによって予め摂氏750℃程度に昇温
してある。プロセスチャンバー内には加熱したパラジウ
ム合金を用いた水素精製機により、精製された水素ガス
が精製機からおよそ10mの内面研磨したステンレス配
管によりプロセスチャンバーに供給されている。
The pressure in the load lock chamber was reduced to 1 Torr or less from the atmospheric pressure by a dry pump, and then N 2 gas was supplied to 80 Torr. The transfer chamber is N 2
And kept at 80 Torr. Process chamber has CVD on carbon to hold wafers
-A susceptor coated with SiC is provided. The susceptor has been previously heated to about 750 ° C. by an IR lamp. In the process chamber, a hydrogen purifier using a heated palladium alloy supplies purified hydrogen gas from the purifier to the process chamber through an approximately 10-meter polished stainless steel pipe.

【0189】ウエハはロードロック室から移載チャンバ
ーを経由してプロセスチャンバーへ移載ロボットにより
搬送され、サセプタ上に設置された。
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot, and was set on the susceptor.

【0190】6)プロセスチャンバー内の圧力を600
Torrに設定した後、サセプタ上に移載されたウエハ
をIRランプで加熱して毎分100℃の速度で昇温し、
950℃で2秒保持した後、温度を750℃まで降温
し、ウエハを再び移載ロボットにて移載チャンバーを経
由しロードロック室に取り出した。もう1枚のウエハは
950℃で120秒保持し、これ以外は、前述のウエハ
と同じ処理をしてロードロック室に戻した。
6) When the pressure in the process chamber is 600
After setting to Torr, the wafer transferred on the susceptor was heated by an IR lamp and heated at a rate of 100 ° C. per minute.
After holding at 950 ° C. for 2 seconds, the temperature was lowered to 750 ° C., and the wafer was again taken out of the load lock chamber via the transfer chamber by the transfer robot. The other wafer was kept at 950 ° C. for 120 seconds, and the rest was returned to the load lock chamber by performing the same processing as the above-described wafer.

【0191】7)ロードロック室を大気開放してウエハ
を取り出し、異物検査装置で多孔質層表面のヘイズを観
察したところ、2秒処理のウエハ上の多孔質の平均ヘイ
ズ値は、11.9ppmであり、120秒処理の多孔質
のヘイズ値は、25.7で、エピタキシャル成長装置に
設置する前のサンプルのヘイズ値9.1ppmのそれぞ
れ約1.3、2.8倍であった。すなわち、r=1.
3,2.8であった。
7) The load lock chamber was opened to the atmosphere, the wafer was taken out, and the haze on the surface of the porous layer was observed with a foreign matter inspection device. The average haze value of the porous material on the wafer after 2 seconds treatment was 11.9 ppm. The haze value of the porous material after the treatment for 120 seconds was 25.7, which was about 1.3 and 2.8 times the haze value of the sample before being installed in the epitaxial growth apparatus, 9.1 ppm. That is, r = 1.
3,2.8.

【0192】8)また、予め用意しておいたSOI基板
をHFディップし、水洗して乾燥させたのち、SOI層
の膜厚を光式干渉膜厚計により測定し、5)、6)の処
理を施し、ロードロックより取り出した。再びSOI層
の膜厚を測定したところ、SOI層の膜厚減少量は、い
ずれも1nm未満であった。
8) The SOI substrate prepared in advance is dipped in HF, washed with water and dried, and then the thickness of the SOI layer is measured with an optical interference thickness meter. After processing, it was removed from the load lock. When the thickness of the SOI layer was measured again, the amount of decrease in the thickness of the SOI layer was less than 1 nm in all cases.

【0193】9)4)の処理が終了したウエハを、5)
に記載した方法により、エピタキシャル成長装置のプロ
セスチャンバーに移載した。
9) The wafer after the processing of 4) is completed,
Was transferred to the process chamber of the epitaxial growth apparatus by the method described in (1).

【0194】10)プロセスチャンバーの圧力を600
Torrに設定した後、サセプタ上に移載されたウエハ
をIRランプで加熱して毎分100℃の速度で昇温し、
熱処理(プリベーク処理)として950℃で2秒保持し
た後、濃度28ppmになるように水素のキャリアガス
にSiH4 を添加し、200秒処理をし、SiH4 の添
加は終了し、その後、圧力を80Torrに温度を90
0℃に下げて、今度はSiH2 Cl2 を濃度0.5mo
l%になるように添加して、非多孔質単結晶シリコン膜
を2μm形成し、水素雰囲気下で温度を750℃まで降
温し、ウエハを再び移載ロボットにて移載チャンバーを
経由しロードロック室に取り出した。もう1枚のウエハ
は950℃水素雰囲気中でのプリベーク処理時間を12
0秒とし、これ以外は同じ処理をしてロードロック室に
戻した。なお、濃度28ppmのSiH4 ガスを添加し
た場合、成長速度は、3.3nm/minである。
10) The pressure of the process chamber was set to 600
After setting to Torr, the wafer transferred on the susceptor was heated by an IR lamp and heated at a rate of 100 ° C. per minute.
After holding at 950 ° C. for 2 seconds as a heat treatment (pre-bake treatment), SiH 4 is added to a hydrogen carrier gas so as to have a concentration of 28 ppm, and a treatment is performed for 200 seconds, and the addition of SiH 4 is completed. 90 to 80 Torr
The temperature was lowered to 0 ° C., and the concentration of SiH 2 Cl 2 was 0.5mo.
1%, a non-porous single-crystal silicon film is formed to a thickness of 2 μm, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is again loaded through the transfer chamber by the transfer robot. I took it out of the room. Another wafer was prebaked at 950 ° C. in a hydrogen atmosphere for 12 hours.
The time was set to 0 second, and the same processing was carried out except for this, and returned to the load lock chamber. When the SiH 4 gas having a concentration of 28 ppm is added, the growth rate is 3.3 nm / min.

【0195】11)10)の処理が終了したウエハを欠
陥顕在化エッチングして、非多孔質単結晶シリコン層に
導入された結晶欠陥を顕在化した後、ノマルスキー微分
干渉顕微鏡で観察した。観察された欠陥は積層欠陥が9
9%以上であった。積層欠陥の密度は、プリベーク2秒
の場合、84個/cm2 、プリベーク60秒の場合、1
60個/cm2 で、プリベーク1100℃120秒の場
合の1.5×104 /cm2 に比べ、激減した。特に9
50℃2秒でプリベークでは、100個/cm 2 を下回
る積層欠陥密度が得られた。
11) The wafer after the processing of 10) is removed.
Revealing etching to non-porous single crystal silicon layer
After revealing the introduced crystal defects, the Nomarski differentiation
Observed with an interference microscope. The observed defects were 9 stacking faults.
9% or more. Stacking fault density is 2 seconds pre-baked
In case of 84 pieces / cmTwo, For pre-bake 60 seconds, 1
60 pieces / cmTwoIn the case of pre-baking 1100 ° C for 120 seconds
1.5 × 10Four/ CmTwoCompared with, it decreased sharply. Especially 9
100 pieces / cm in pre-baking at 50 ° C for 2 seconds TwoBelow
Stacking fault density was obtained.

【0196】(実施例3−950℃,600Torr
Prebake(2e),Preinjection,
Epi−0.32μm ELTRAN) 1)p型不純物としてボロンを添加し、比抵抗0.01
5Ω・cm+ - 0.01Ω・cmにしたCZ8インチ
(100)p+ シリコンウエハを用意した。
(Example 3-950 ° C., 600 Torr)
Prebake (2e), Preinjection,
Epi-0.32 μm ELTRAN) 1) Boron is added as a p-type impurity and the specific resistance is 0.01
5Ω · cm + / - 0.01Ω · CZ8 inch (100) were in cm were prepared p + silicon wafer.

【0197】2)49%HF水溶液とエチルアルコール
を2:1の比で混合した溶液中で前記シリコンウエハを
陽極に、6インチ径の白金板を陰極としてシリコンウエ
ハと向かい合うように設置した。前記シリコンウハの裏
面側は同じ溶液を介して、別のp+ Siウエハの表面側
と対向させ、もっとも端のウエハは6インチ径の白金板
を対向させた。ウエハとウエハの間の溶液はウエハで隔
てられ、導通しないように配置した。前記シリコンウエ
ハと白金の間に電流密度10mA/cm2 で12分間電
流を流して前記シリコンウエハを陽極化成し(Anod
ize)、表面に12μm厚の多孔質シリコンを複数枚
形成した。
2) In a solution in which a 49% HF aqueous solution and ethyl alcohol were mixed at a ratio of 2: 1, the silicon wafer was set as an anode and a 6-inch diameter platinum plate was set as a cathode so as to face the silicon wafer. The back side of the silicon wafer was opposed to the front side of another p + Si wafer through the same solution, and the platinum wafer having a diameter of 6 inches was opposed to the endmost wafer. The solution between the wafers was separated by the wafer and arranged so as not to conduct. An electric current was passed between the silicon wafer and platinum at a current density of 10 mA / cm 2 for 12 minutes to anodize the silicon wafer (Anode).
size), a plurality of 12 μm-thick porous silicon were formed on the surface.

【0198】3)つづいて、多孔質シリコン層を形成し
たウエハに、400度の酸素雰囲気中で1時間酸化処理
を施した。この酸化処理は概ね50Å以下の酸化膜しか
形成しないので、酸化シリコン膜は多孔質シリコンの表
面と孔の側壁にしか形成されておらず、内部には単結晶
シリコンの領域が残されている。
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment in a 400 ° C. oxygen atmosphere for 1 hour. Since this oxidation treatment forms only an oxide film of approximately 50 ° or less, the silicon oxide film is formed only on the surface of the porous silicon and on the side walls of the holes, and a single crystal silicon region remains inside.

【0199】4)1.25%に希釈したHF水溶液に、
前記ウエハを30秒程度曝し、続いて10分間純水に漬
けて、オーバーフローリンスして、多孔質層の表面に形
成された極薄酸化シリコン膜を除去した。
4) In an aqueous HF solution diluted to 1.25%,
The wafer was exposed for about 30 seconds, then immersed in pure water for 10 minutes, and overflow rinsed to remove the ultra-thin silicon oxide film formed on the surface of the porous layer.

【0200】5)ウエハをウエハキャリアに入れてセッ
トするロードロック室とウエハ移載用ロボットのセット
された移載チャンバーとプロセスチャンバーが接続され
たエピタキシャルCVD成長装置のロードロック室に前
記ウエハをウエハキャリアに入れて設置した。ロードロ
ック室は、大気圧からドライポンプで1Torr以下に
減圧したのち、N2 を流して、80Torrにした。移
載チャンバーは予めN 2 を流して80Torrに保持さ
れている。プロセスチャンバーには、ウエハを保持する
ためにカーボンにCVD−SiCを被覆したサセプタが
設置されている。サセプタは、IRランプによって予め
摂氏750℃程度に昇温してある。プロセスチャンバー
内には加熱したパラジウム合金を用いた水素精製機によ
り、精製された水素ガスが精製機からおよそ10mの内
面研磨したステンレス配管によりプロセスチャンバーに
供給されている。
5) Set the wafer in the wafer carrier
Set of load lock chamber and wafer transfer robot
Transfer chamber and process chamber are connected
In front of load lock chamber of epitaxial CVD growth equipment
The wafer was placed in a wafer carrier. Rhodoulo
The pressure chamber is reduced from atmospheric pressure to less than 1 Torr by dry pump.
After decompression, NTwoTo 80 Torr. Transfer
Loading chamber is N TwoAnd kept at 80 Torr
Have been. Holds wafer in process chamber
Susceptor with CVD-SiC coated on carbon
is set up. The susceptor is set in advance by an IR lamp.
The temperature has been raised to about 750 ° C. Process chamber
Inside the furnace is a hydrogen purifier using a heated palladium alloy.
The purified hydrogen gas within about 10m from the refiner.
Surface polished stainless steel piping to process chamber
Supplied.

【0201】ウエハはロードロック室から移載チャンバ
ーを経由してプロセスチャンバーへ移載ロボットにより
搬送され、サセプタ上に設置された。
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot, and was set on the susceptor.

【0202】6)サセプタ上に移載されたウエハをIR
ランプで加熱して毎分100℃の速度で昇温し、プリベ
ーク処理として950℃で2秒保持した、このときの条
件は、シリコンのエッチング量1nm未満であり、ヘイ
ズ変化率r=1.3であった。
6) The wafer transferred on the susceptor is
Heating with a lamp, the temperature was increased at a rate of 100 ° C. per minute, and the temperature was held at 950 ° C. for 2 seconds as a pre-bake treatment. Met.

【0203】つぎに、濃度28ppmになるように水素
のキャリアガスにSiH4 Cl2 を添加して、200秒
処理をし、SiH4 の添加は終了し、その後、温度を9
00℃に下げて、今度はSiH4 Cl2 を濃度0.5m
ol%になるように添加して、非多孔質単結晶シリコン
膜を0.32μm形成し、水素雰囲気下で温度を750
℃まで降温し、ウエハを再び移載ロボットにて移載チャ
ンバーを経由しロードロック室に取り出した。形成され
た非多孔質単結晶シリコン層の膜厚は平均0.32μ
m、最大値−最小値=8nmであった。
Next, SiH 4 Cl 2 is added to a hydrogen carrier gas so as to have a concentration of 28 ppm, and a treatment is performed for 200 seconds. Then, the addition of SiH 4 is completed.
The temperature was lowered to 00 ° C and the concentration of SiH 4 Cl 2 was 0.5 m
ol% to form a non-porous single crystal silicon film having a thickness of 0.32 μm.
The temperature was lowered to ° C., and the wafer was again taken out of the load lock chamber via the transfer chamber by the transfer robot. The thickness of the formed non-porous single-crystal silicon layer is 0.32 μm on average.
m, maximum value-minimum value = 8 nm.

【0204】7)非多孔質単結晶シリコンをエピタキシ
ャル成長したウエハを縦形炉に設置して、酸素と水素を
燃焼して形成された水蒸気と残留酸素の混合気中、10
00℃で熱処理により前記非多孔質単結晶シリコンの表
面を酸化して、208nmの酸化シリコン膜を形成し
た。
7) A wafer in which non-porous single-crystal silicon is epitaxially grown is placed in a vertical furnace, and a wafer containing a mixture of water vapor and residual oxygen formed by burning oxygen and hydrogen is used.
The surface of the non-porous single crystal silicon was oxidized by heat treatment at 00 ° C. to form a silicon oxide film having a thickness of 208 nm.

【0205】8)上記ウエハと第2のシリコンウエハを
シリコン半導体プロセスの洗浄ラインで清浄に洗浄した
のち、両ウエハの第1の主面同士を静かに重ね合わせ、
中央を押圧したところ、両ウエハは一体化した。
8) After the above-mentioned wafer and the second silicon wafer are cleanly cleaned in a cleaning line of a silicon semiconductor process, the first main surfaces of both wafers are gently overlapped with each other.
When the center was pressed, the two wafers were integrated.

【0206】9)続いて、一体化したウエハ組を縦形炉
に設置して、酸素雰囲気中1100℃で1時間熱処理し
た。
9) Subsequently, the integrated wafer set was placed in a vertical furnace and heat-treated at 1100 ° C. for 1 hour in an oxygen atmosphere.

【0207】10)多孔質シリコンを形成したウエハの
裏面側をグラインダーにより:研削し、多孔質シリコン
をウエハ全面に渡って露出させた。
10) The back surface of the wafer on which the porous silicon was formed was ground with a grinder to expose the porous silicon over the entire surface of the wafer.

【0208】11)露出した多孔質シリコン層をHFと
過酸化水素水の混合溶液に漬けたところ、およそ2時間
で多孔質シリコンはすべて除去され、ウエハ全面で、非
多孔質単結晶シリコン層と熱酸化シリコン膜による干渉
色が観察された。
11) When the exposed porous silicon layer was immersed in a mixed solution of HF and hydrogen peroxide solution, all the porous silicon was removed in about 2 hours, and the entire surface of the wafer was replaced with a non-porous single crystal silicon layer. An interference color due to the thermally oxidized silicon film was observed.

【0209】12)11)の処理が終了したウエハをシ
リコン半導体デバイスプロセスで一般的に用いる洗浄ラ
インで洗浄した後、縦形水素アニール炉に設置して、水
素100%雰囲気中で1100℃4時間の熱処理を行っ
た。水素ガスは装置とおよそ7mの内面研磨ステンレス
配管で接続されたパラジウム合金を用いた市販の水素精
製装置で純化されている。
12) The wafer after the treatment of 11) is cleaned by a cleaning line generally used in a silicon semiconductor device process, and then set in a vertical hydrogen annealing furnace at 1100 ° C. for 4 hours in a 100% hydrogen atmosphere. Heat treatment was performed. Hydrogen gas is purified by a commercially available hydrogen purifier using a palladium alloy connected to the apparatus by an approximately 7 m internally polished stainless steel pipe.

【0210】13)こうして、第2のシリコンウエハ上
に200nmの酸化シリコン層と200nmの単結晶シ
リコン層が積層されたSOI構造のウエハが作製され
た。
13) Thus, an SOI structure wafer having a 200 nm silicon oxide layer and a 200 nm single crystal silicon layer laminated on the second silicon wafer was manufactured.

【0211】単結晶シリコン層の膜厚は平均201n
m、最大値−最小値=8nmであった。
The average thickness of the single crystal silicon layer is 201 n.
m, maximum value-minimum value = 8 nm.

【0212】14)のウエハを欠陥顕在化エッチングに
より単結晶シリコン層を130nm除去したのち、49
%HFに3分漬けた。この結果、欠陥顕在化エッチング
によりエッチングされた単結晶シリコン層に残留する結
晶欠陥の部分から埋め込み酸化膜がHFによりエッチン
グされ、ノマルスキー微分干渉顕微鏡で容易に欠陥密度
を測定できる。観察された欠陥の密度は、64個/cm
2 であった。水素アニール処理により、非多孔質単結晶
シリコン層に導入された積層欠陥が減少していた。欠陥
密度100個/cm2 を下回り、かつ、膜厚の均一な薄
膜SOI層が得られた。
14) After removing the single-crystal silicon layer by 130 nm from the wafer by defect revealing etching, 49
% HF for 3 minutes. As a result, the buried oxide film is etched by HF from the portion of the crystal defect remaining in the single crystal silicon layer etched by the defect revealing etching, and the defect density can be easily measured with a Nomarski differential interference microscope. The observed defect density was 64 defects / cm
Was 2 . Stacking faults introduced into the non-porous single-crystal silicon layer were reduced by the hydrogen annealing treatment. A thin film SOI layer having a defect density of less than 100 defects / cm 2 and a uniform film thickness was obtained.

【0213】(実施例4−950℃,600Torr
Prebake(2s,120s),No Prein
jection,Epi−2μm) 1)p型不純物としてボロンを添加し、比抵抗0.01
5Ω・cm+ - 0.005Ω・cmにした、6インチ
(100)p+ シリコンウエハ(CZウエハ)を用意し
た。
(Example 4-950 ° C., 600 Torr)
Prebake (2s, 120s), No Prein
(Jection, Epi-2 μm) 1) Boron is added as a p-type impurity, and the specific resistance is 0.01.
5Ω · cm + / - was to 0.005Ω · cm, was prepared to 6 inches (100) p + silicon wafers (CZ wafers).

【0214】2)49%HFとエチルアルコールを2:
1の比で混合した溶液中で前記シリコンウエハを陽極
に、6インチ径の白金板を陰極としてシリコンウエハと
向かい合うように設置した。前記シリコンウエハの裏面
側は同じ溶液を介して、別のp + Siウエハの表面側と
対向させ、もっとも端のウエハは6インチ径の白金板を
対向させた。ウエハとウエハの間の溶液はウエハで隔て
られ、導通しないように配置した。前記シリコンウエハ
と白金の間に電流密度10mA/cm2 で12分間電流
を流して前記シリコンウエハを陽極化成し(Anodi
ze)、表面に12μm厚の多孔質シリコンを形成し
た。
2) 49% HF and ethyl alcohol are used in 2:
Anode the silicon wafer in a solution mixed at a ratio of 1.
And a silicon wafer with a 6-inch diameter platinum plate as the cathode
They were installed facing each other. Back side of the silicon wafer
The side is through the same solution, another p +With the front side of the Si wafer
Opposite, the endmost wafer is a 6 inch diameter platinum plate
Faced. Solution between wafers is separated by wafer
And placed so as not to conduct. The silicon wafer
And current density between 10mA / cmTwoFor 12 minutes
To anodize the silicon wafer (Anodi)
ze), forming a porous silicon 12 μm thick on the surface
Was.

【0215】3)つづいて、多孔質シリコン層を形成し
たウエハに400度の酸素雰囲気中で1時間酸化処理を
施した。この酸化処理は概ね50Å以下の酸化膜しか形
成しないためので酸化シリコン膜は多孔質シリコンの表
面と孔の側壁にしか形成されておらず、内部には単結晶
シリコンの領域が残されている。
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment in a 400 ° C. oxygen atmosphere for 1 hour. Since this oxidation process only forms an oxide film of approximately 50 ° or less, the silicon oxide film is formed only on the surface of the porous silicon and the side walls of the holes, and a single crystal silicon region remains inside.

【0216】4)1.25%に希釈したHF水溶液に前
記ウエハを30秒程度曝し、続いて10分間純水に漬け
て、オーバーフローリンスして、多孔質層の表面に形成
された極薄酸化シリコン膜を除去した。
4) The wafer is exposed to an HF aqueous solution diluted to 1.25% for about 30 seconds, then immersed in pure water for 10 minutes, overflow rinsed, and the ultra-thin oxidation formed on the surface of the porous layer The silicon film was removed.

【0217】5)ウエハをウエハキャリアに入れてセッ
トするロードロック室とウエハ移載用ロボットのセット
された移載チャンバーとプロセスチャンバーが接続され
たエピタキシャルCVD成長装置のロードロック室に前
記ウエハをウエハキャリアに入れて設置した。
5) The wafer is placed in a load lock chamber of an epitaxial CVD growth apparatus in which a process chamber is connected to a load lock chamber in which a wafer is loaded and set in a wafer carrier, and a transfer chamber in which a wafer transfer robot is set. It was installed in a carrier.

【0218】ロードロック室は、大気圧からドライポン
プで1Torr以下に減圧したのち、N2 を流して、8
0Torrにした。
After the pressure in the load lock chamber is reduced from the atmospheric pressure to 1 Torr or less by a dry pump, N 2 is supplied to the load lock chamber for 8 hours.
0 Torr.

【0219】移載チャンバーは予めN2 を流して80T
orrに保持されている。プロセスチャンバーには、ウ
エハを保持するためにカーボンにCVD−SiCを被覆
したサセプタが設置されている。サセプタは、IRラン
プによって予め摂氏750℃程度に昇温してある。プロ
セスチャンバー内には加熱したパラジウム合金を用いた
水素精製機により、精製された水素ガスが精製機からお
よそ10mの内面研磨したステンレス配管によりプロセ
スチャンバーに供給されている。
The transfer chamber was set to 80 T by flowing N 2 in advance.
orr. In the process chamber, a susceptor in which carbon is coated with CVD-SiC for holding a wafer is provided. The susceptor has been previously heated to about 750 ° C. by an IR lamp. In the process chamber, a hydrogen purifier using a heated palladium alloy supplies purified hydrogen gas from the purifier to the process chamber through an approximately 10-meter polished stainless steel pipe.

【0220】ウエハはロードロック室から移載チャンバ
ーを経由してプロセスチャンバーへ移載ロボットにより
搬送され、サセプタ上に設置された。
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot, and set on the susceptor.

【0221】6)プロセスチャンバーの圧力を600T
orrに設定した後、サセプタ上に移載されたウエハを
IRランプで加熱して毎分100℃の速度で昇温し、9
50℃で2秒保持した後、温度を750℃まで降温し、
ウエハを再び移載ロボットにて移載チャンバーを経由し
ロードロック室に取り出した。もう1枚のウエハは95
0℃で60秒保持し、これ以外は同じ処理をしてロード
ロック室に戻した。
6) Set the pressure of the process chamber to 600 T
After that, the wafer transferred on the susceptor was heated by an IR lamp and heated at a rate of 100 ° C./min.
After holding at 50 ° C. for 2 seconds, the temperature was lowered to 750 ° C.
The wafer was taken out again into the load lock chamber via the transfer chamber by the transfer robot. Another wafer is 95
The temperature was maintained at 0 ° C. for 60 seconds, and the same treatment was carried out except for this, and returned to the load lock chamber.

【0222】7)ロードロック室を大気開放してウエハ
を取り出し、異物検査装置で多孔質層表面のヘイズ値を
測定したところ、2秒処理のウエハ上の多孔質表面の平
均ヘイズ値は、11.9であり、60秒処理の多孔質の
ヘイズ値は、16.3で、エピタキシャル成長装置に設
置する前のサンプルのヘイズ値9.1のそれぞれ約1.
3、1.8倍であった。
7) The load lock chamber was opened to the atmosphere, the wafer was taken out, and the haze value of the surface of the porous layer was measured with a foreign matter inspection device. The haze value of the porous material after the treatment for 60 seconds was 16.3, and the haze value of the sample before being set in the epitaxial growth apparatus was 9.1, respectively.
3, 1.8 times.

【0223】8)また、予め用意しておいたSOI基板
をHFディップし、水洗いして乾燥させたのち、SOI
層の膜厚を光干渉式膜厚計により測定し、5)、6)の
処理を施し、ロードロックより取り出した。再びSOI
層の膜厚を測定したところ、SOI層の膜厚減少量は、
いずれも1nm未満であった。
8) The SOI substrate prepared in advance is dipped in HF, washed with water and dried, and then
The thickness of the layer was measured by an optical interference type thickness meter, subjected to the processes of 5) and 6), and taken out from the load lock. SOI again
When the thickness of the layer was measured, the amount of decrease in the thickness of the SOI layer was
All were less than 1 nm.

【0224】9)4)の処理が終了したウエハを5)に
より、エピタキシャル成長装置のプロセスチャンバーに
移載した。
9) The wafer subjected to the processing of 4) was transferred to the process chamber of the epitaxial growth apparatus according to 5).

【0225】10)プロセスチャンバーの圧力を600
Torrに設定した後、サセプタ上に移載されたウエハ
をIRランプで加熱して毎分100℃の速度で昇温し、
プリベーク処理として950℃で2秒保持した後、温度
を900℃に下げて圧力を80Torrにし、SiH2
Cl2 を濃度0.5mol%になるように添加して、非
多孔質単結晶シリコン膜を2μm形成し、水素雰囲気下
で温度を750℃まで降温し、ウエハを再び移載ロボッ
トにて移載チャンバーを経由しロードロック室に取り出
した。もう1枚のウエハは950℃水素雰囲気中でのプ
リベーク処理時間を60秒とし、これ以外は同じ処理を
してロードロック室に戻した。
10) When the pressure in the process chamber is 600
After setting to Torr, the wafer transferred on the susceptor was heated by an IR lamp and heated at a rate of 100 ° C. per minute.
After holding at 950 ° C. for 2 seconds as a pre-bake treatment, the temperature was lowered to 900 ° C., the pressure was set to 80 Torr, and SiH 2
Cl 2 is added to a concentration of 0.5 mol% to form a non-porous single-crystal silicon film of 2 μm, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is transferred again by the transfer robot. It was taken out to the load lock chamber via the chamber. The other wafer was returned to the load lock chamber by performing the same processing except that the pre-bake processing time in a hydrogen atmosphere at 950 ° C. was 60 seconds.

【0226】11)10)の処理が終了したウエハを欠
陥顕在化エッチングして、非多孔質単結晶シリコン層に
導入された結晶欠陥を顕在化した後、ノマルスキー微分
干渉顕微鏡で観察した。観察された欠陥は積層欠陥が9
9%以上であった。積層欠陥の密度は、プリベーク2秒
の場合、170個/cm2 、プリベーク60秒の場合、
270個/cm2 で、プリベーク1100℃120秒の
場合の1.5×104/cm2 に比べ、激減した。
11) The wafer having been subjected to the process 10) was subjected to defect revealing etching to reveal the crystal defects introduced into the non-porous single-crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects were 9 stacking faults.
9% or more. The stacking fault density was 170 defects / cm 2 in the case of pre-baking for 2 seconds, and in the case of pre-baking for 60 seconds.
In 270 / cm 2, compared to 1.5 × 10 4 / cm 2 in the case of prebaking 1100 ° C. 120 seconds, depleted.

【0227】(実施例5−900℃,450Torr
Prebake(2s,120s),Preinjec
tion,Epi−2μm) 1)p型不純物としてボロンを添加して、比抵抗0.0
15Ω・cm+ - 0.005Ω・cmにした6インチ
(100)p+ シリコンウエハ(CZウエハ)を用意し
た。
Example 5—900 ° C., 450 Torr
Prebake (2s, 120s), Preinjec
1) Boron is added as a p-type impurity, and the specific resistance is 0.02 μm.
15Ω · cm + / - 0.005Ω · 6 inches (100), which was in cm were prepared p + silicon wafers (CZ wafers).

【0228】2)49%HFとエチルアルコールを2:
1の比で混合した溶液中で前記シリコンウエハを陽極
に、6インチ径の白金板を陰極としてシリコンウエハと
向かい合うように設置した。前記シリコンウエハの裏面
側は同じ溶液を介して、別のp + Siウエハの表面側と
対向させ、もっとも端のウエハは6インチ径の白金板を
対向させた。ウエハとウエハの間の溶液はウエハで隔て
られ、導通しないように配置した。前記シリコンウエハ
と白金の間に電流密度10mA/cm2 で12分間電流
を流して前記シリコンウエハを陽極化成し(Anodi
ze)、表面に12μm厚の多孔質シリコンを形成し
た。
2) 49% HF and ethyl alcohol:
Anode the silicon wafer in a solution mixed at a ratio of 1.
And a silicon wafer with a 6-inch diameter platinum plate as the cathode
They were installed facing each other. Back side of the silicon wafer
The side is through the same solution, another p +With the front side of the Si wafer
Opposite, the endmost wafer is a 6 inch diameter platinum plate
Faced. Solution between wafers is separated by wafer
And placed so as not to conduct. The silicon wafer
And current density between 10mA / cmTwoFor 12 minutes
To anodize the silicon wafer (Anodi)
ze), forming a porous silicon 12 μm thick on the surface
Was.

【0229】3)つづいて、多孔質シリコン層を形成し
たウエハに400度の酸素雰囲気中で1時間酸化処理を
施した。この酸化処理は概ね50Å以下の酸化膜しか形
成しないためので酸化シリコン膜は多孔質シリコンの表
面と孔の側壁にしか形成されておらず、内部には単結晶
シリコンの領域が残されている。
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment in a 400 ° C. oxygen atmosphere for 1 hour. Since this oxidation process only forms an oxide film of approximately 50 ° or less, the silicon oxide film is formed only on the surface of the porous silicon and the side walls of the holes, and a single crystal silicon region remains inside.

【0230】4)1.25%に希釈したHF水溶液に前
記ウエハを30秒程度曝し、続いて10分間純水に漬け
て、オーバーフローリンスして、多孔質層の表面に形成
された極薄酸化シリコン膜を除去した。
4) The wafer is exposed to an aqueous HF solution diluted to 1.25% for about 30 seconds, then immersed in pure water for 10 minutes, overflow rinsed, and ultra-thin oxidation formed on the surface of the porous layer The silicon film was removed.

【0231】5)ウエハをウエハキャリアに入れてセッ
トするロードロック室とウエハ移載用ロボットのセット
された移載チャンバーとプロセスチャンバーが接続され
たエピタキシャルCVD成長装置のロードロック室に前
記ウエハをウエハキャリアに入れて設置した。ロードロ
ック室は、大気圧からドライポンプで1Torr以下に
減圧したのち、N2 を流して、80Torrにした。移
載チャンバーは予めN 2 を流して80Torrに保持さ
れている。プロセスチャンバーには、ウエハを保持する
ためにカーボンにCVD−SiCを被覆したサセプタが
設置されている。サセプタは、IRランプによって予め
摂氏750℃程度に昇温してある。プロセスチャンバー
内には加熱したパラジウム合金を用いた水素精製機によ
り、精製された水素ガスが精製機からおよそ10mの内
面研磨したステンレス配管によりプロセスチャンバーに
供給されている。
5) Set the wafer in the wafer carrier
Set of load lock chamber and wafer transfer robot
Transfer chamber and process chamber are connected
In front of load lock chamber of epitaxial CVD growth equipment
The wafer was placed in a wafer carrier. Rhodoulo
The pressure chamber is reduced from atmospheric pressure to less than 1 Torr by dry pump.
After decompression, NTwoTo 80 Torr. Transfer
Loading chamber is N TwoAnd kept at 80 Torr
Have been. Holds wafer in process chamber
Susceptor with CVD-SiC coated on carbon
is set up. The susceptor is set in advance by an IR lamp.
The temperature has been raised to about 750 ° C. Process chamber
Inside the furnace is a hydrogen purifier using a heated palladium alloy.
The purified hydrogen gas within about 10m from the refiner.
Surface polished stainless steel piping to process chamber
Supplied.

【0232】ウエハはロードロック室から移載チャンバ
ーを経由してプロセスチャンバーへ移載ロボットにより
搬送され、サセプタ上に設置された。
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot, and was set on the susceptor.

【0233】6)プロセスチャンバーの圧力を450T
orrに設定した後、サセプタ上に移載されたウエハを
IRランプで加熱して毎分100℃の速度で昇温し、9
00℃で2秒保持した後、温度を750℃まで降温し、
ウエハを再び移載ロボットにて移載チャンバーを経由し
ロードロック室に取り出した。もう1枚のウエハは90
0℃で120秒保持し、これ以外は同じ処理をしてロー
ドロック室に戻した。
6) When the pressure of the process chamber is 450 T
After that, the wafer transferred on the susceptor was heated by an IR lamp and heated at a rate of 100 ° C./min.
After holding at 00 ° C for 2 seconds, the temperature was lowered to 750 ° C,
The wafer was taken out again into the load lock chamber via the transfer chamber by the transfer robot. Another wafer is 90
The temperature was kept at 0 ° C. for 120 seconds, and the same treatment was carried out except for this, and returned to the load lock chamber.

【0234】7)ロードロック室を大気開放してウエハ
を取り出し、異物検査装置で多孔質層表面のヘイズ値を
測定したところ、2秒処理のウエハ上の多孔質の平均ヘ
イズ値は、12.1であり、60秒処理の多孔質の平均
ヘイズ値は、14.3で、エピタキシャル成長装置に設
置する前のサンプルの平均ヘイズ値9.2のそれぞれ約
1.3、1.6倍であった。
7) The load lock chamber was opened to the atmosphere, the wafer was taken out, and the haze value of the surface of the porous layer was measured with a foreign matter inspection device. The average haze value of the porous material after the treatment for 60 seconds was 14.3, which was about 1.3 and 1.6 times the average haze value 9.2 of the sample before being placed in the epitaxial growth apparatus, respectively. .

【0235】8)また、予め用意しておいたSOI基板
をHFディップし、水洗いして乾燥させたのち、SOI
層の膜厚を光干渉式膜厚計により測定し、5)、6)の
処理を施し、ロードロックより取り出した。再びSOI
層の膜厚を測定したところ、SOI層の膜厚減少量は、
いずれも1nm未満であった。
8) The SOI substrate prepared in advance is dipped in HF, washed with water and dried, and then
The thickness of the layer was measured by an optical interference type thickness meter, subjected to the processes of 5) and 6), and taken out from the load lock. SOI again
When the thickness of the layer was measured, the amount of decrease in the thickness of the SOI layer was
All were less than 1 nm.

【0236】9)4)の処理が終了したウエハを5)に
より、エピタキシャル成長装置のプロセスチャンバーに
移載した。
9) The wafer after the processing of 4) was transferred to the process chamber of the epitaxial growth apparatus according to 5).

【0237】10)プロセスチャンバーの圧力を450
Torrに設定した後、サセプタ上に移載されたウエハ
をIRランプで加熱して毎分100℃の速度で昇温し、
プリベーク処理として900℃で2秒保持した後、濃度
28ppmになるように水素のキャリアガスにSiH4
を添加して、200秒処理をし、SiH4 の添加は終了
し、その後、圧力を80Torrに温度を900℃に下
げて、今度はSiH2Cl2 を濃度0.7mol%にな
るように添加して、非多孔質単結晶シリコン膜を2μm
形成し、水素雰囲気下で温度を750℃まで降温し、ウ
エハを再び移載ロボットにて移載チャンバーを経由しロ
ードロック室に取り出した。もう1枚のウエハ900℃
水素雰囲気中でのプリベーク処理時間を60秒とし、こ
れ以外は同じ処理をしてロードロック室に戻した。
10) The pressure of the process chamber was set at 450
After setting to Torr, the wafer transferred on the susceptor was heated by an IR lamp and heated at a rate of 100 ° C. per minute.
After holding at 900 ° C. for 2 seconds as a pre-bake treatment, SiH 4 was added to the hydrogen carrier gas so that the concentration became 28 ppm.
, And treated for 200 seconds to complete the addition of SiH 4. Then, the pressure is reduced to 80 Torr, the temperature is reduced to 900 ° C., and then, SiH 2 Cl 2 is added to a concentration of 0.7 mol%. To make the non-porous single-crystal silicon film 2 μm
The wafer was formed, the temperature was lowered to 750 ° C. in a hydrogen atmosphere, and the wafer was again taken out of the load lock chamber via the transfer chamber by the transfer robot. Another wafer 900 ° C
The pre-bake processing time in a hydrogen atmosphere was set to 60 seconds, and the same processing was performed except for this, and the pre-baking processing was returned to the load lock chamber.

【0238】11)10)の処理が終了したウエハを欠
陥顕在化エッチングして、非多孔質単結晶シリコン層に
導入された結晶欠陥を顕在化した後、ノマルスキー微分
干渉顕微鏡で観察した。観察された欠陥は積層欠陥が9
9%以上であった。積層欠陥の密度は、プリベーク2秒
の場合、350個/cm2 、プリベーク60秒の場合、
400個/cm2 で、プリベーク1100℃120秒の
場合の1.5×104/cm2 に比べ、激減し、100
0個/cm2 未満の欠陥密度が実現された。
11) The wafer subjected to the processing of 10) was subjected to defect revealing etching to reveal the crystal defects introduced into the non-porous single-crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects were 9 stacking faults.
9% or more. The stacking fault density was 350 defects / cm 2 in the case of pre-baking for 2 seconds, and in the case of pre-baking for 60 seconds.
At 400 pieces / cm 2, it is significantly reduced compared to 1.5 × 10 4 / cm 2 in the case of pre-baking 1100 ° C. for 120 seconds, and 100
A defect density of less than 0 / cm 2 was realized.

【0239】(実施例6−870℃,80Torr P
rebake(5s,60s),Preinjecti
on,Epi−2μm) 1)p型不純物としてボロンを添加して、比抵抗0.0
15Ω・cm+ - 0.005Ω・cmにした6インチ
(100)p+ シリコンウエハ(CZウエハ)を用意し
た。
Example 6-870 ° C., 80 Torr P
rebake (5s, 60s), Preinjecti
on, Epi-2 μm) 1) Boron is added as a p-type impurity to have a specific resistance of 0.0
15Ω · cm + / - 0.005Ω · 6 inches (100), which was in cm were prepared p + silicon wafers (CZ wafers).

【0240】2)49%HFとエチルアルコールを2:
1の比で混合した溶液中で前記シリコンウエハを陽極
に、6インチ径の白金板を陰極としてシリコンウエハと
向かい合うように設置した。前記シリコンウエハの裏面
側は同じ溶液を介して、別のp + Siウエハの表面側と
対向させ、もっとも端のウエハは6インチ径の白金板を
対向させた。ウエハとウエハの間の溶液はウエハで隔て
られ、導通しないように配置した。前記シリコンウエハ
と白金の間に電流密度10mA/cm2 で12分間電流
を流して前記シリコンウエハを陽極化成し(Anodi
ze)、表面に12μm厚の多孔質シリコンを形成し
た。
2) 49% HF and ethyl alcohol in 2:
Anode the silicon wafer in a solution mixed at a ratio of 1.
And a silicon wafer with a 6-inch diameter platinum plate as the cathode
They were installed facing each other. Back side of the silicon wafer
The side is through the same solution, another p +With the front side of the Si wafer
Opposite, the endmost wafer is a 6 inch diameter platinum plate
Faced. Solution between wafers is separated by wafer
And placed so as not to conduct. The silicon wafer
And current density between 10mA / cmTwoFor 12 minutes
To anodize the silicon wafer (Anodi)
ze), forming a porous silicon 12 μm thick on the surface
Was.

【0241】3)つづいて、多孔質シリコン層を形成し
たウエハに400度の酸素雰囲気中で1時間酸化処理を
施した。この酸化処理は概ね50Å以下の酸化膜しか形
成しないので酸化シリコン膜は多孔質シリコンの表面と
孔の側壁にしか形成されておらず、内部には単結晶シリ
コンの領域が残されている。
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment in a 400 ° C. oxygen atmosphere for 1 hour. Since this oxidation process only forms an oxide film of approximately 50 ° or less, the silicon oxide film is formed only on the surface of the porous silicon and on the side walls of the holes, and a single crystal silicon region remains inside.

【0242】4)1.3%に希釈したHF水溶液に前記
ウエハを30秒程度曝し、続いて10分間純水に漬け
て、オーバーフローリンスして、多孔質層の表面に形成
された極薄酸化シリコン膜を除去した。
4) The wafer is exposed to an aqueous HF solution diluted to 1.3% for about 30 seconds, then immersed in pure water for 10 minutes, overflow rinsed, and the ultra-thin oxidation formed on the surface of the porous layer The silicon film was removed.

【0243】5)ウエハをウエハキャリアに入れてセッ
トするロードロック室とウエハ移載用ロボットのセット
された移載チャンバーとプロセスチャンバーが接続され
たエピタキシャルCVD成長装置のロードロック室に前
記ウエハをウエハキャリアに入れて設置した。ロードロ
ック室は、大気圧からドライポンプで1Torr以下に
減圧したのち、N2 を流して、80Torrにした。移
載チャンバーは予めN 2 を流して80Torrに保持さ
れている。プロセスチャンバーには、ウエハを保持する
ためにカーボンにCVD−SiCを被覆したサセプタが
設置されている。サセプタは、IRランプによって予め
摂氏750℃程度に昇温してある。プロセスチャンバー
内には加熱したパラジウム合金を用いた水素精製機によ
り、精製された水素ガスが精製機からおよそ10mの内
面研磨したステンレス配管によりプロセスチャンバーに
供給されている。
5) Set the wafer in the wafer carrier
Set of load lock chamber and wafer transfer robot
Transfer chamber and process chamber are connected
In front of load lock chamber of epitaxial CVD growth equipment
The wafer was placed in a wafer carrier. Rhodoulo
The pressure chamber is reduced from atmospheric pressure to less than 1 Torr by dry pump.
After decompression, NTwoTo 80 Torr. Transfer
Loading chamber is N TwoAnd kept at 80 Torr
Have been. Holds wafer in process chamber
Susceptor with CVD-SiC coated on carbon
is set up. The susceptor is set in advance by an IR lamp.
The temperature has been raised to about 750 ° C. Process chamber
Inside the furnace is a hydrogen purifier using a heated palladium alloy.
The purified hydrogen gas within about 10m from the refiner.
Surface polished stainless steel piping to process chamber
Supplied.

【0244】ウエハはロードロック室から移載チャンバ
ーを経由してプロセスチャンバーへ移載ロボットにより
搬送され、サセプタ上に設置された。
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot, and was set on the susceptor.

【0245】6)プロセスチャンバーの圧力を80To
rrとし、サセプタ上に移載されたウエハをIRランプ
で加熱して毎分100℃の速度で昇温し、870℃で5
秒保持した後、温度を750℃まで降温し、ウエハを再
び移載ロボットにて移載チャンバーを経由しロードロッ
ク室に取り出した。もう1枚のウエハは860℃で60
秒保持し、これ以外は同じ処理をしてロードロック室に
戻した。
6) The pressure of the process chamber was set to 80
rr, the wafer transferred on the susceptor was heated by an IR lamp, and the temperature was increased at a rate of 100 ° C./min.
After holding for 2 seconds, the temperature was decreased to 750 ° C., and the wafer was again taken out of the load lock chamber via the transfer chamber by the transfer robot. The other wafer is 60
After holding for 2 seconds, the same processing was carried out except for this, and returned to the load lock chamber.

【0246】7)ロードロック室を大気開放してウエハ
を取り出し、市販の異物検査装置として、波長488n
mのアルゴンレーザーを斜め入射するサーフスキャン6
420で多孔質層表面のヘイズ値を測定したところ、5
秒処理のウエハ上の多孔質の平均ヘイズ値は、10.
2、30秒処理の多孔質の平均ヘイズ値は、19.5
で、エピタキシャル成長装置に設置する前のサンプルの
平均ヘイズ値8.5のそれぞれ約1.2、2.3倍であ
った。
7) The load lock chamber was opened to the atmosphere, and the wafer was taken out.
scan 6 with oblique incidence of argon laser
When the haze value of the porous layer surface was measured at 420,
The average haze value of the porous material on the wafer processed in seconds is 10.
The average haze value of the porous material treated for 2, 30 seconds was 19.5.
The average haze value of the sample before being set in the epitaxial growth apparatus was 8.5, which was about 1.2 to 2.3 times, respectively.

【0247】8)また、予め用意しておいたSOI基板
をHFディップし、水洗して乾燥させたのち、SOI層
の膜厚を光干渉式膜厚計により測定し、5)、6)の処
理を施し、ロードロックより取り出した。再びSOI層
の膜厚を測定したところ、SOI層の膜厚減少量は、い
ずれも1nm未満であった。
8) The SOI substrate prepared in advance is dipped in HF, washed with water and dried, and then the thickness of the SOI layer is measured by an optical interference type thickness meter. After processing, it was removed from the load lock. When the thickness of the SOI layer was measured again, the amount of decrease in the thickness of the SOI layer was less than 1 nm in all cases.

【0248】9)4)の処理が終了したウエハを5)に
より、エピタキシャル成長装置のプロセスチャンバーに
移載した。
9) The wafer having undergone the processing of 4) was transferred to the process chamber of the epitaxial growth apparatus according to 5).

【0249】10)プロセスチャンバーの圧力を80T
orrに設定した後、サセプタ上に移載されたウエハを
IRランプで加熱して毎分100℃の速度で昇温し、プ
リベーク処理として860℃で2秒保持した後、濃度3
5ppmになるように水素のキャリアガスにSiH4
添加して、150秒処理し、SiH4 の添加は終了し、
その後、SiH2 Cl2 を濃度1mol%になるように
添加して、非多孔質単結晶シリコン膜を2μm形成し、
水素雰囲気下で温度を750℃まで降温し、ウエハを再
び移載ロボットにて移載チャンバーを経由しロードロッ
ク室に取り出した。もう1枚のウエハはプリベーク処理
時間を60秒とし、これ以外は同じ処理をしてロードロ
ック室に戻した。
10) When the pressure in the process chamber is 80 T
After setting to orr, the wafer transferred on the susceptor was heated by an IR lamp, heated at a rate of 100 ° C./min, and held at 860 ° C. for 2 seconds as a pre-bake treatment.
SiH 4 was added to the hydrogen carrier gas so that the concentration became 5 ppm, and the treatment was performed for 150 seconds, and the addition of SiH 4 was completed.
Thereafter, SiH 2 Cl 2 is added to a concentration of 1 mol% to form a non-porous single-crystal silicon film of 2 μm,
The temperature was lowered to 750 ° C. in a hydrogen atmosphere, and the wafer was again taken out of the load lock chamber via the transfer chamber by the transfer robot. The other wafer was returned to the load lock chamber by performing the same processing except that the pre-bake processing time was 60 seconds.

【0250】11)10)の処理が終了したウエハを欠
陥顕在化エッチングして、非多孔質単結晶シリコン層に
導入された結晶欠陥を顕在化した後、ノマルスキー微分
干渉顕微鏡で観察した。観察された欠陥は積層欠陥が9
9%以上であった。積層欠陥の密度は、プリベーク5秒
の場合、120個/cm2 、プリベーク30秒の場合、
430個/cm2 で、プリベーク1100℃120秒の
場合の1.5×104/cm2 に比べて激減し、100
0個/cm2 未満の欠陥密度が実現された。
11) The wafer subjected to the processing of 10) was subjected to defect revealing etching to reveal the crystal defects introduced into the non-porous single-crystal silicon layer, and then observed with a Nomarski differential interference microscope. The observed defects were 9 stacking faults.
9% or more. The stacking fault density is 120 defects / cm 2 in the case of pre-baking for 5 seconds, and in the case of pre-baking for 30 seconds.
At 430 pieces / cm 2 , the pre-bake was drastically reduced compared to 1.5 × 10 4 / cm 2 at 1100 ° C. for 120 seconds.
A defect density of less than 0 / cm 2 was realized.

【0251】(実施例7−950℃,Prebake
(2s),Preinjection,Epi−0.3
2μm) 1)p型不純物としてボロンが添加され、比抵抗値が、
0.015Ω・cm+- 0.01Ω・cmである、8
インチの面方位(100)のp+ シリコンウエハ(CZ
ウエハ)を用意した。
Example 7-950 ° C., Prebake
(2s), Preinjection, Epi-0.3
2 μm) 1) Boron is added as a p-type impurity, and the specific resistance is
0.015Ω · cm + / - is 0.01Ω · cm, 8
Inch plane orientation (100) p + silicon wafer (CZ
Wafer).

【0252】2)この第1の単結晶Si基板の表面層を
HF溶液中において陽極化成を行った。
2) The surface layer of the first single crystal Si substrate was anodized in an HF solution.

【0253】陽極化成条件は以下の通りであった。The anodizing conditions were as follows.

【0254】電流密度:7(min) 陽極化成溶液:HF:H2 O:C2 5 OH=1:1:
1 時間:5(mA・cm-2) 多孔質Siの厚み:5(μm) さらに、 電流密度:50(mA・cm-2) 陽極化成溶液:HF:H2 O:C2 5 OH=1:1:
1 時間:10(sec) 多孔質Siの厚み:〜0.2(μm) 50(mA・cm-2)で行った陽極化成では、多孔質S
i層の多孔度(porosity)は大きくなり、構造
的に脆弱な高多孔度薄層が形成された。すなわち、シリ
コンウエハの表面側から、低多孔度の多孔質層、高多孔
度の多孔質層がこの順に形成された。
Current density: 7 (min) Anodizing solution: HF: H 2 O: C 2 H 5 OH = 1: 1:
1 hour: 5 (mA · cm −2 ) Thickness of porous Si: 5 (μm) Further, current density: 50 (mA · cm −2 ) Anodizing solution: HF: H 2 O: C 2 H 5 OH = 1: 1:
1 hour: 10 (sec) Thickness of porous Si: up to 0.2 (μm) In anodization performed at 50 (mA · cm −2 ), porous S
The porosity of the i-layer was increased and a structurally fragile high porosity thin layer was formed. That is, a low-porosity porous layer and a high-porosity porous layer were formed in this order from the surface side of the silicon wafer.

【0255】3)つづいて、多孔質シリコン層を形成し
たウエハに、400度の酸素雰囲気中で1時間酸化処理
(プリ酸化)を施した。この酸化処理は、概ね50Å以
下の酸化膜しか形成しないので酸化シリコン膜は多孔質
シリコンの表面と孔の側壁にしか形成されておらず、内
部には単結晶シリコンの領域が残されている。
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment (pre-oxidation) for one hour in an oxygen atmosphere at 400 degrees. Since this oxidation process forms only an oxide film of approximately 50 ° or less, the silicon oxide film is formed only on the surface of the porous silicon and the side walls of the holes, and a single-crystal silicon region remains inside.

【0256】4)1.25%に希釈したHF水溶液に前
記ウエハを30秒程度曝し、続いて10分間純水に漬け
て、オーバーフローリンスとして、多孔質層の表面に形
成された極薄酸化シリコン膜を除去した。
4) The wafer is exposed to an HF aqueous solution diluted to 1.25% for about 30 seconds, and then immersed in pure water for 10 minutes to form an ultra-thin silicon oxide formed on the surface of the porous layer as an overflow rinse. The film was removed.

【0257】5)ウエハをウエハキャリアに入れてセッ
トするロードロック室と、ウエハ移載用ロボットのセッ
トされた移載チャンバーと、プロセスチャンバーとが接
続されたエピタキシャルCVD成長装置のロードロック
室に、前記ウエハをウエハキャリアに入れて設置した。
5) A load lock chamber in which a wafer is placed in a wafer carrier and set therein, a transfer chamber in which a wafer transfer robot is set, and a load lock chamber of an epitaxial CVD growth apparatus in which a process chamber is connected. The wafer was set in a wafer carrier.

【0258】ロードロック室は、大気圧からドライポン
プで1Torr以下に減圧したのち、N2 を流して、8
0Torrにした。
After the pressure in the load lock chamber is reduced from the atmospheric pressure to 1 Torr or less by a dry pump, N 2 is supplied to the load lock chamber for 8 hours.
0 Torr.

【0259】移載チャンバーは、予めN2 を流して80
Torrに保持されている。プロセスチャンバーには、
ウエハを保持するためにカーボンにCVD−SiCを被
覆したサセプタが設置されている。サセプタは、IRラ
ンプによって予め摂氏750℃程度に昇温してある。プ
ロセスチャンバー内には加熱したパラジウム合金を用い
た水素精製機により、精製された水素ガスが精製機から
およそ10mの内面研磨したステンレス配管によりプロ
セスチャンバーに供給されている。
[0259] transport chamber is flushed beforehand N 2 80
Torr. The process chamber contains
A susceptor in which carbon is coated with CVD-SiC for holding a wafer is provided. The susceptor has been previously heated to about 750 ° C. by an IR lamp. In the process chamber, a hydrogen purifier using a heated palladium alloy supplies purified hydrogen gas from the purifier to the process chamber through an approximately 10-meter polished stainless steel pipe.

【0260】ウエハはロードロック室から移載チャンバ
ーを経由してプロセスチャンバーへ移載ロボットにより
搬送され、サセプタ上に設置された。
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot, and was set on the susceptor.

【0261】6)サセプタ上に移載されたウエハをIR
ランプで加熱して毎分100℃の速度で昇温し、熱処理
(プリベーク処理)として950℃で2秒保持した後、
濃度28ppmになるように水素のキャリアガスにSi
4 を添加して、200秒処理をし、SiH4 の添加は
終了し、その後、温度を900℃に下げて、今度はSi
2 Cl2 を濃度0.5mol%になるように添加し
て、非多孔質単結晶シリコン膜を0.32μm形成し水
素雰囲気下で温度を750℃まで降温し、ウエハを再び
移載ロボットにて移載チャンバーを経由しロードロック
室に取り出した。形成された非多孔質単結晶シリコン層
の膜厚は平均0.32μm,最大値−最小値=8nmで
あった。なお、熱処理前のヘイズ値9.5に対して、熱
処理後は、11.4であった。すなわち、r=1.2で
あった。
6) The wafer transferred on the susceptor is
After heating with a lamp and increasing the temperature at a rate of 100 ° C./min, and holding at 950 ° C. for 2 seconds as a heat treatment (pre-bake treatment),
Si as hydrogen carrier gas to a concentration of 28 ppm
H 4 was added and the treatment was performed for 200 seconds, and the addition of SiH 4 was completed. Then, the temperature was lowered to 900 ° C.
H 2 Cl 2 was added to a concentration of 0.5 mol% to form a non-porous single-crystal silicon film of 0.32 μm, the temperature was lowered to 750 ° C. in a hydrogen atmosphere, and the wafer was transferred to the transfer robot again. Out of the load lock chamber via the transfer chamber. The thickness of the formed non-porous single-crystal silicon layer was 0.32 μm on average, and maximum value−minimum value = 8 nm. The haze value before the heat treatment was 9.5, whereas the haze value after the heat treatment was 11.4. That is, r = 1.2.

【0262】また、予め用意しておいたSOI基板をH
Fディップし、水洗して乾燥させたのち、SOI層の膜
厚を光干渉式膜厚計により測定し、5)、6)の処理を
施し、ロードロックより取り出した。再びSOI層の膜
厚を測定したところ、SOI層の膜厚減少量は、1nm
未満であった。
The SOI substrate prepared in advance is H
After F-dip, washing with water and drying, the thickness of the SOI layer was measured with an optical interference type thickness meter, and the treatments 5) and 6) were performed, and the film was taken out from the load lock. When the thickness of the SOI layer was measured again, the amount of decrease in the thickness of the SOI layer was 1 nm.
Was less than.

【0263】7)非多孔質単結晶シリコンをエピタキシ
ャル成長したウエハを縦形炉に設置して、酸素と水素を
燃焼して形成された水蒸気と残留酸素の混合気中、10
00℃で熱処理により前記非多孔質単結晶シリコンの表
面を酸化して,208nmの酸化シリコン膜を形成し
た。
7) A wafer on which non-porous single-crystal silicon was epitaxially grown was placed in a vertical furnace, and a wafer containing 10% water and a mixture of water vapor and residual oxygen formed by burning oxygen and hydrogen.
The surface of the non-porous single-crystal silicon was oxidized by heat treatment at 00 ° C. to form a silicon oxide film having a thickness of 208 nm.

【0264】8)上記ウエハと第2のシリコンウエハを
シリコン半導体プロセスの洗浄ラインで清浄に洗浄した
のち、両ウエハの第1の主面同士を静かに重ね合わせ、
中央を押圧したところ、両ウエハは一体化した。
8) After the above wafer and the second silicon wafer have been cleanly cleaned in a cleaning line of a silicon semiconductor process, the first main surfaces of both wafers are gently overlapped with each other.
When the center was pressed, the two wafers were integrated.

【0265】9)続いて、一体化したウエハ組を縦形炉
に設置して、酸素雰囲気中1100℃で1時間処理し
た。
9) Subsequently, the integrated wafer set was placed in a vertical furnace and processed at 1100 ° C. for 1 hour in an oxygen atmosphere.

【0266】10)貼り合わせウエハの側面にウォータ
ージェットを噴きつけたところ、高多孔度層に亀裂が生
じ分割された。分割方法は、ウォータージェット以外に
加圧、引っ張り,せん断、楔、等の外圧をかける方法、
超音波を印加する方法、熱をかける方法、酸化により多
孔質Siを周辺から膨張させ多孔質Si内に内圧をかけ
る方法、パルス状に加熱し、熱応力をかける、あるいは
軟化させる方法等がある。そのどの方法でも分離するこ
とは可能である。
10) When a water jet was sprayed on the side surface of the bonded wafer, the high porosity layer was cracked and split. The splitting method is to apply external pressure such as pressure, pull, shear, wedge, etc. in addition to water jet,
There are a method of applying ultrasonic waves, a method of applying heat, a method of expanding porous Si from the periphery by oxidation and applying an internal pressure in the porous Si, a method of applying heat stress in a pulse shape, and a method of softening the porous Si. . It is possible to separate in any of these ways.

【0267】11)露出した多孔質シリコン層を表面に
有する第2のシリコンウエハを、HFと過酸化水素水の
混合溶液に漬けたところ、およそ2時間で多孔質シリコ
ンはすべて除去され、ウエハ全面で、非多孔質単結晶シ
リコン層と熱酸化シリコン膜による干渉色が観察され
た。
11) A second silicon wafer having an exposed porous silicon layer on its surface was immersed in a mixed solution of HF and hydrogen peroxide solution. All of the porous silicon was removed in about 2 hours, and the entire surface of the wafer was removed. As a result, interference colors between the non-porous single-crystal silicon layer and the thermally oxidized silicon film were observed.

【0268】12)11)の処理が終了したウエハをシ
リコン半導体デバイスプロセスで一般的に用いる洗浄ラ
インで洗浄した後、縦形水素アニール炉に設置して、水
素100%雰囲気中で1100℃4時間の熱処理を行っ
た。水素ガスは装置とおよそ7mの内面研磨ステンレス
配管で接続されたパラジウム合金を用いた市販の水素精
製装置で純化されている。
12) The wafer after the treatment of 11) is cleaned by a cleaning line generally used in a silicon semiconductor device process, and then set in a vertical hydrogen annealing furnace at 1100 ° C. for 4 hours in a 100% hydrogen atmosphere. Heat treatment was performed. Hydrogen gas is purified by a commercially available hydrogen purifier using a palladium alloy connected to the apparatus by an approximately 7 m internally polished stainless steel pipe.

【0269】13)こうして、第2のシリコンウエハ上
に200nmの酸化シリコン層と200nmの単結晶シ
リコン層が積層されたSOI構造のウエハが作製され
た。
13) Thus, a SOI-structured wafer having a 200 nm silicon oxide layer and a 200 nm single crystal silicon layer laminated on the second silicon wafer was manufactured.

【0270】単結晶シリコン層の膜厚は平均201n
m、高さの最大値と最小値の差は8nmであった。
The average thickness of the single crystal silicon layer is 201 n.
The difference between the maximum value and the minimum value of m and height was 8 nm.

【0271】14)13)のウエハを欠陥顕在化エッチ
ングにより単結晶シリコン層を130nm除去したの
ち、49%HFに3分漬けた。この結果、欠陥顕在化エ
ッチングによりエッチングされた単結晶シリコン層に残
留する結晶欠陥の部分から埋め込み酸化膜がHFにより
エッチングされ、ノマルスキー微分干渉顕微鏡で容易に
欠陥密度を測定できる。観察された欠陥の密度は、64
個/cm2 であった。水素アニール処理により、非多孔
質単結晶シリコン層に導入された積層欠陥が減少してい
た。欠陥密度100個/cm2 を下回り、かつ、膜厚の
均一な薄膜SOI層が得られた。
14) The wafer of 13) was subjected to defect revealing etching to remove the single crystal silicon layer by 130 nm, and then immersed in 49% HF for 3 minutes. As a result, the buried oxide film is etched by HF from the portion of the crystal defect remaining in the single crystal silicon layer etched by the defect revealing etching, and the defect density can be easily measured with a Nomarski differential interference microscope. The observed defect density was 64
Pieces / cm 2 . Stacking faults introduced into the non-porous single-crystal silicon layer were reduced by the hydrogen annealing treatment. A thin film SOI layer having a defect density of less than 100 defects / cm 2 and a uniform film thickness was obtained.

【0272】(実施例8−950℃,80Torr P
rebake(2s),Preinjection,E
pi−0.01μm Hetero−epitaxy) 1)615μmの厚みを持った比抵抗0.01Ω・cm
のp型あるいはn型の6インチ径の(100)単結晶S
i基板4枚をHFアルコールで希釈した溶液中で陽極化
成することにより、その鏡面である一方の主面に多孔質
Si層を形成した。
Example 8-950 ° C., 80 Torr P
rebak (2s), Prejection, E
pi-0.01 μm Hetero-epitaxy) 1) Specific resistance 0.01 Ω · cm having a thickness of 615 μm
P-type or n-type (100) single crystal S having a diameter of 6 inches
By anodizing four i-substrates in a solution diluted with HF alcohol, a porous Si layer was formed on one of the mirror-finished main surfaces.

【0273】2)陽極化成条件は以下の通りであった。2) Anodizing conditions were as follows.

【0274】電流密度:7mA/cm2 陽極化成溶液:HF:H2 O:C2 5 OH=1:1:
1 時間:12分 多孔質Si層の厚み:10μm 多孔度:20%
Current density: 7 mA / cm 2 Anodizing solution: HF: H 2 O: C 2 H 5 OH = 1: 1:
1 hour: 12 minutes Thickness of porous Si layer: 10 μm Porosity: 20%

【0275】3)つづいて、多孔質シリコン層を形成し
たウエハに400度の酸素雰囲気中で1時間酸化処理を
施した。この酸化処理は概ね50Å以下の酸化膜しか形
成しないので酸化シリコン膜は多孔質シリコンの表面と
孔の側壁にしか形成されておらず、内部には単結晶シリ
コンの領域が残されている。
3) Subsequently, the wafer on which the porous silicon layer was formed was subjected to an oxidation treatment in a 400 ° C. oxygen atmosphere for 1 hour. Since this oxidation process only forms an oxide film of approximately 50 ° or less, the silicon oxide film is formed only on the surface of the porous silicon and on the side walls of the holes, and a single crystal silicon region remains inside.

【0276】4)1.25%に希釈したHF水溶液に前
記ウエハを30秒程度曝し、続いて10分間純水に漬け
て、オーバーフローリンスして、多孔質層の表面に形成
された極薄酸化シリコン膜を除去した。
4) The wafer is exposed to an HF aqueous solution diluted to 1.25% for about 30 seconds, then immersed in pure water for 10 minutes, overflow rinsed, and ultra-thin oxidation formed on the surface of the porous layer The silicon film was removed.

【0277】5)ウエハをウエハキャリアに入れてセッ
トするロードロック室とウエハ移載用ロボットのセット
された移載チャンバーとプロセスチャンバーが接続され
たエピタキシャルCVD成長装置のロードロック室に前
記ウエハをウエハキャリアに入れて設置した。ロードロ
ック室は、大気圧からドライポンプで1Torr以下に
減圧したのち、N2 を流して、80Torrにした。移
載チャンバーは予めN 2 を流して80Torrに保持さ
れている。プロセスチャンバーには、ウエハを保持する
ためにカーボンにCVD−SiCを被覆したサセプタが
設置されている。サセプタは、IRランプによって予め
摂氏750℃程度に昇温してある。プロセスチャンバー
内には加熱したパラジウム合金を用いた水素精製機によ
り、精製された水素ガスが精製機からおよそ10mの内
面研磨したステンレス配管によりプロセスチャンバーに
供給されている。
5) Set the wafer in the wafer carrier
Set of load lock chamber and wafer transfer robot
Transfer chamber and process chamber are connected
In front of load lock chamber of epitaxial CVD growth equipment
The wafer was placed in a wafer carrier. Rhodoulo
The pressure chamber is reduced from atmospheric pressure to less than 1 Torr by dry pump.
After decompression, NTwoTo 80 Torr. Transfer
Loading chamber is N TwoAnd kept at 80 Torr
Have been. Holds wafer in process chamber
Susceptor with CVD-SiC coated on carbon
is set up. The susceptor is set in advance by an IR lamp.
The temperature has been raised to about 750 ° C. Process chamber
Inside the furnace is a hydrogen purifier using a heated palladium alloy.
The purified hydrogen gas within about 10m from the refiner.
Surface polished stainless steel piping to process chamber
Supplied.

【0278】ウエハはロードロック室から移載チャンバ
ーを経由してプロセスチャンバーへ移載ロボットにより
搬送され、サセプタ上に設置された。
The wafer was transferred from the load lock chamber to the process chamber via the transfer chamber by the transfer robot, and was set on the susceptor.

【0279】6)サセプタ上に移載されたウエハをIR
ランプで加熱して毎分100℃の速度で昇温し、熱処理
(プリベーク処理)として950℃で2秒保持した後、
濃度28ppmになるように水素のキャリアガスにSi
4 を添加して、200秒処理をし、SiH4 の添加は
終了し、その後、水素雰囲気下で温度を750℃まで降
温し、ウエハを再び移載ロボットにて移載チャンバーを
経由しロードロック室に取り出した。形成された非多孔
質単結晶シリコン層の膜厚は平均0.03μmであっ
た。なお、熱処理前のヘイズ値8.5に対して、熱処理
後は、11.2であった。
6) The wafer transferred on the susceptor is
After heating with a lamp and increasing the temperature at a rate of 100 ° C./min, and holding at 950 ° C. for 2 seconds as a heat treatment (pre-bake treatment),
Si as hydrogen carrier gas to a concentration of 28 ppm
H 4 is added and the treatment is performed for 200 seconds, and the addition of SiH 4 is completed. Thereafter, the temperature is lowered to 750 ° C. in a hydrogen atmosphere, and the wafer is again loaded through the transfer chamber by the transfer robot. Removed to the lock room. The thickness of the formed non-porous single-crystal silicon layer was 0.03 μm on average. In addition, the haze value before heat treatment was 8.5, after heat treatment was 8.5.

【0280】この多孔質Si上にMOCVD(Meta
l Organic Chemical Vapor
Deposition)法により単結晶GaAsを1μ
mの厚みにエピタキシャル成長した。成長条件は以下の
通りであった。
On this porous Si, MOCVD (Meta
l Organic Chemical Vapor
1 μm of single-crystal GaAs by Deposition method.
m was epitaxially grown. The growth conditions were as follows.

【0281】ソースガス:TMG/AsH3 /H2 ガス圧力:80Torr 温度:700℃Source gas: TMG / AsH 3 / H 2 Gas pressure: 80 Torr Temperature: 700 ° C.

【0282】透過電子顕微鏡による断面観察の結果、G
aAs層に結晶欠陥が導入されておらず、良好な結晶性
を有するGaAs層が形成されたことが確認された。同
時に、表面をSiにより封止された多孔質Si層との間
には極めて急峻な界面が形成されていることも確認され
た。
As a result of observing the cross section with a transmission electron microscope, G
No crystal defects were introduced into the aAs layer, and it was confirmed that a GaAs layer having good crystallinity was formed. At the same time, it was also confirmed that an extremely steep interface was formed between the porous Si layer whose surface was sealed with Si.

【0283】さらに欠陥顕在化エッチングにより、光学
顕微鏡により顕在化された結晶欠陥をカウントし欠陥密
度を求めたところ,およそ1×104 /cm2 であっ
た。
Further, the number of crystal defects revealed by an optical microscope by the defect revealing etching was counted, and the defect density was determined. The result was about 1 × 10 4 / cm 2 .

【0284】[0284]

【発明の効果】以上説明したように、本発明によれは、
多孔質シリコン層上への非多孔質単結晶層の成長に先立
って行われる熱処理(プリベーク)条件を、測定が簡易
なヘイズ値の変化率rを利用して定めることができる。
As described above, according to the present invention,
The heat treatment (pre-bake) conditions performed prior to the growth of the non-porous single crystal layer on the porous silicon layer can be determined using the haze value change rate r, which is easy to measure.

【0285】また、以上説明したように、本発明によれ
ば、熱処理(プリベーク)を、多孔質シリコンの表面の
ヘイズ値の熱処理前後での変化率rを3.5以内、より
好ましくは2以内、かつ、該熱処理でのシリコンエッチ
ング量を2nm以下、より好ましくは1nm以下に抑制
する条件で実施することにより、多孔質層上に形成され
る非多孔質単結晶シリコン層の積層欠陥密度を1000
/cm2 未満、さらには、100/cm2 程度にでき
た。さらに非多孔質単結晶シリコンの成長初期のシリコ
ン原料の成長表面への供給量を微量にすることで、本発
明の欠陥低減をさらに向上させることができる。
Further, as described above, according to the present invention, the heat treatment (pre-bake) is performed such that the change rate r of the haze value of the surface of the porous silicon before and after the heat treatment is within 3.5, more preferably within 2 The stacking fault density of the non-porous single-crystal silicon layer formed on the porous layer is reduced to 1000 by performing the heat treatment under the condition that the silicon etching amount in the heat treatment is suppressed to 2 nm or less, more preferably 1 nm or less.
/ Cm 2 , and about 100 / cm 2 . Further, by reducing the supply amount of the silicon raw material to the growth surface in the initial stage of the growth of the non-porous single crystal silicon, the defect reduction of the present invention can be further improved.

【0286】その結果、本発明を、貼り合わせ法に適用
すれば、膜厚が均一で、かつ、結晶欠陥が極めて少ない
SOI層を得ることが可能である。
As a result, when the present invention is applied to the bonding method, it is possible to obtain an SOI layer having a uniform film thickness and extremely few crystal defects.

【0287】本発明は言い換えるとエピタキシャル成長
装置内で形成されてしまう多孔質表面の自然酸化膜の量
を抑制することで、自然酸化膜除去のための熱処理時間
・温度を短時間、低温化するものである。そして同時に
多孔質層の表面及び、表面近傍の構造変化を抑制し、多
孔質層の表面構造の変質が顕在化する前に、非多孔質単
結晶シリコン膜の形成を開始することにより、結晶欠陥
密度1000・cm2未満のエピタキシャルシリコン層
を得るものである。
In other words, the present invention suppresses the amount of the natural oxide film on the porous surface formed in the epitaxial growth apparatus, thereby shortening the heat treatment time and temperature for removing the natural oxide film in a short time. It is. At the same time, the structural change in the surface of the porous layer and in the vicinity of the surface is suppressed, and before the deterioration of the surface structure of the porous layer becomes apparent, the formation of a non-porous single-crystal silicon film is started, whereby the crystal defects are reduced. An epitaxial silicon layer having a density of less than 1000 cm 2 is obtained.

【図面の簡単な説明】[Brief description of the drawings]

【図1】多孔質シリコン層上への非多孔質単結晶層の形
成方法を示すフローチャートである。
FIG. 1 is a flowchart showing a method for forming a non-porous single crystal layer on a porous silicon layer.

【図2】ロードロック室付の装置の一例を示す図であ
る。
FIG. 2 is a diagram showing an example of a device with a load lock chamber.

【図3】エピタキシャル成長装置におけるシリコンエッ
チング量を説明する図である。
FIG. 3 is a diagram illustrating a silicon etching amount in an epitaxial growth apparatus.

【図4】熱処理温度と欠陥密度の関係をエピタキシャル
成長装置の違いによって説明する図である。
FIG. 4 is a diagram for explaining a relationship between a heat treatment temperature and a defect density by using a different epitaxial growth apparatus.

【図5】多孔質シリコンの熱処理によるヘイズ値の変化
を説明する図である。
FIG. 5 is a diagram illustrating a change in haze value due to heat treatment of porous silicon.

【図6】ヘイズ値の変化率と欠陥密度の関係を説明する
図である。
FIG. 6 is a diagram illustrating a relationship between a change rate of a haze value and a defect density.

【図7】多孔質層の表面孔の熱処理による変化を説明す
るSEM像である。
FIG. 7 is an SEM image illustrating a change in surface pores of a porous layer due to heat treatment.

【図8】多孔質層の表面孔の熱処理による変化を説明す
る模式図である。
FIG. 8 is a schematic diagram illustrating a change in surface pores of a porous layer due to heat treatment.

【図9】微量シリコン原料供給工程の時間と欠陥密度の
関係を説明する図である。
FIG. 9 is a diagram for explaining the relationship between the time and the defect density in the trace silicon material supply step.

【図10】微量シリコン供給によるヘイズ値の変化を説
明する図である。
FIG. 10 is a diagram illustrating a change in a haze value due to the supply of a small amount of silicon.

【図11】熱処理時の圧力の違いによる熱処理温度と欠
陥密度の関係の違いを説明する図である。
FIG. 11 is a diagram for explaining a difference in a relationship between a heat treatment temperature and a defect density due to a difference in pressure during heat treatment.

【図12】熱処理時間と欠陥密度の関係を説明する図で
ある。
FIG. 12 is a diagram illustrating a relationship between a heat treatment time and a defect density.

【図13】プリベーク処理を適切に行うための条件を決
定するシステムの一例である。
FIG. 13 is an example of a system for determining conditions for appropriately performing pre-bake processing.

【図14】本発明の工程を説明する模式図である。FIG. 14 is a schematic diagram illustrating a process of the present invention.

【図15】本発明によるSOI基板の作製工程を説明す
る模式図である。
FIG. 15 is a schematic view illustrating a step of manufacturing an SOI substrate according to the present invention.

【図16】異物検査装置の観測方法の概念図である。FIG. 16 is a conceptual diagram of an observation method of the foreign substance inspection device.

【符号の説明】[Explanation of symbols]

1 多孔質シリコン層を有する基板 2 孔 3 孔壁 4 保護膜 5 保護被膜 6 非多孔質単結晶層 10 基体 11 多孔質シリコン層 13 入射光 14 反射光 15 散乱光 16 シリコンウエハ 17 観察領域 DESCRIPTION OF SYMBOLS 1 Substrate having a porous silicon layer 2 Hole 3 Hole wall 4 Protective film 5 Protective coating 6 Non-porous single crystal layer 10 Base 11 Porous silicon layer 13 Incident light 14 Reflected light 15 Scattered light 16 Silicon wafer 17 Observation area

Claims (36)

【特許請求の範囲】[Claims] 【請求項1】 多孔質シリコン層上に非多孔質単結晶層
を有する半導体基板の作製方法において、 前記多孔質シリコン層上に前記非多孔質単結晶層を形成
する工程に先立って、 前記多孔質シリコン層を非多孔質単結晶層の原料ガスを
含まない雰囲気中で熱処理を行う工程を含み、かつ前記
熱処理前後での前記多孔質シリコン層表面のヘイズ値の
変化率r(r=(前記熱処理後の多孔質シリコン層表面
のヘイズ値)/(前記熱処理前の多孔質シリコン層表面
のヘイズ値))が一定の範囲内になるように前記熱処理
条件を定めることを特徴とする半導体基板の作製方法。
1. A method for manufacturing a semiconductor substrate having a non-porous single-crystal layer on a porous silicon layer, wherein prior to the step of forming the non-porous single-crystal layer on the porous silicon layer, A heat treatment of the porous silicon layer in an atmosphere containing no source gas for the non-porous single crystal layer, and a rate of change of the haze value r (r = ( The semiconductor substrate is characterized in that the heat treatment conditions are determined so that the haze value of the surface of the porous silicon layer after the heat treatment / (the haze value of the surface of the porous silicon layer before the heat treatment) falls within a certain range. Production method.
【請求項2】 前記一定の範囲とは、1≦r≦3.5で
ある請求項1記載の半導体基板の作製方法。
2. The method according to claim 1, wherein the predetermined range is 1 ≦ r ≦ 3.5.
【請求項3】 多孔質シリコン層を有する基板を用意す
る工程、前記多孔質シリコン層を熱処理する熱処理工
程、及び前記多孔質シリコン層上に非多孔質単結晶層を
成長させる成長工程を有する半導体基板の作製方法にお
いて、 前記熱処理は、前記非多孔質単結晶層の原料ガスを含ま
ない雰囲気中で且つ、 前記熱処理によるシリコンのエッチング量が2nm以
下、及び前記多孔質シリコン層表面のヘイズ値の変化率
r(r=前記熱処理後ヘイズ値/前記熱処理前のヘイズ
値)が、1≦r≦3.5を満足するように行われること
を特徴とする半導体基板の作製方法。
3. A semiconductor comprising a step of preparing a substrate having a porous silicon layer, a heat treatment step of heat treating the porous silicon layer, and a growth step of growing a non-porous single crystal layer on the porous silicon layer. In the method for manufacturing a substrate, the heat treatment is performed in an atmosphere not containing a source gas for the non-porous single crystal layer, and an etching amount of silicon by the heat treatment is 2 nm or less, and a haze value of a surface of the porous silicon layer is reduced. A method for manufacturing a semiconductor substrate, wherein the rate of change r (r = haze value after the heat treatment / haze value before the heat treatment) satisfies 1 ≦ r ≦ 3.5.
【請求項4】 多孔質シリコン層を有する第1の基板を
用意する工程、前記多孔質シリコン層を熱処理する熱処
理工程、前記多孔質シリコン層上に非多孔質単結晶層を
成長させる成長工程、及び前記第1の基板上の前記非多
孔質単結晶層を第2の基板上に移設する工程を有する半
導体基板の作製方法において、 前記熱処理は、前記非多孔質単結晶層の原料ガスを含ま
ない雰囲気中で且つ、 前記熱処理によるシリコンのエッチング量が2nm以
下、及び前記多孔質シリコン層表面のヘイズ値の変化率
r(r=前記熱処理後ヘイズ値/前記熱処理前のヘイズ
値)が、1≦r≦3.5を満足するように行われること
を特徴とする半導体基板の作製方法。
4. A step of preparing a first substrate having a porous silicon layer, a heat treatment step of heat treating the porous silicon layer, a growth step of growing a non-porous single crystal layer on the porous silicon layer, And a step of transferring the non-porous single crystal layer on the first substrate to a second substrate, wherein the heat treatment includes a source gas for the non-porous single crystal layer. In an atmosphere without heat, the amount of silicon etched by the heat treatment is 2 nm or less, and the rate of change of the haze value r (r = haze value after the heat treatment / haze value before the heat treatment) on the surface of the porous silicon layer is 1 A method for manufacturing a semiconductor substrate, which is performed so as to satisfy ≦ r ≦ 3.5.
【請求項5】 前記非多孔質単結晶層の成長が20nm
/min以下の成長速度で行われる請求項3及び4記載
の半導体基板の作製方法。
5. The method according to claim 1, wherein the growth of the non-porous single crystal layer is 20 nm.
5. The method of manufacturing a semiconductor substrate according to claim 3, wherein the method is performed at a growth rate of not more than / min.
【請求項6】 前記非多孔質単結晶層の成長が10nm
/min以下の成長速度で行われる請求項3及び4記載
の半導体基板の作製方法。
6. The growth of said non-porous single crystal layer is 10 nm.
5. The method of manufacturing a semiconductor substrate according to claim 3, wherein the method is performed at a growth rate of not more than / min.
【請求項7】 前記非多孔質単結晶層の成長が2nm/
min以下の成長速度で行われる請求項3及び4記載の
半導体基板の作製方法。
7. The method according to claim 1, wherein the growth of the non-porous single crystal layer is 2 nm /
5. The method for manufacturing a semiconductor substrate according to claim 3, wherein the method is performed at a growth rate of not more than min.
【請求項8】 前記変化率rが1≦r≦2である請求項
1,3,4記載の半導体基板の作製方法。
8. The method for manufacturing a semiconductor substrate according to claim 1, wherein said rate of change r is 1 ≦ r ≦ 2.
【請求項9】 前記エッチング量が1nm以下である請
求項3,4記載の半導体基板の作製方法。
9. The method according to claim 3, wherein the etching amount is 1 nm or less.
【請求項10】 前記非多孔質単結晶が、非多孔質単結
晶シリコン層である請求項1,3,4記載の半導体基板
の作製方法。
10. The method according to claim 1, wherein the non-porous single crystal is a non-porous single-crystal silicon layer.
【請求項11】 前記非多孔質単結晶層が、SiGe、
SiC、あるいは化合物半導体である請求項1,3,4
記載の半導体基板の作製方法。
11. The non-porous single-crystal layer is made of SiGe,
5. A semiconductor device comprising SiC or a compound semiconductor.
A method for manufacturing a semiconductor substrate as described above.
【請求項12】 前記非多孔質単結晶層を前記第2の基
板上に移設する工程は、 前記第1の基板と第2の基板を前記非多孔質単結晶層が
内側に位置するように貼り合わせる貼り合わせ工程、 及び前記多孔質シリコン層を除去する工程により行われ
る請求項4記載の半導体基板の作製方法。
12. The step of transferring the non-porous single crystal layer onto the second substrate, wherein the first substrate and the second substrate are moved so that the non-porous single crystal layer is located inside. The method for manufacturing a semiconductor substrate according to claim 4, wherein the method is performed by a bonding step of bonding and a step of removing the porous silicon layer.
【請求項13】 前記非多孔質単結晶層を前記第2の基
板上に移設する工程は、 前記第1の基板と第2の基板を前記非多孔質単結晶層が
内側に位置するように貼り合わせる貼り合わせ工程、 及び前記多孔質シリコン層で分離する工程により行われ
る請求項2記載の半導体基板の作製方法。
13. The step of transferring the non-porous single crystal layer onto the second substrate, wherein the first substrate and the second substrate are moved such that the non-porous single crystal layer is located inside. The method for manufacturing a semiconductor substrate according to claim 2, wherein the method is performed by a bonding step of bonding, and a step of separating at the porous silicon layer.
【請求項14】 該貼り合わせ工程が、該第1の基板と
第2の基板を絶縁層を介して貼り合わせる工程である請
求項12あるいは13記載の半導体基板の作製方法。
14. The method for manufacturing a semiconductor substrate according to claim 12, wherein the bonding step is a step of bonding the first substrate and the second substrate via an insulating layer.
【請求項15】 該絶縁層は、該非多孔質単結晶と第2
の基板の少なくとも一方に形成されている絶縁層である
請求項14記載の半導体基板の作製方法。
15. The non-porous single crystal and a second insulating layer,
The method for manufacturing a semiconductor substrate according to claim 14, wherein the insulating layer is formed on at least one of the substrates.
【請求項16】 前記第2の基板は、単結晶Si基板で
ある請求項4記載の半導体基板の作製方法。
16. The method according to claim 4, wherein the second substrate is a single crystal Si substrate.
【請求項17】 前記第2の基板は、石英ウエハである
請求項4記載の半導体基板の作製方法。
17. The method according to claim 4, wherein the second substrate is a quartz wafer.
【請求項18】 前記熱処理工程は、昇温工程と自然酸
化膜除去工程を含み、前記自然酸化膜除去工程は、85
0℃以上、1000℃以下の温度で行われる請求項1,
3,4記載の半導体基板の作製方法。
18. The heat treatment step includes a temperature raising step and a natural oxide film removing step.
The method is carried out at a temperature of 0 ° C or more and 1000 ° C or less.
3. The method for manufacturing a semiconductor substrate according to any one of items 3 and 4.
【請求項19】 前記熱処理工程は、昇温工程と、自然
酸化膜除去工程とを含み、前記自然酸化膜除去工程は、
処理時間が200秒以内である請求項1,3,4記載の
半導体基板の作製方法。
19. The heat treatment step includes a temperature raising step and a natural oxide film removing step, wherein the natural oxide film removing step includes:
5. The method for manufacturing a semiconductor substrate according to claim 1, wherein the processing time is within 200 seconds.
【請求項20】 該多孔質シリコン層は、その孔壁に
保護膜を形成した後、該熱処理工程を行う請求項1,
3,4記載の半導体基板の作製方法。
20. The porous silicon layer is subjected to the heat treatment step after forming a protective film on the pore wall.
3. The method for manufacturing a semiconductor substrate according to any one of items 3 and 4.
【請求項21】 該熱処理工程に先だって、該多孔質単
結晶シリコン層の表面に形成された酸化膜を除去する工
程を有する請求項1,3,4記載の半導体基板の作製方
法。
21. The method of manufacturing a semiconductor substrate according to claim 1, further comprising a step of removing an oxide film formed on a surface of said porous single crystal silicon layer before said heat treatment step.
【請求項22】 該成長工程は、第1の成長速度で行な
った後、該第1の成長速度よりも速い第2の成長速度で
行なう成長工程を含む請求項1,3,4記載の半導体基
板の作製方法。
22. The semiconductor according to claim 1, wherein said growth step includes a growth step performed at a first growth rate and then performed at a second growth rate higher than said first growth rate. How to make a substrate.
【請求項23】 前記熱処理工程、前記成長工程は、ロ
ードロック室が付設された反応容器内で行われることを
特徴とする請求項1,3,4記載の半導体基板の作製方
法。
23. The method according to claim 1, wherein the heat treatment step and the growth step are performed in a reaction vessel provided with a load lock chamber.
【請求項24】 前記熱処理工程時の圧力は、前記成長
工程の圧力よりも高い請求項1,3,4記載の半導体基
板の作製方法。
24. The method of manufacturing a semiconductor substrate according to claim 1, wherein a pressure in the heat treatment step is higher than a pressure in the growth step.
【請求項25】 該多孔質単結晶シリコン層は、非多孔
質単結晶シリコンの少なくとも一部を陽極化成して得ら
れる請求項1,3,4記載の半導体基板の作製方法。
25. The method for manufacturing a semiconductor substrate according to claim 1, wherein said porous single-crystal silicon layer is obtained by anodizing at least a part of non-porous single-crystal silicon.
【請求項26】 前記陽極化成は、フッ酸、水、アルコ
ールを含む溶液により行われる請求項25記載の半導体
基板の作製方法。
26. The method of manufacturing a semiconductor substrate according to claim 25, wherein the anodization is performed using a solution containing hydrofluoric acid, water, and alcohol.
【請求項27】 該多孔質シリコン層を有する基板は、
縮退レベルに不純物がドープされている請求項1,3,
4記載の半導体基板の形成方法。
27. The substrate having the porous silicon layer,
The depletion level is doped with impurities.
5. The method for forming a semiconductor substrate according to item 4.
【請求項28】 前記熱処理工程は、水素を含む非酸化
性雰囲気中で行われる請求項1,3,4記載の半導体基
板の形成方法。
28. The method according to claim 1, wherein the heat treatment step is performed in a non-oxidizing atmosphere containing hydrogen.
【請求項29】 前記熱処理工程は、水素ガスを含む還
元性雰囲気、窒素ガス雰囲気、あるいは不活性ガス雰囲
気中で行われる請求項1,3,4記載の半導体基板の作
製方法。
29. The method for manufacturing a semiconductor substrate according to claim 1, wherein the heat treatment step is performed in a reducing atmosphere containing hydrogen gas, a nitrogen gas atmosphere, or an inert gas atmosphere.
【請求項30】 前記熱処理工程は、超高真空中で行わ
れる請求項1,3,4記載の半導体基板の作製方法。
30. The method according to claim 1, wherein the heat treatment step is performed in an ultra-high vacuum.
【請求項31】 前記熱処理工程は、870℃以上97
0℃以下で行われる請求項1,3,4記載の半導体基板
の作製方法。
31. The heat treatment step is performed at 870 ° C. or higher and 97
5. The method for producing a semiconductor substrate according to claim 1, wherein the method is performed at 0 ° C. or lower.
【請求項32】 前記エッチング量は、前記熱処理工程
を設定温度で行うための昇温工程におけるエッチング量
である請求項1,3,4記載の半導体基板の作製方法。
32. The method according to claim 1, wherein the etching amount is an etching amount in a temperature raising step for performing the heat treatment step at a set temperature.
【請求項33】 前記第1の成長速度で行う成長工程
は、第1の成長工程終了後のヘイズ値が減少しはじめる
まで行うことを特徴とする請求項22記載の半導体基板
の作製方法。
33. The method according to claim 22, wherein the growing step performed at the first growth rate is performed until the haze value after the first growing step starts to decrease.
【請求項34】 前記ヘイズ値の測定は、レーザー光を
多孔質シリコン層表面に入射し、散乱強度を測定する方
法であって、レーザー光の波長は500nm以下である
ことを特徴とする請求項1あるいは2記載の半導体基板
の作製方法。
34. The method of measuring a haze value, wherein a laser beam is incident on a surface of a porous silicon layer and a scattering intensity is measured, wherein the wavelength of the laser beam is 500 nm or less. 3. The method for manufacturing a semiconductor substrate according to 1 or 2.
【請求項35】 請求項1,3,4の記載の方法により
得られる半導体基板。
35. A semiconductor substrate obtained by the method according to claim 1.
【請求項36】 請求項35記載の半導体基板を用いて
集積回路を形成することを特徴とする集積回路の形成方
法。
36. A method for forming an integrated circuit, comprising forming an integrated circuit using the semiconductor substrate according to claim 35.
JP24428899A 1998-09-04 1999-08-31 Method for manufacturing semiconductor substrate Expired - Fee Related JP3748500B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24428899A JP3748500B2 (en) 1998-09-04 1999-08-31 Method for manufacturing semiconductor substrate

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10-251271 1998-09-04
JP25127198 1998-09-04
JP24428899A JP3748500B2 (en) 1998-09-04 1999-08-31 Method for manufacturing semiconductor substrate

Publications (2)

Publication Number Publication Date
JP2000150840A true JP2000150840A (en) 2000-05-30
JP3748500B2 JP3748500B2 (en) 2006-02-22

Family

ID=26536675

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24428899A Expired - Fee Related JP3748500B2 (en) 1998-09-04 1999-08-31 Method for manufacturing semiconductor substrate

Country Status (1)

Country Link
JP (1) JP3748500B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006203087A (en) * 2005-01-24 2006-08-03 Sumco Corp Micro roughness evaluating method of thin film soi wafer
JP2015216296A (en) * 2014-05-13 2015-12-03 株式会社Sumco Method for manufacturing semiconductor epitaxial wafer, and method for manufacturing solid-state imaging device
TWI574321B (en) * 2013-12-24 2017-03-11 英特爾股份有限公司 Conformal thin film deposition of electropositive metal alloy films
JP2018509002A (en) * 2015-03-17 2018-03-29 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited Thermally stable charge trapping layer for use in the manufacture of semiconductor-on-insulator structures

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006203087A (en) * 2005-01-24 2006-08-03 Sumco Corp Micro roughness evaluating method of thin film soi wafer
TWI574321B (en) * 2013-12-24 2017-03-11 英特爾股份有限公司 Conformal thin film deposition of electropositive metal alloy films
JP2015216296A (en) * 2014-05-13 2015-12-03 株式会社Sumco Method for manufacturing semiconductor epitaxial wafer, and method for manufacturing solid-state imaging device
JP2018509002A (en) * 2015-03-17 2018-03-29 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited Thermally stable charge trapping layer for use in the manufacture of semiconductor-on-insulator structures
US10290533B2 (en) 2015-03-17 2019-05-14 Globalwafers Co., Ltd. Thermally stable charge trapping layer for use in manufacture of semiconductor-on-insulator structures

Also Published As

Publication number Publication date
JP3748500B2 (en) 2006-02-22

Similar Documents

Publication Publication Date Title
KR100352368B1 (en) Semiconductor substrate and method for producing the same
KR100376658B1 (en) Semiconductor substrate and method for producing the same
US6143629A (en) Process for producing semiconductor substrate
US6136684A (en) Semiconductor substrate and process for production thereof
CA2221245C (en) Method of manufacturing semiconductor article
US6180497B1 (en) Method for producing semiconductor base members
JP3352340B2 (en) Semiconductor substrate and method of manufacturing the same
US6221738B1 (en) Substrate and production method thereof
JP2000349264A (en) Method for manufacturing, use and utilizing method of semiconductor wafer
EP0843346A2 (en) Method of manufacturing a semiconductor article
JPH05275358A (en) Method of controlling irregularity at surface of single crystal
JP3611290B2 (en) Method for producing semiconductor substrate and semiconductor substrate
JP3748500B2 (en) Method for manufacturing semiconductor substrate
JP3733265B2 (en) Method for manufacturing semiconductor substrate and method for manufacturing semiconductor film
JPH11251563A (en) Method and furnace for heat treating soi substrate and production of soi substrate employing them
JP3216078B2 (en) Semiconductor substrate and method of manufacturing semiconductor substrate
JP3748499B2 (en) Method for manufacturing semiconductor substrate
JPH10200079A (en) Semiconductor member and its manufacture
JPH11260793A (en) Etching method and etching equipment of semiconductor base material and forming method using the equipment
JP3293766B2 (en) Semiconductor member manufacturing method
JP2000260967A (en) Manufacture of semiconductor substrate and device for heat-treating the semiconductor substrate

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050621

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050822

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051128

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081209

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091209

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091209

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101209

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111209

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121209

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131209

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees