JP3550457B2 - Method and apparatus for analyzing energy and mass of ions incident on a floating potential substrate - Google Patents

Method and apparatus for analyzing energy and mass of ions incident on a floating potential substrate Download PDF

Info

Publication number
JP3550457B2
JP3550457B2 JP07715996A JP7715996A JP3550457B2 JP 3550457 B2 JP3550457 B2 JP 3550457B2 JP 07715996 A JP07715996 A JP 07715996A JP 7715996 A JP7715996 A JP 7715996A JP 3550457 B2 JP3550457 B2 JP 3550457B2
Authority
JP
Japan
Prior art keywords
potential
energy
plasma
mass
analyzer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP07715996A
Other languages
Japanese (ja)
Other versions
JPH09265937A (en
Inventor
章 久保
俊雄 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP07715996A priority Critical patent/JP3550457B2/en
Publication of JPH09265937A publication Critical patent/JPH09265937A/en
Application granted granted Critical
Publication of JP3550457B2 publication Critical patent/JP3550457B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【産業上の利用分野】
本発明は、プラズマを利用して、半導体や電子部品、その他の基板上の物質をエッチングするエッチング装置や、その基板上に膜を堆積させるプラズマ CVD装置のプラズマ発生装置におけるイオンのエネルギー及び質量分析に関するものである。
【0002】
【従来の技術】
従来の技術の構成
従来の技術におけるプラズマ中のイオンエネルギー及び質量の測定法例を図 5及び図6に示す。図5はCoburnなどによって報告された正イオンのエネルギー及び質量の測定法である(J. Appl. Phys., 43 (1972) 4965参照)。この例では質量分析器の取付けられている電極が接地電極になっているので、プラズマ中の正イオンはプラズマ電位と接地電位の差のエネルギーで質量分析器に入射する。一方、図6には、反応性イオンエッチングに用いられているプラズマの正イオンの測定を目的として、高周波電力が印加されている浮遊電極に取付けられた例である。この例では、イオンエネルギー分析器及び質量分析器に浮遊電極電位の直流成分に等しい電位が印加されている。
【0003】
従来の技術の作用及び動作
図5に示すCoburn等によって報告された測定法では、質量分析器の取り付けられている電極が接地電極になっているので、プラズマ中の正イオンはプラズマ電位と接地電位の差のエネルギーで質量分析器に入射する。この方法により、陽極結合のプラズマエッチングやプラズマCVD等のように接地電位基板に入射する正イオンのエネルギー及び質量が分り、ラジカルによるエッチング機構やプラズマCVDの成膜機構を解明する上で大いに有益な情報が得られている。
【0004】
一方、図6に示す例のような反応性イオンエッチングにおいては浮遊電位基板電極に交番電場或いは高周波電場を印加し、負の自己バイアスを発生させ、プラズマ電位と基板電位とに大きな電位差を発生させて、大きな正イオンのエネルギーを得て、基板をエッチングしている。この時、基板電極は自己バイアスされた直流成分と印加されている高周波電場の交流成分で合成された電位になっている。図6の例では、イオン分析器及び質量分析器の電位は浮遊電位である基板電極の直流成分に等しくなっているが、正確に基板電極の電位とは等しくない。
【0005】
【発明が解決しようとする課題】
正イオンは、生成された場所の電位と飛翔先の電位差で飛来し入射する。従って、正イオンはプラズマ電位と基板電位の差のエネルギーをもって基板に入射することになる。基板電位は自己バイアス電位と高周波電位の合成電位になっている。従って、エネルギー分析の電位を自己バイアス電位に等しくしただけでは、基板電位の高周波成分の電位を含んでいないため、正確なイオンエネルギー分析ができない。
イオンのシース内運動はモデル的にChild−Langmuirの式

Figure 0003550457
と運動方程式により表される。Jが一定であると仮定すると、シースの厚みdが高周波振動するシース電位の関数として求められる。
高周波電場内でのイオンの運動方程式
Figure 0003550457
を組合せると、シースにおけるイオンの挙動を数値計算することができる。そのようにして求めたのが図7である。シースの高周波成分は400sin(ωt)[V]、 正のイオン種はArとした。図7から判ることは、イオンエネルギーが380〜 420Vになること及び約800nsecでプラズマのシース端から電極に到達することである。
【0006】
この時のイオンエネルギーは、高周波振動するシースに入射するイオンを追跡することによって求められる。その結果、イオンのエネルギー分布はプラズマシース端への入射位相によって異なることが判った。つまり、どの位相の時にシースにイオンが入射するかによって受ける高周波摂動の大きさが異なり、加速時間に差が発生し基板に到達するイオンのエネルギーに分布ができるのである。
図8は横軸にイオンの入射位相をとり、縦軸にイオンのエネルギーをとって、各位相で入射したイオンが時間と共にどのように加速されるかを計算した例を示している。基板に到達する直前までは350°付近の位相でシースに入射したイオ ンが加速されて大きなエネルギーをもつが、基板に到達した時には250°付近の 位相でシースに入射したイオンの方が大きなエネルギーをもつ。これは、基板へ到達するタイミングで決まる。図8の横軸は入射位相であるが同時に入射する粒子数でもある。従って、同じエネルギーをもつ粒子数を数えて縦軸に表示し、エネルギーを横軸に取るとエネルギー分布が得られる。このようにして得られたエネルギー分布は図9に示されるように高エネルギー側と低エネルギー側に二つのピーク(いわゆる“double peak”)をもつ。
【0007】
一方、負イオンは、基板の自己バイアスが負になっているので、2MHz以上の バイアス周波数を基板に印加している時には基板に到達できない。しかし、 2MHz以下になると、負イオンも高周波電場の摂動を受け(追従できるようにな り)、長い距離を飛翔するようになる。特にアフターグロー領域では中性分子 (ラジカル)への電子の付着により負イオンの相対密度は増加し、シース電位が減少することから、基板への負イオン入射が多くなると考えられる。上述の運動方程式により、2MHzにおけるArイオンの振幅はE=500V/mmの時0.75mmとなり、400kHzの時には19mmと計算される。シースの厚みはプラズマ密度にもよるが 0.1mmから10mmの間にある。このことからも、負イオンの基板への入射が充分可 能であることが判る。
負イオンにおいても、正イオンの時と同様に、基板電極から小孔を通ってエネルギー分析器に至る間に高周波電場の摂動を受けて正確なエネルギー分析ができないと考えられる。
高周波電力印加電極に入射する正負のイオン種及びイオンエネルギーの分析は、特に反応性イオンエッチングの分野においては、基板上における物理・化学的機構解明の上で大変重要である。しかし、これまでの方法においてはイオンと高周波電位との関わりの点からの考察が充分ではなく、基板と分析器間の正確な電位印加状態となっていなかった。
【0008】
そこで本発明は、上記のような従来法及び従来装置に伴う問題点を解決して、浮遊電位基板に入射するイオンのエネルギー及び質量を正確に測定できる方法及び装置を提供することにある。
【0009】
【課題を解決するための手段】
上記の目的を達成するために、本発明の第1の発明によれば、プラズマを発生させ、プラズマに接する開口を備えた基板電極にコンデンサを通して交番電力または高周波電力を印加し、基板電極の開口を通ってプラズマ中の正負のイオンをエネルギー分析器及び質量分析器に導き、イオンのエネルギー及び質量を分析するようにした方法において、基板電極、イオンエネルギー分析器及び質量分析器を同一の基板電位に保つことを特徴としている。
また本発明の第2の発明によれば、プラズマを発生させるプラズマ発生室と、プラズマ発生室中のプラズマに接する位置に配置され、プラズマ中の正負のイオンを通す開口を備えた基板電極と、基板電極の開口を通って入ってきたイオンのエネルギー及び質量をそれぞれ分析するエネルギー分析器及び質量分析器とを有し、イオンのエネルギー及び質量を分析するようにした装置において、エネルギー分析器及び質量分析器の制御電源の基準電位を接地電位ではなく浮遊状態に置かれたプラズマによって発生した自己バイアス電位及びコンデンサを通じて印加された重畳された高周波電位をもつ基板電極の電位に保持するように構成したことを特徴としている。
【0010】
【作用】
イオンエネルギー制御電源及び質量分析用制御電源は全て基板電極のバイアス高周波電源の出力と接続されており、基板電極と同電位になっている。従って、基板電極の開口を通ってエネルギー分析器に入り込むイオンは、基板電極とエネルギー分析器が同電位になっているため、何の摂動を受けることもなくエネルギー分析される。
エネルギー分析器が基板電極の直流成分のみに等しくなっている時は、基板電極とエネルギー分析器間に高周波成分の摂動が働き、高周波電場を飛翔するイオンのエネルギースペクトルは高周波電場の摂動を受ける。このように基板電極とエネルギー分析器間に高周波電位が印加されていると、イオンに摂動が掛かり、スペクトル形状に影響を与えると考えられる。本発明では直流電位、高周波電位とも同電位にすることでこの問題は解決され、正確なイオンのスぺクトルが得られる。
【0011】
【発明の実施の形態】
以下添附図面の図1〜図4に示す実施例に基づき本発明の実施の形態について説明する。
【0012】
【実施例】
図1には本発明の一実施例を示し、1はプラズマ発生室で、このプラズマ発生室1内にはプラズマ発生用電極2が配置され、このプラズマ発生用電極2はマッチングボツクス3を介して高周波電源4に接続されている。プラズマ発生室1内のプラズマ発生用電極2に対向して基板電極5が絶縁碍子6を介してプラズマ発生室1に取り付けられている。基板電極5は、プラズマ発生室1内に発生するプラズマに接する位置にイオン引き込み用の開口5aを備えており、そしてコンデンサ7及びマッチングボツクス8を介してバイアス用高周波電源9に接続されている。
基板電極5内にはエネルギー分析器10が配置され、このエネルギー分析器10は検出しようとするイオンエネルギーレベルより低いエネルギーのイオンをカットするメッシュ電極10aと外筒電極10bと内筒電極10cとを備えており、これらの各 電極はイオンエネルギー制御電源11に接続されている。
また12は四重極型の質量分析器で、各電極は質量分析用制御電源13に接続されている。14はイオン検出器であり、質量分析器12と共に、真空容器15内に挿置されている。真空容器15は基板電極5の開放端に絶縁碍子16を介して結合されている。なお図1において17はフォトカプラー、18は光ファイバー、19は測定用回路、20は掃引電位であり、図示したように接続されている。
【0013】
基板電極5、エネルギー分析器10及び質量分析器12はバイアス高周波電源9の浮遊電位部側に接続されており、全て同一電位を基準して作動するようにされている。エネルギー分析器10及び質量分析器12の制御電源11、13もバッテリー(図示しないが実際には例えば48V)を通して接続され、一般の電力供給ラインとは電気的に分離されており、接地電位に対し浮遊電位としている。
【0014】
このような構成においては、基板電極5とエネルギー分析器10及び質量分析器12が直流的にも高周波的にも同電位になっているので、基板に入射して基板電極5の開口5aを通過したイオンは基板と同電位のエネルギー分析器10及び質量分析器12を通過して検出されるため何の摂動を受けることもなく検出され、イオンのエネルギー分布が正確に計算される。
【0015】
図2のA、Bにはイオン検出器14の印加電位の例を示す。Aは正イオン検出時、Bは負イオン検出時の印加電位の例である。負イオンの検出時には図1のイオンエネルギー制御電源11の極性も変えてイオンを検出する。
【0016】
図3には、基板電極5のみに13.56MHzの高周波を300W印加してプラズマを形 成した時の基板電極5に入射した正イオン(Ar)の測定例を示す。圧力は 0.4Pa、この時のVdcは約400Vであった。ほぼ対称形のエネルギースペクトル分布が得られている。
【0017】
ところで図1に示す実施例では高周波電力印加電極に取り付けて測定した例を示したが、高周波電力を印加せずに単に浮遊電極にして自己バイアスを発生させて行なう場合においても利用できる。その場合には図1の基板バイアス用高周波電源9及びマッチングボックス8を取除いた構成にすれば、高周波電力を印加せず単に浮遊電位になっている電極に入射する正負のイオンを測定することができる。
【0018】
図4にはイオンエネルギー分析器10の前部に中性分子のイオン化室21を設けた実施例を示し、22はイオン化電源を示し、図示したように接続されている。その他の構成は図1の場合と実質的に同じであり、同じ符号で示す。この構成ではプラズマ中のイオンのみに限らずラジカルを含む中性原子・分子をも測定することができる。
【0019】
【発明の効果】
以上説明してきたように本発明によれば、基板電極、イオンエネルギー分析器及び質量分析器を同一の交番電場または高周波電場に保つことにより高周波印加基板へ入射するイオンの種類及びそのエネルギー分布が正確に測定できるので、基板上で起こっている物理・化学的機構を解明する上で大きな威力を発揮することができるようになり、特に半導体や電子部品加工に用いられている反応性イオンエッチングプロセスの機構を解明するのに大きな貢献を奏するするものとなり得る。
【図面の簡単な説明】
【図1】本発明の一実施例を示す概略構成図。
【図2】Aは図1の装置における正イオン検出時の電位状態を示す図。
Bは図1の装置における負イオン検出時の電位状態を示す図。
【図3】基板電極に入射した正イオン(Ar)の測定例を示すグラフ。
【図4】本発明の別の実施例を示す概略構成図。
【図5】接地電位部に接続された従来のイオンエネルギー分析器及び質量分析器の一例を示す概略構成図。
【図6】別の従来例を示す概略構成図。
【図7】運動方程式によるイオンのシース内挙動の計算例を示すグラフ。
【図8】シースに入射したイオンのエネルギー入射位相依存性を示すグラフ。
【図9】図7及び図8と同じ条件下でのイオンエネルギー分布の計算例を示すグラフ。
【符号の説明】
1: プラズマ室
2: プラズマ発生用高周波コイル
4: プラズマ発生用高周波電源
5: 基板電極
5a: 基板電極のイオン引き込み用開口
9: 基板バイアス用高周波電源
10: イオンエネルギー分析器
11: イオンエネルギー制御電源
12: 質量分析器
13: 質量分析用制御電源
14: イオン検出器[0001]
[Industrial applications]
The present invention relates to ion energy and mass spectrometry in an etching apparatus for etching semiconductors, electronic components, and other substances on a substrate using plasma, and a plasma generator for a plasma CVD apparatus for depositing a film on the substrate. It is about.
[0002]
[Prior art]
Configuration of conventional technology FIGS. 5 and 6 show examples of a method for measuring ion energy and mass in plasma in the conventional technology. FIG. 5 shows a method for measuring the energy and mass of positive ions reported by Coburn et al. (See J. Appl. Phys., 43 (1972) 4965). In this example, since the electrode on which the mass spectrometer is attached is a ground electrode, positive ions in the plasma enter the mass spectrometer with energy equal to the difference between the plasma potential and the ground potential. On the other hand, FIG. 6 shows an example in which the device is attached to a floating electrode to which high-frequency power is applied for the purpose of measuring positive ions of plasma used for reactive ion etching. In this example, a potential equal to the DC component of the floating electrode potential is applied to the ion energy analyzer and the mass analyzer.
[0003]
Operation and operation of the prior art In the measurement method reported by Coburn et al. Shown in FIG. 5, since the electrode attached to the mass spectrometer is a ground electrode, the positive ions in the plasma are separated from the plasma potential and the ground potential. Into the mass spectrometer with an energy difference of By this method, the energy and mass of positive ions incident on the ground potential substrate as in the case of anodic bonding plasma etching or plasma CVD can be determined, which is extremely useful in elucidating the etching mechanism by radicals and the film formation mechanism of plasma CVD. Information is available.
[0004]
On the other hand, in the reactive ion etching as in the example shown in FIG. 6, an alternating electric field or a high-frequency electric field is applied to the floating potential substrate electrode to generate a negative self-bias and generate a large potential difference between the plasma potential and the substrate potential. Thus, the substrate is etched by obtaining large positive ion energy. At this time, the substrate electrode is at a potential synthesized by the self-biased DC component and the AC component of the applied high frequency electric field. In the example of FIG. 6, the potentials of the ion analyzer and the mass analyzer are equal to the DC component of the substrate electrode, which is a floating potential, but not exactly equal to the potential of the substrate electrode.
[0005]
[Problems to be solved by the invention]
Positive ions fly and enter due to the potential difference between the generated location and the flying destination. Therefore, the positive ions enter the substrate with the energy of the difference between the plasma potential and the substrate potential. The substrate potential is a combined potential of the self-bias potential and the high-frequency potential. Therefore, simply setting the potential for energy analysis equal to the self-bias potential does not include the potential of the high-frequency component of the substrate potential, so that accurate ion energy analysis cannot be performed.
The ion motion in the sheath is modeled by the Child-Langmuir equation.
Figure 0003550457
And the equation of motion. Assuming that J i is constant, the sheath thickness d s is determined as a function of the sheath potential for high frequency oscillation.
Equation of motion of ions in a high-frequency electric field
Figure 0003550457
Is combined, the behavior of ions in the sheath can be calculated numerically. FIG. 7 is obtained in such a manner. The high frequency component of the sheath was 400 sin (ωt) [V], and the positive ion species was Ar. It can be seen from FIG. 7 that the ion energy becomes 380 to 420 V and that the plasma reaches the electrode from the sheath end in about 800 nsec.
[0006]
The ion energy at this time is obtained by tracking ions incident on the sheath that vibrates at a high frequency. As a result, it was found that the ion energy distribution was different depending on the phase of incidence on the plasma sheath end. That is, the magnitude of the high-frequency perturbation received differs depending on the phase at which the ions enter the sheath, and a difference occurs in the acceleration time, so that the energy of the ions reaching the substrate can be distributed.
FIG. 8 shows an example in which the horizontal axis indicates the ion incident phase and the vertical axis indicates the ion energy, and how the ions incident at each phase are accelerated with time is calculated. Immediately before reaching the substrate, ions incident on the sheath at a phase of around 350 ° are accelerated and have large energy, but when they reach the substrate, ions incident on the sheath at a phase of around 250 ° have a higher energy. With. This is determined by the timing of reaching the substrate. The horizontal axis in FIG. 8 is the incident phase, but also the number of particles incident simultaneously. Therefore, when the number of particles having the same energy is counted and displayed on the vertical axis, and the energy is plotted on the horizontal axis, an energy distribution can be obtained. The energy distribution obtained in this manner has two peaks (so-called "double peaks") on the high energy side and the low energy side as shown in FIG.
[0007]
On the other hand, negative ions cannot reach the substrate when a bias frequency of 2 MHz or more is applied to the substrate because the self-bias of the substrate is negative. However, below 2 MHz, negative ions are also perturbed (followed) by the high-frequency electric field and fly over long distances. In particular, in the afterglow region, the relative density of negative ions increases due to the attachment of electrons to neutral molecules (radicals), and the sheath potential decreases. Therefore, it is considered that the incidence of negative ions on the substrate increases. According to the above equation of motion, the amplitude of Ar ions at 2 MHz is 0.75 mm when E 0 = 500 V / mm, and is calculated to be 19 mm at 400 kHz. The thickness of the sheath is between 0.1 mm and 10 mm, depending on the plasma density. This also indicates that the incidence of negative ions on the substrate is sufficiently possible.
Similarly to the case of the positive ions, it is considered that accurate energy analysis cannot be performed due to the perturbation of the high-frequency electric field from the substrate electrode to the energy analyzer through the small holes.
Analysis of positive and negative ion species and ion energy incident on the high-frequency power application electrode is very important for elucidating the physical and chemical mechanism on the substrate, especially in the field of reactive ion etching. However, in the conventional methods, consideration in terms of the relationship between ions and the high-frequency potential is not sufficient, and an accurate potential application state between the substrate and the analyzer has not been obtained.
[0008]
SUMMARY OF THE INVENTION The present invention has been made to solve the above problems associated with the conventional method and the conventional apparatus, and to provide a method and an apparatus capable of accurately measuring the energy and mass of ions incident on a floating potential substrate.
[0009]
[Means for Solving the Problems]
In order to achieve the above object, according to a first aspect of the present invention, a plasma is generated, and alternating power or high-frequency power is applied to a substrate electrode provided with an opening in contact with the plasma through a capacitor, thereby opening the substrate electrode. In the method, the positive and negative ions in the plasma are led to an energy analyzer and a mass analyzer through which the substrate electrode, the ion energy analyzer and the mass analyzer are connected to the same substrate potential. It is characterized by keeping it.
Further, according to the second aspect of the present invention, a plasma generation chamber for generating plasma, a substrate electrode provided at a position in contact with the plasma in the plasma generation chamber, and having an opening for passing positive and negative ions in the plasma, An energy analyzer and a mass analyzer having an energy analyzer and a mass analyzer for analyzing the energy and mass of ions entering through the opening of the substrate electrode, respectively, wherein the energy analyzer and the mass are analyzed. The reference potential of the control power supply of the analyzer is not held at the ground potential but at the self-bias potential generated by the plasma placed in a floating state and the potential of the substrate electrode having a superimposed high-frequency potential applied through a capacitor. It is characterized by:
[0010]
[Action]
The ion energy control power supply and the mass spectrometry control power supply are all connected to the output of the bias high-frequency power supply for the substrate electrode, and are at the same potential as the substrate electrode. Therefore, ions entering the energy analyzer through the opening of the substrate electrode are subjected to energy analysis without any perturbation because the substrate electrode and the energy analyzer have the same potential.
When the energy analyzer is equal to only the DC component of the substrate electrode, a high-frequency component is perturbed between the substrate electrode and the energy analyzer, and the energy spectrum of the ions flying in the high-frequency electric field is perturbed by the high-frequency electric field. When a high-frequency potential is applied between the substrate electrode and the energy analyzer in this way, it is considered that the ions are perturbed and affect the spectrum shape. In the present invention, this problem is solved by setting both the DC potential and the high-frequency potential to the same potential, and an accurate ion spectrum can be obtained.
[0011]
BEST MODE FOR CARRYING OUT THE INVENTION
Embodiments of the present invention will be described below based on the embodiments shown in FIGS. 1 to 4 in the accompanying drawings.
[0012]
【Example】
FIG. 1 shows an embodiment of the present invention. Reference numeral 1 denotes a plasma generation chamber, in which a plasma generation electrode 2 is disposed. The plasma generation electrode 2 is provided via a matching box 3. It is connected to a high frequency power supply 4. A substrate electrode 5 is attached to the plasma generation chamber 1 through an insulator 6 so as to face the plasma generation electrode 2 in the plasma generation chamber 1. The substrate electrode 5 is provided with an opening 5a for attracting ions at a position in contact with the plasma generated in the plasma generation chamber 1, and is connected to a high frequency power source 9 for bias via a capacitor 7 and a matching box 8.
An energy analyzer 10 is disposed in the substrate electrode 5. The energy analyzer 10 includes a mesh electrode 10a for cutting ions having an energy lower than an ion energy level to be detected, an outer cylinder electrode 10b, and an inner cylinder electrode 10c. Each of these electrodes is connected to an ion energy control power supply 11.
Reference numeral 12 denotes a quadrupole mass analyzer, and each electrode is connected to a control power source 13 for mass analysis. Reference numeral 14 denotes an ion detector, which is inserted in the vacuum vessel 15 together with the mass analyzer 12. The vacuum vessel 15 is connected to the open end of the substrate electrode 5 via an insulator 16. In FIG. 1, reference numeral 17 denotes a photocoupler, reference numeral 18 denotes an optical fiber, reference numeral 19 denotes a measurement circuit, and reference numeral 20 denotes a sweep potential, which are connected as shown.
[0013]
The substrate electrode 5, the energy analyzer 10, and the mass analyzer 12 are connected to the floating potential side of the bias high-frequency power supply 9, and all operate based on the same potential. The control power supplies 11 and 13 of the energy analyzer 10 and the mass analyzer 12 are also connected through a battery (not shown, but actually, for example, 48 V), and are electrically separated from a general power supply line. Floating potential.
[0014]
In such a configuration, since the substrate electrode 5 and the energy analyzer 10 and the mass analyzer 12 have the same potential both in DC and in high frequency, they are incident on the substrate and pass through the opening 5a of the substrate electrode 5. The detected ions pass through the energy analyzer 10 and the mass analyzer 12 at the same potential as the substrate and are detected without any perturbation, so that the energy distribution of the ions is accurately calculated.
[0015]
2A and 2B show examples of the potential applied to the ion detector 14. FIG. A is an example of an applied potential when positive ions are detected, and B is an example of an applied potential when negative ions are detected. When negative ions are detected, ions are detected by changing the polarity of the ion energy control power supply 11 in FIG.
[0016]
FIG. 3 shows a measurement example of positive ions (Ar + ) incident on the substrate electrode 5 when a plasma is formed by applying 300 W of a high frequency of 13.56 MHz to the substrate electrode 5 alone. The pressure was 0.4 Pa, and Vdc at this time was about 400 V. An almost symmetrical energy spectrum distribution is obtained.
[0017]
By the way, the embodiment shown in FIG. 1 shows an example in which measurement is performed by attaching to a high-frequency power application electrode. However, the present invention can also be used in a case where a self-bias is generated by simply using a floating electrode without applying high-frequency power. In that case, if the high-frequency power source 9 for substrate bias and the matching box 8 in FIG. 1 are removed, positive and negative ions incident on the electrode at a floating potential without applying high-frequency power can be measured. Can be.
[0018]
FIG. 4 shows an embodiment in which a neutral molecule ionization chamber 21 is provided in front of the ion energy analyzer 10, and reference numeral 22 denotes an ionization power supply, which is connected as shown. Other configurations are substantially the same as those in FIG. 1 and are denoted by the same reference numerals. With this configuration, not only ions in the plasma but also neutral atoms and molecules including radicals can be measured.
[0019]
【The invention's effect】
As described above, according to the present invention, by keeping the substrate electrode, the ion energy analyzer and the mass analyzer at the same alternating electric field or high-frequency electric field, the type of ions incident on the high-frequency application substrate and the energy distribution thereof can be accurately determined. Measurement can be very effective in elucidating the physical and chemical mechanisms occurring on the substrate, especially in the reactive ion etching process used for processing semiconductors and electronic components. It can make a significant contribution to elucidating the mechanism.
[Brief description of the drawings]
FIG. 1 is a schematic configuration diagram showing one embodiment of the present invention.
FIG. 2A is a diagram showing a potential state at the time of detection of positive ions in the apparatus of FIG. 1;
FIG. 2B is a diagram showing a potential state at the time of detecting negative ions in the apparatus of FIG. 1.
FIG. 3 is a graph showing a measurement example of positive ions (Ar + ) incident on a substrate electrode.
FIG. 4 is a schematic configuration diagram showing another embodiment of the present invention.
FIG. 5 is a schematic configuration diagram illustrating an example of a conventional ion energy analyzer and a mass analyzer connected to a ground potential unit.
FIG. 6 is a schematic configuration diagram showing another conventional example.
FIG. 7 is a graph showing a calculation example of the behavior of ions in a sheath by an equation of motion.
FIG. 8 is a graph showing energy incident phase dependence of ions incident on a sheath.
FIG. 9 is a graph showing a calculation example of ion energy distribution under the same conditions as in FIGS. 7 and 8;
[Explanation of symbols]
1: Plasma chamber 2: Plasma generating high frequency coil 4: Plasma generating high frequency power supply 5: Substrate electrode 5a: Substrate electrode ion attraction opening 9: Substrate bias high frequency power supply 10: Ion energy analyzer 11: Ion energy control power supply 12: mass analyzer 13: control power supply for mass analysis 14: ion detector

Claims (2)

プラズマを発生させ、プラズマに接する開口を備えた基板電極にコンデンサを通して交番電力または高周波電力を印加し、基板電極の開口を通ってプラズマ中の正負のイオンをエネルギー分析器及び質量分析器に導き、イオンのエネルギー及び質量を分析するようにした方法において、基板電極、イオンエネルギー分析器及び質量分析器を同一の基板電位に保つことを特徴とする浮遊電位基板入射イオンのエネルギー及び質量分析法。Generating plasma, applying alternating power or high-frequency power through a capacitor to a substrate electrode having an opening in contact with the plasma, guiding positive and negative ions in the plasma to an energy analyzer and a mass analyzer through the opening of the substrate electrode, A method for analyzing the energy and mass of ions, wherein the substrate electrode, the ion energy analyzer and the mass analyzer are maintained at the same substrate potential . プラズマを発生させるプラズマ発生室と、プラズマ発生室中のプラズマに接する位置に配置され、プラズマ中の正負のイオンを通す開口を備えた基板電極と、基板電極の開口を通って入ってきたイオンのエネルギー及び質量をそれぞれ分析するエネルギー分析器及び質量分析器とを有し、イオンのエネルギー及び質量を分析するようにした装置において、エネルギー分析器及び質量分析器の制御電源の基準電位を接地電位ではなく浮遊状態に置かれたプラズマによって発生した自己バイアス電位及びコンデンサを通じて印加された重畳された高周波電位をもつ基板電極の電位に保持するように構成したことを特徴とする浮遊電位基板入射イオンのエネルギー及び質量分析装置。A plasma generation chamber for generating plasma, a substrate electrode disposed at a position in contact with the plasma in the plasma generation chamber, and having an opening through which positive and negative ions in the plasma pass, and a substrate electrode having an opening through the opening of the substrate electrode. In an apparatus having an energy analyzer and a mass analyzer for analyzing energy and mass, respectively, and analyzing the energy and mass of ions, a reference potential of a control power supply of the energy analyzer and the mass analyzer is a ground potential. Characterized in that it is configured to maintain the potential of a substrate electrode having a self-biased potential generated by a plasma placed in a floating state and a superimposed high-frequency potential applied through a capacitor, to a substrate electrode having a floating potential. And mass spectrometer.
JP07715996A 1996-03-29 1996-03-29 Method and apparatus for analyzing energy and mass of ions incident on a floating potential substrate Expired - Fee Related JP3550457B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP07715996A JP3550457B2 (en) 1996-03-29 1996-03-29 Method and apparatus for analyzing energy and mass of ions incident on a floating potential substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP07715996A JP3550457B2 (en) 1996-03-29 1996-03-29 Method and apparatus for analyzing energy and mass of ions incident on a floating potential substrate

Publications (2)

Publication Number Publication Date
JPH09265937A JPH09265937A (en) 1997-10-07
JP3550457B2 true JP3550457B2 (en) 2004-08-04

Family

ID=13626024

Family Applications (1)

Application Number Title Priority Date Filing Date
JP07715996A Expired - Fee Related JP3550457B2 (en) 1996-03-29 1996-03-29 Method and apparatus for analyzing energy and mass of ions incident on a floating potential substrate

Country Status (1)

Country Link
JP (1) JP3550457B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11557469B2 (en) 2019-03-25 2023-01-17 Atonarp Inc. Gas analyzer apparatus
EP4132228A1 (en) * 2020-03-31 2023-02-08 Atonarp Inc. Plasma generating device

Also Published As

Publication number Publication date
JPH09265937A (en) 1997-10-07

Similar Documents

Publication Publication Date Title
JP5015596B2 (en) Plasma processing system and method for measuring plasma boundary characteristics in the system
Cox et al. The use of Langmuir probes and optical emission spectroscopy to measure electron energy distribution functions in RF-generated argon plasmas
JP4931793B2 (en) Mass spectrometer focal plane detector assembly
US6885153B2 (en) Plasma processing apparatus and method
US7476849B2 (en) Technique for monitoring and controlling a plasma process
JPH10509557A (en) Method and apparatus for measuring ion flow in plasma
TW200806091A (en) Method and apparatus to detect fault conditions of a plasma processing reactor
JP2002203835A (en) Plasma processor
JP3550457B2 (en) Method and apparatus for analyzing energy and mass of ions incident on a floating potential substrate
JPH0654297B2 (en) Method for removing harmful charged particles from measuring cell of ICR spectrometer
KR20030051751A (en) A probe assembly for detecting an ion in a plasma generated in an ion source
Ingram et al. Design and use of a gridded probe in a low‐pressure rf argon discharge
JP3769341B2 (en) Method and apparatus for analyzing negative ions incident on substrate in etching plasma
JP6335376B1 (en) Quadrupole mass spectrometer and determination method for sensitivity reduction thereof
JPH11250854A (en) Analyzing method and device for incident ion on substrate in etching plasma
JP3774525B2 (en) Method and apparatus for measuring negative ions in plasma
JP7047935B2 (en) Mass spectrometer
JPH10228996A (en) Device for measuring plasma space electric potential
de Castro et al. End-point detection of polymer etching using Langmuir probes
JP3038828B2 (en) Plasma processing method
JP4642212B2 (en) Vacuum processing apparatus and vacuum processing method
Hebner et al. Overview of plasma diagnostic techniques
Ohmori et al. Time-resolved measurement of charging on hole bottoms of SiO2 wafer exposed to plasma etching in a pulsed two-frequency capacitively coupled plasma
JPH01100923A (en) Ion treating apparatus
JP2003068245A (en) Time-of-flight mass spectrograph having quantitative energy correcting function

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20031219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040106

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040330

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040426

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130430

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees