JP3436263B2 - 共形HリッチSi3N4層の形成方法 - Google Patents

共形HリッチSi3N4層の形成方法

Info

Publication number
JP3436263B2
JP3436263B2 JP2001223877A JP2001223877A JP3436263B2 JP 3436263 B2 JP3436263 B2 JP 3436263B2 JP 2001223877 A JP2001223877 A JP 2001223877A JP 2001223877 A JP2001223877 A JP 2001223877A JP 3436263 B2 JP3436263 B2 JP 3436263B2
Authority
JP
Japan
Prior art keywords
layer
depositing
precursor
conformal
rich
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001223877A
Other languages
English (en)
Other versions
JP2002110673A (ja
Inventor
クリストフ・バルサン
コリンヌ・ビューシェ
パトリック・ラファン
ステァーヌ・ティオリエール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2002110673A publication Critical patent/JP2002110673A/ja
Application granted granted Critical
Publication of JP3436263B2 publication Critical patent/JP3436263B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路
(IC)の製造に関し、詳細には、パターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法に関する。このような層は、エンベデッド・ダイナ
ミック・ランダム・アクセス・メモリ(EDRAM)お
よびシンクロナス・ダイナミック・ランダム・アクセス
・メモリ(SDRAM)シリコン・チップのデバイス接
合リークを低減させるための接合表面準位パッシベーシ
ョンに適する。
【0002】(同時係属特許出願) 「A multideposition sub-atmospheric chemical vapor
deposition (SACVD) reactor」、出願第004800
69.4号、2000年7月25日出願、継続中。
【0003】
【従来の技術】窒化シリコン(Si34)層の付着は、
ボーダレス(ドープト)・ポリシリコン・コンタクトの
製造プロセスにおいて、EDRAM/SDRAMシリコ
ン・チップの全体的な信頼性を害する電気故障(短絡、
オープン、または接合リーク)を防ぐために欠くことの
できない段階である。Si34層は、絶縁ゲート電界効
果トランジスタ(IGFET)の拡散(ソース/ドレイ
ン)領域と接触したドープト・ポリシリコン・プラグか
らゲート導体を分離するバリア、ならびにエッチ・スト
ップとして機能する絶縁スペーサを生み出す目的に広く
使用されている。
【0004】半導体集積回路の製造、具体的にはEDR
AM/SDRAMシリコン・チップでは、1つの転送I
GFETと1つのストレージ・キャパシタが関連づけら
れて、ワン・デバイス・メモリ・セルが形成される。ア
レイ領域のそれぞれのIGFETでは、ソースが、ビッ
ト線の一部を構成するドープト・ポリシリコン(または
金属)コンタクトに接続され、ドレインが、ストレージ
・キャパシタの一方の電極(ノード)に接続され、ゲー
ト導体が、(ビット線に対して直角に走る)ワード線を
形成する。拡散領域に対して作られたポリシリコン・コ
ンタクトとゲート導体とが電気的に短絡しないようにす
ることが最も重要である。実際、完全で信頼性の高い分
離は、IGFETの完全性、したがってメモリ・セルの
動作にとって必要不可欠である。ゲート導体は一般に、
ドープト・ポリシリコン/金属ケイ化物複合構造から成
る(好ましい金属はタングステンであり、そのため金属
ケイ化物はWSixのような組成を有する)。この完全
なる分離は、ゲート導体の上の保護キャップとゲート導
体(GC)側壁の絶縁スペーサとを形成する連続した誘
電材料によって達成される。この誘電材料は通常、Si
34である。
【0005】EDRAM/SDRAMシリコン・チップ
の最新世代では、スケーリング低減の影響により、ドラ
イ・エッチング・プロセスのウィンドウがコンスタント
に狭まり、その結果、拡散領域を露出させるコンタクト
・ホールの形成中にゲート導体の側壁が露出するという
深刻な危険が生じた。その結果、コンタクト・ホールに
導電材料を充てんして拡散領域とのコンタクトを形成す
るときにゲート導体間に電気故障が生じる深刻な危険が
生じる。この問題を解決し、当業界の信頼性仕様を満た
すため、最近になって「ボーダレス(borderless)」と
呼ばれる新しいコンタクト・ホール構造、およびこれを
効率的に製造するプロセスが開発された。
【0006】ボーダレス・ポリシリコン・コンタクトの
製造は今日、先進のEDRAM/SDRAMシリコン・
チップおよび後続世代のチップ(256Mbit以上)
の絶対要件のようになっている。具体的には、これには
2層のSi34層の付着を要する。1層は、絶縁スペー
サの形成に使用され、もう1層は後に、ボーダレス・コ
ンタクト・ホール形成中のバリアおよびエッチ・ストッ
プとして使用される。このプロセス段階は、少なくとも
2つの理由から大きな課題を有する。第1に、「オープ
ン」を回避して拡散領域との可能な最も低い電気抵抗を
保証し、かつ拡散領域とゲート導体との間の「短絡」を
回避しなければならない。第2には、接合リークの危険
を防止しなければならない。このような電気故障は、E
DRAM/SDRAMシリコン・チップの機能を害す
る。さらに、ボーダレス・ポリシリコン・コンタクトが
単純でかつ手頃なコストのプロセスによって製造される
と非常に望ましい。
【0007】以下に、従来のボーダレス・ポリシリコン
・コンタクト(CB)製造プロセスを図1および図2〜
7に関して説明する。全ての処理段階はいわゆるMEO
Lモジュールにおいて実施される(MEOLはMiddle E
nd of the Manufacturing Lineの略である)。図面に示
した諸層の尺度は必ずしも一定でないことを指摘してお
く。
【0008】図1に初期構造10を概略的に示す。この
構造は基本的に、厚さ4.5nmの酸化シリコン(Si
2)ゲート層12で覆われたP型にドープしたシリコ
ン基板11から成る。基板11のアレイ領域には、それ
ぞれのトレンチの中に2つのストレージ・キャパシタが
示されている。SiO2ゲート層12上には導電/絶縁
複合膜が形成されている。この導電/絶縁複合膜は例え
ば、リンをドープした厚さ80nmの下部ポリシリコン
層13、厚さ70nmのケイ化タングステン(WS
x)層14、および厚さ180nmのSi34キャッ
プ層15から成る。これらの3つの層を従来のドライ・
エッチング・プロセスを使用してパターニングすること
によってゲート導線16が形成され、そのためそれぞれ
のゲート導線16は、該ゲート導体の上方にSi34
ャップ15を含む。ゲート導体13/14の側壁を不活
性化して、後段の高温段階での望ましくない酸化を防ぐ
ために、最後に厚さ14nmの酸化層17が標準の熱酸
化によって形成される。図1から明らかなように、「ア
レイ」領域(ネスト領域)のゲート導線16の密度は、
「サポート」領域(分離領域)のそれよりも大きい。
【0009】さらに図1を参照すると、2つの拡散領域
18'および18"(一般に18)がそれぞれ、サポート
領域およびアレイ領域に示されている。これらは、フロ
ント・エンド・オブ・ライン(FEOL)モジュール中
でのイオン注入(領域18'に対してはヒ素またはホウ
素原子、領域18"に対してはリン原子)によって以前
に形成されたものである。
【0010】次に図2を参照する。従来のボーダレス・
ポリシリコン・コンタクト製造プロセスは、パターニン
グされた構造10の上面にLPCVDによって厚さ約3
0nmのSi34層19を共形に付着させて絶縁スペー
サを形成することから開始される。Si34材料層19
は例えば、東京エレクトロン社(TEL)(東京、日
本)製のツールであるTEL Fast Therma
l Ramp中で、NH 3/SiH2Cl2(ジクロロシ
ラン:略してDCS)ケミストリ(chemistr
y:化学作用)および下に挙げるプロセス・パラメータ
を使用して付着させることができる。 圧力: 150mTorr 温度: 780℃ NH3流量: 250sccm DCS流量: 50sccm 所要時間: 16分 ウェハ間隔: 約0.5cm(0.2インチ)
【0011】目標は、製品ウェハのサポート領域で測定
したときにゲート導線16の上面と側壁の両方で厚さ約
30nmを達成することにある。
【0012】Si34材料の付着後、異方性ドライ・エ
ッチング段階を実施してSi34層19をパターニング
し、GC線16の側壁に絶縁スペーサを形成させる。こ
のエッチング段階は、コンタクト・ホールの底でSiO
2ゲート層12の上面が露出したら直ちに停止する。こ
の段階は例えば、米カリフォルニア州サンタクララ(Sa
nta Clara)のアプライド・マテリアルズ社(Applied M
aterials Inc.)から市販されているツールであるAM
E5200リアクタのMxP+チャンバ中で、CHF3
/O2/CO2ケミストリを使用して、例えば以下の操作
条件で実施することができる。 圧力: 50mTorr 電力: 100W 温度(壁/カソード): 15/15℃ He冷却: 26Torr CHF3流量: 28sccm O2流量: 6sccm CO2流量: 75sccm Ar流量: 50sccm 所要時間: 75秒
【0013】生成されたSi34スペーサ19を図3に
示す。CB形成プロセスのこの段階で、ウェハを、楕円
偏光計を使用した膜厚測定にかける。このような測定
は、残ったSi34キャップ15およびSiO2ゲート
層12の厚さおよび均一性を評価するのに必要である。
次に、製品ウェハに対して標準のFM(Foreign Materi
al:異材)検査を実施する。最後に、大日本スクリーン
(京都、日本)製のツールであるDNSウェット・ベン
チ中で、従来の湿式プロセス(超音波を組み合せた脱イ
オン水洗浄)を使用した洗浄段階を実施する。
【0014】次にSi34スペーサ19を使用して、グ
ラウンドルール0.175μm以上の先進のEDRAM
/SDRAMシリコン・チップの製造で接合プロファイ
ルを滑らかにするのに必要な別の注入領域を自動的に画
定する。そのため、米カリフォルニア州サンタクララの
アプライド・マテリアルズ社製のツールであるPI95
00インプランタ中で浅いホウ素注入を実施する。この
段階に続いて、米カリフォルニア州パロアルト(Palo A
lto)のバリアン(VARIAN)社製のツールであるEXT
RIONインプランタ中でハロゲン化リン注入を実施し
て、サポート領域内にP型のIGFETのソース領域お
よびドレイン領域を作成する。ドーパントの均質性を高
めるために、例えば米カリフォルニア州サンホゼ(San
Jose)のSTEAG社製のAGツール中でRTAアニー
ルを実施する。次に、前述のPI9500インプランタ
中でリン原子の浅い注入を実施して、アレイ領域内にN
型のIGFETのソース領域およびドレイン領域を形成
する。これらの注入領域20'および20"(一般に2
0)を図3に示すようにサポート領域およびアレイ領域
にそれぞれ製造すると、グラウンドルール0.2μmの
標準EDRAM/SDRAMシリコン・チップの従来の
CB形成プロセスに複雑さが加わる。
【0015】Si34スペーサ19および注入領域20
を形成した後、米ペンシルベニア州ウェストチェスター
(West Chester)のコンティヌアス・フロー・マシーン
社(Continuous Flow Machine Inc,)製のツールである
CFMウェット・ベンチ中でのホワンの溶液(Huang so
lution)を使用した2段階プロセスでウェハを洗浄す
る。以下の操作条件が適当である。 SC1: H2O/NH4OH/H22: 80:1.
3:3.1(体積比)時間:2分 H2O流量(すすぎ): 約11リットル(3ガロン)
/分 時間:1分 SC2: H2O/HCl/H22: 80:2.2:
3.1(体積比) 時間:2分 H2O流量(すすぎ): 約11リットル(3ガロン)
/分 時間:1分 温度: 35℃
【0016】この洗浄段階に続いて、別のSi34層を
共形に付着させて構造10の上面を覆う。この層は、後
の処理段階における拡散バリアとエッチ・ストップの2
つの役割を有する。このSi34バリア層は、プラズマ
強化化学蒸着(PECVD)または低圧化学蒸着(LP
CVD)によって付着させることができる。
【0017】PECVD技法を使用する場合、付着は一
般に、アプライド・マテリアルズ社製のAME5000
リアクタ中で、SiH4/NH3ケミストリを使用して、
以下に挙げたプロセス・パラメータにしたがって実施さ
れる。 圧力: 5.75Torr 温度: 480℃ RF電力: 340ワット NH3流量: 0.015リットル/分 SiH4流量: 0.060リットル/分 N2流量: 4リットル/分 付着速度: 200nm/分
【0018】アレイ領域(ターゲット)のGC線16間
での厚さを少なくとも5nmとするためには、構造10
の上面に、製品ウェハで測定して厚さ25nmのSi3
4層を付着させる必要がある(これに対して実際に必
要な厚さは15nmである)。実際、このPECVDプ
ロセスは、パターン・ファクタの影響に非常に敏感であ
るため、非常に非共形の付着を与える。この5nmとい
う厚さは、付着Si34層の厚さをさらに増大させるこ
とによっては補正することができないことに留意された
い。これは、そうすることによってGC線の縦横比が増
大し、後段の誘電付着段階でGC線間の空間にBPSG
を適当に充てんすることが妨げられるからである。
【0019】代わりにLPCVD技法を使用する場合に
は、東京エレクトロン社(東京、日本)製のツールであ
るTEL Alpha 8s中で、NH3/DCSケミ
ストリおよび以下に挙げるプロセス・パラメータを使用
してSi34材料を付着させることができる。 圧力: 200mTorr 温度: 715℃ NH3流量: 250sccm DCS流量: 50sccm ウェハ間隔: 約0.5cm(0.2インチ) 付着速度: 1nm/分 所要時間: 3時間
【0020】共形性に劣るPECVDプロセスとは異な
り、LPCVD付着は、前述の膜厚不均一の問題を引き
起こさないが、別の不都合が生じることに留意された
い。
【0021】どちらかの技法によって得られるSi34
層を図4の21に示す。
【0022】次に、パッシベーション層間誘電(IL
D)材料、一般にBPSG(boro-phospho-silicate-gl
ass)を、米カリフォルニア州フリーモント(Fremont)
のラム・リサーチ(LAM RESEARCH)社から販売されてい
るツールであるLAM9800プラズマ・リアクタ中で
850℃のLPCVDによって付着させ、GC線16間
の空間を埋めるのに使用するBPSG層を形成する。そ
のケミストリは、共反応物であるO2と混合したホウ酸
トリエチル(TEB)、ホスフィン(PH3)およびオ
ルトケイ酸テトラエチル(TEOS)から成る。標準法
どおりキャリア・ガスはN2である。BPSG材料は、
それぞれ4.5%のホウ素およびリン濃度によって定義
される。次いで構造10を、ボイド生成を防ぐために8
50℃、20分のin−situリフロー・アニールに
かける。目標は、拡散/注入領域18/20の上方で厚
さ約65nm(製品ウェハで測定)のBPSG層を得る
ことである。このBPSG材料を、プレシジョン・マシ
ーナリ・グループ(PrecisionMachinery Group)(東
京、日本)によって製造されたツールであるEBARA
CEP 022ポリッシャ中で、標準の操作条件を用い
た化学機械研磨によって平坦化する。
【0023】厚さ制御はin−situで実施する。得
られる構造を図5に示す。平坦化後に残ったBPSG層
の部分は符号22で示されている。この段階に続いて、
汚染の低減を目的とした洗浄を、例えば前述のCFMツ
ール中で同じ操作条件を用いて実施する。
【0024】次に図6を参照する。TEOS SiO2
層23を構造10上にブランケット付着させる。この付
着は一般にPECVDによって実施され、例えば前述の
AME5000リアクタ中でTEOS/O2ケミストリ
を標準として使用して実施する。
【0025】目標は、構造10の上面で約510nm
(製品ウェハで測定)の厚さを達成することにある。ウ
ェハは、米ミネアポリス(Minneapolis)のフルオロウ
ェア・システム社(Fluoroware System Inc.)製の装置
であるFSIスプレー・ツール中で標準のプロセス・パ
ラメータを用いて洗浄する。
【0026】この最終洗浄段階に続いて、N2雰囲気中
で950℃、10秒のリフロー・アニールを実施する。
CBコンタクト製造プロセスのこの段階で、拡散領域1
8と注入領域20は一体化して単一の領域18/20と
なる。
【0027】標準のBARL(底部反射防止層)/フォ
トレジスト2重層から成るフォトレジスト・マスクの助
けを借りてアレイ領域にボーダレス・コンタクト・ホー
ルの位置を画定する。例えば、厚さ90nmのAR3
(米マサチューセッツ州マールバロ(Marlborough)の
シップレー(SHIPLEY)社製品)層および厚さ625n
mのM10G(日本合成ゴム(東京、日本)製フォトレ
ジスト)層はあらゆる点で適当である。これらの材料
は、東京エレクトロン((TEL)、東京、日本)製の
ツールであるTEL ACT8中で連続的に付着させ
る。次いでフォトレジスト層を、米コネチカット州ウィ
ルトン(Wilton)のシリコン・バレー・グループ(SILI
CON VALLEY GROUP:SVG)製のツールであるMicr
ascan III中で所望のマスク・パターンに基づ
いて露光し、先のTEL ACT8ツール中で現像す
る。重ね合せおよびコンタクト寸法をチェックする。次
に、一連の5つの段階に基づく異方性エッチングによっ
てシリコン基板11中の拡散領域18/20に達するボ
ーダレス・コンタクト(CB)ホールを形成する。これ
らの5つの段階はドライ・エッチャの同じチャンバ内で
実施され、したがってこのCBエッチングは完全なる統
合プロセスである。これらの5つの段階は例えば、東京
エレクトロン社製のツールであるTEL 85 DRM
プラズマ・エッチャ内で標準の操作条件で実施される。
これらには、AR3層(図6には示されていない)、T
EOS SiO2層23、BPSG層22、Si34
21、および最後にコンタクト・ホールの最下部のSi
2ゲート層12のエッチングが含まれる。
【0028】次に、リンをドープしたポリシリコンをコ
ンタクト・ホールに充てんしてコンタクト・プラグを形
成する。この段階は、米カリフォルニア州サンホゼのS
VG−THERMCO製のツールであるLPCVD V
TR7000縦型炉、またはアプライド・マテリアルズ
社製のSACVD Centuraリアクタ中で実施さ
れる。これで、従来のボーダレス・ポリシリコン(C
B)コンタクト製造プロセスは終了である。最終的な構
造を図7に示す。拡散領域18/20と接触したCBポ
リシリコン・プラグが符号24で示されている。標準の
製造プロセスでは、拡散領域18/20が、CBエッチ
ング中のシリコン基板の化学的腐食(「パンチスルー」
欠陥)および/またはイオン注入段階中の表面準位の変
化によって引き起こされるさまざまな接合リーク効果に
非常に敏感である。
【0029】PECVDによって付着させた層21のS
34材料のエッチングは、層厚が不均一であるにもか
かわらずSiO2ゲート層12のところで正確に止めな
ければならないため、極めて重要である。Si34層の
厚さがアレイ領域のネスト領域で5nmと薄いため、S
34エッチング・ケミストリがその下のSiO2材料
に達したことを検出するのは非常に難しい。Si34
ッチング・ケミストリを用いたエッチングが過度である
場合には、オーバエッチの影響が大きく、パンチスルー
欠陥およびCBコンタクトとGC導体の間の短絡が生じ
る(スペーサの完全性が低下するためである)。反対
に、Si34エッチングの停止が早すぎると、エッチン
グされなかったSi34が残り、そのため、SiO2
ッチング・ケミストリでコンタクト・ホールの底のSi
2材料が完全には除去されず、「オープン」型の欠陥
(コンタクトの抵抗が高すぎる)が生じる。Si34
21は、TEOS/BPSG2重層23/22をエッチ
ングするプロセスに耐え、一方で、ボーダレス・コンタ
クト・ホール形成プロセスの間、Si34キャップ15
の完全性を維持しなければならない。TEOSおよびB
PSGのエッチング段階では、層21のSi34材料、
スペーサ19およびキャップ15の完全性を保証するた
め、構造10のパターニングされた表面および平らな表
面で6:1(対Si34)を超える選択性が必要であ
る。エッチング・ケミストリは、層21のSi34材料
を異方的に除去するように適合されるが、エッチングを
SiO2ゲート層12の上面で確実に停止させるために
は、Si34層21の厚さを少なくとも15nmとする
ことが不可欠である。
【0030】PECVD技法のこれらの不都合を図8を
参照して説明する。この図は、シリコン・ウェハの「ア
レイ」領域と「サポート」領域をより明確に区別するた
めに図4に示した製造段階の構造10をより詳細に示し
たものである。従来のPECVDプロセスでは、コンタ
クト・ホールの底のSi34層21の厚さの均一性の差
が、(アレイ領域の)ネスト領域に位置する狭い空間と
(サポート領域の)分離領域に位置する広い空間との間
で約75%にもなる。図8から明らかなように、第1の
ケースではSi34層21の厚さが約5nmであり、そ
れに対して第2のケースでは約25nmである。ボーダ
レス・コンタクト・ホール形成中の良好なエッチ・スト
ップ・バリアを保証するには、ネスト領域の5nmとい
う厚さは十分ではない。層21のSi34材料をエッチ
ングすると、コンタクト・ホールの底のいわゆる作用面
積(AA)にパンチスルー欠陥(図8には示されていな
い)が生じる。しかし、PECVDによって付着させた
Si34材料の別の特殊性は、それぞれSiH4ケミス
トリの非常に低い付着温度(480℃)と非常に高い付
着速度(200nm/分)の直接の結果である、水素原
子とピンホールの高い含有量(それぞれ図8のHと25
参照)である。PECVDによって付着させたSi34
層は、後段のアルミニウム・メタラジ(例えばワード
線)のアニール中に水素原子源の働きをするだけでな
く、水素原子をよく通し、そのため、この付着技法は、
シリコン基板表面の拡散領域を不活性化するのに実際に
有利である。
【0031】反対に、LPCVD技法は、非常に共形な
Si34材料付着を与えるが、別の欠点がある。図9か
ら明らかなように、アレイ領域のネスト領域とサポート
領域の分離領域との間に実質的な厚さの差はなく、その
ため、ネスト領域のSi34層21の厚さは、バリアと
しての役割を果たすのに十分である。ウェハ全体にわた
るこの非常に望ましい厚さの均一性のため、Si34
21の厚さを12nmまで薄くすることができる。この
薄さのおかげで、ボーダレス・コンタクト・ホール形成
中に実施される選択エッチング中のSi34層21の効
率は大幅に向上し、BPSG充てん縦横比は小さくな
る。その結果、プロセス・ウィンドウも改善される。し
かし残念なことに、LPCVDによって付着させたSi
34層の水素原子濃度およびピンホール密度は、PEC
VDによって付着させたSi34層に比べてはるかに低
い。(IGFETの実効チャネル長Leffを仕様内に維
持するのに決定的な)サーマル・バジェットを考慮する
と、付着温度を前述の715℃よりも高めることは躊躇
され、そのため、ピンホール形成を妨げる低い付着速度
を選択せざるを得ない。一方、PECVDプロセスで使
用したSiH4/NH3ケミストリは、Si34層21の
厚さが不均一になるために選択することができない。そ
のため、この特定のLPCVD作業条件(ホット・ウォ
ール・リアクタ)に対してはNH3/DCSケミストリ
のほうが好ましい。しかしこのケミストリを用いると、
その化学的メカニズムに参加する水素原子の総量が限定
され、これによって取り込まれる水素原子の数が付着温
度を下げるよりもはるかに減る。LPCVDプロセス
は、PECVDプロセスよりも接合リーク(逆バイアス
接合)を劣化させることがパラメトリック・インライン
試験によって示されている。ボーダレス・ポリシリコン
・コンタクト製造プロセスのこの段階で、接合リークは
解消されない。しかし、水素雰囲気で実施されるアルミ
ニウム・メタラジ・アニールの後、アルミニウム・ワー
ド線表面で水素原子が単原子の形に解離して、この接合
リークを大幅に改善する。
【0032】要するに、図2〜7を参照して説明した従
来のボーダレス・ポリシリコン・コンタクト製造プロセ
スに不可欠のSi34層付着段階は、使用する付着技法
にかかわりなく満足のゆくものではない。
【0033】1.PECVDの場合、Si34エッチン
グ段階が、アレイ領域のネスト領域のコンタクト・ホー
ルの底のSiO2ゲート層の表面で正確に止まらない。
そのため、このオーバエッチの間に、隣接したGC線間
の「短絡」、および製造歩留りの主要な問題である前述
のパンチスルー欠陥を引き起こす、Si34層が薄い
(5nm)コンタクト・ホールの底のシリコン基板の腐
食の深刻な危険が生じる。
【0034】2.LPCVDの場合には、厚さの均一性
が良好なため、エッチングがSiO 2ゲート層でうまく
止まる可能性が高い(しかしオーバエッチが不十分な場
合、コンタクト・ホールの底での「オープン」の深刻な
危険がある)。さらに、LPCVDプロセスでは、付着
したSi34膜の水素原子含有量が低く、かつSi34
膜が水素原子を実質的に通さないため、接合表面準位の
変化によって修正不能の接合リークが生じる(この現象
は、PECVDによって付着させたSi34膜でも起こ
ると考えられるが、後段のアルミニウム・メタラジ・ア
ニールで大幅に修正される)。同様に、これらの欠陥は
製造歩留りの低下要因である。
【0035】したがって、理由は異なるが、上記の従来
のSi34バリア層付着プロセスはいずれも製品製造歩
留りに関して受け入れることができない。
【0036】
【発明が解決しようとする課題】したがって本発明の第
1の目的は、パターニングされた構造上に共形Hリッチ
Si34層を付着させる改良式の方法を提供することに
ある。
【0037】本発明の他の目的は、パターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法であって、特に、先進のEDRAM/SDRAMシ
リコン・チップ製造によく適合した方法を提供すること
にある。
【0038】本発明の他の目的は、パターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法であって、アレイ領域、サポート領域を問わず、ウ
ェハ全体にわたって付着層の厚さが均一となる方法を提
供することにある。
【0039】本発明の他の目的は、パターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法であって、付着層の厚さが集積密度(パターン・フ
ァクタ)とは独立に均一である方法を提供することにあ
る。
【0040】本発明の他の目的は、パターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法であって、処理段階によって引き起こされた接合表
面準位の変化が、このような層の水素原子を供給する能
力および水素原子に対する透過性によって補正される方
法を提供することにある。
【0041】本発明の他の目的は、パターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法であって、電気故障(短絡、オープンおよび接合リ
ーク)の危険なしに、拡散領域との間にボーダレス・ポ
リシリコン・コンタクトを形成することができる方法を
提供することにある。
【0042】本発明の他の目的は、パターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法であって、ウェハ全体に絶対の確実性でボーダレス
・コンタクト・ホールを開口し、これによって製造歩留
りを安定した高いレベルに維持することができる方法を
提供することにある。
【0043】本発明の他の目的は、ボーダレス・ポリシ
リコン・コンタクトの製造においてパターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法であって、拡散領域の広がりを防いでIGFET実
効チャネル長Leffを一定に保つためにサーマル・バジ
ェットを最小化する方法を提供することにある。
【0044】本発明の他の目的は、パターニングされた
構造上に共形HリッチSi34層を付着させる改良式の
方法であって、先進のEDRAMシリコン・チップにボ
ーダレス・ポリシリコン・コンタクトを製造するプロセ
スの決定的に重要なパラメータである付着サイクル時間
を短縮する方法を提供することにある。
【0045】
【課題を解決するための手段】これらの目的および関連
したその他の目的は第1に、パターニングされた構造上
に共形HリッチSi34層を付着させる本発明の第1の
実施形態に基づく改良された方法によって達成される。
この方法は、 a)ゲート導体(GC)線がその上に形成された薄いS
iO2ゲート層で覆われ、隣接する2本のGC線間に形
成された少なくとも1つの拡散領域を有するシリコン基
板から成るパターニングされた構造を用意する段階と、 b)前記構造上に共形HリッチSi34層を、高速熱化
学蒸着(RTCVD)リアクタ中でSi前駆体ベースの
ケミストリを使用して温度600〜950℃、圧力50
〜200Torrの範囲で付着させる段階とを含む。
【0046】本発明はさらに、パターニングされた構造
上に共形HリッチSi34層を共形に付着させる本発明
の第2の実施形態に基づく改良された方法を含む。この
方法は、 a)ゲート導体(GC)線がその上に形成された薄いS
iO2ゲート層で覆われ、隣接する2本のGC線間に形
成された少なくとも1つの拡散領域を有するシリコン基
板から成るパターニングされた構造を用意する段階と、 b)前記構造上に共形HリッチSi34層を、低圧化学
蒸着(LPCVD)炉中でSi前駆体ベースのケミスト
リを使用して温度640〜700℃、圧力0.2〜0.
8Torrの範囲で付着させる段階とを含む。
【0047】最後に本発明はさらに、シリコン基板中の
拡散領域との間にボーダレス・ポリシリコン・コンタク
トを製作する改良された方法を含む。この方法は、 a)ゲート導体(GC)線がその上に形成された薄いS
iO2ゲート層で覆われたシリコン基板から成る構造で
あって、前記ゲート導線の導電部分の側面が薄いSi3
4スペーサによって覆われ、その上面部分がSi34
キャップによって覆われ、これによって前記ゲート導線
が完全に分離され、前記基板中に形成された少なくとも
1つの拡散領域が隣接する2本のGC線間に露出した構
造を用意する段階と、 b)前記構造上に共形HリッチSi34層を、高速熱化
学蒸着(RTCVD)リアクタ中でSi前駆体ベースの
ケミストリを使用して温度600〜950℃、圧力50
〜200Torrの範囲で付着させるか、または低圧化
学蒸着(LPCVD)炉中でSi前駆体ベースのケミス
トリを使用して温度640〜700℃、圧力0.2〜
0.8Torrの範囲で付着させる段階と、 c)前記構造上にBPSG材料の層を過剰に付着させ
て、前記GC線間の空間を埋める段階と、 d)前記BPSG材料を化学機械研磨によって平坦化し
て、ほぼ前記Si34キャップの表面まで前記BPSG
を除去する段階と、 e)前記構造上にTEOS SiO2パッシベーション
層を付着させる段階と、 f)コンタクト・ホールの位置が露出するようにフォト
リソグラフィ・マスクを画定する段階と、 g)前記TEOS SiO2、BPSG、Si34およ
びSiO2材料を順番に異方的にドライ・エッチングし
て、前記拡散領域を露出させ、前記コンタクト・ホール
を形成する段階と、 h)ドープト・ポリシリコンを付着させて、前記コンタ
クト・ホールを埋め、前記拡散領域との間に前記ボーダ
レス・ポリシリコン・コンタクトを形成する段階とを含
む。
【0048】上記の方法は、製品信頼性(より低い接触
抵抗、より大きなプロセス・ウィンドウ、等々)、スル
ープット向上およびプロセス・フローの簡略化に関して
重大な利点を有する。
【0049】
【発明の実施の形態】次に、ボーダレス・ポリシリコン
・コンタクト製造プロセスにおいて共形HリッチSi3
4層を形成する本発明に基づく改良された方法を説明
する。この方法は、図4を参照して先に説明したPOR
PECVDおよびLPCVD付着技法にとってかわる
ことを目的としたものである。このような層は、ボーダ
レス・コンタクト・ホール形成中にバリアの役割を完全
に果たし、かつ良好なエッチ・ストップ層として機能す
る能力を有し、同時にGC線16の側壁の完全性を維持
する。さらにこの層は、後段のアルミニウム・メタラジ
・アニール中に水素原子を供給し、かつ水素原子を通過
させる。その結果、サーマル・バジェットはできる限り
低く保たれる。言い換えると、本発明の方法は、先に説
明したPOR PECVD技法とPOR LPCVD技
法の利点を、それぞれの不都合な点は含めずに結合する
ことを目指したものである。
【0050】好ましい第1の実施形態 共形HリッチSi34バリア層を付着させるこの方法
は、POR PECVDのSiH4/NH3ケミストリお
よびPOR LPCVDの高温に基づき、高圧で付着を
実施する発明者らが開発した特定の操作条件を使用す
る。付着を高温で実施するため、ラン・タイムをできる
だけ短くすることが不可欠である。その結果、低いサー
マル・バジェットおよび拡散領域18/20を形成する
ドーパント拡散キネティックス(kinetics)が達成さ
れ、そのため、IGFETの実効チャネル長Leffおよ
び拡散領域接合抵抗が不利な影響を受けない。したがっ
て、ポリシリコンまたはケイ化タングステンの付着に対
してのみ知られ、発明者らの知りうる限りではSi34
材料に対しては知られていない高速熱CVD(RTCV
D)技法(サブ・アトモスフェリックCVDまたは縮め
てSACVDとも呼ばれる)を使用することにした。例
えば、ポリシリコン付着に対して先に述べたAMESA
CVD/RTCVD Centuraツールを、このS
34付着のニーズを満たすように適合させることがで
きる。したがってこの市販のコールド・ウォール枚葉式
リアクタを、新しいガス・ライン(NH3、N
3、...)を実装するように変更した。さらに、付
着したSi34材料の再現性を得るため、参照によって
本明細書に組み込まれる同時係属特許出願に記載した詳
細な記述に基づいて新しいサセプタ調整を定義した。
【0051】サセプタはカーボンから作られており、リ
アクタの石英壁およびサセプタに付着したSi34材料
を除去することができる好ましい洗浄用化合物であるN
3はカーボンに対して非常に攻撃的であることが知ら
れているため、サセプタの調整が必要である。NF3
対するカーボン・サセプタの保護はまず、SiH2Cl 2
(DCS)ケミストリを用いてサセプタの底面に実施さ
れたポリシリコンのコーティング(厚さ約4μm)によ
って保証される。実際、このコーティングは2つの役割
を果たす。すなわち、このコーティングはサセプタの底
面を保護するだけでなく、その放射率の程度によってそ
の温度を決定することもできる。次いで、SiH4ケミ
ストリを用いてサセプタの上面に別のポリシリコン・コ
ーティング(厚さ約1.5μm)を実施する。こうする
ことによって、このカーボン・サセプタをAME Ce
nturaツール中でのSi34付着に使用することが
できる。
【0052】多数のウェハをチャンバ内で処理すると、
仕様から外れてしまう。そのため、チャンバのin−s
itu洗浄が必要である。以下のシーケンスが適当であ
る。まず、NF3洗浄を実施して、リアクタのコールド
・ウォールおよびサセプタに付着したSi34材料を除
去する。ポリシリコン・コーティングは損傷しているた
め、次いでHCl洗浄を実施してこれを完全に除去し、
次いで、先に説明した保護手順を再び繰り返し、一連の
新たなラン(run)に備えてサセプタを準備する。
【0053】こうすることによって、SACVD Ce
nturaツールを、SiH4ベースのケミストリを用
いて温度600〜950℃、圧力50〜200Torr
の範囲で使用することができる。
【0054】詳細には、AME Centuraツール
をSiH4/NH3ケミストリとともに使用するときに
は、温度を785℃、圧力を90Torrに設定するこ
とによって、Si34バリア層21の期待される特性を
十分に得ることができる。必須の作業条件は以下のとお
りである。 圧力: 90Torr 温度: 785℃ SiH4流量: 0.2リットル/分 NH3流量: 3リットル/分 N2(キャリア)流量: 10リットル/分 付着速度: 90nm/分 所要時間: 3分
【0055】10回のRTCVDランごとに、ブランケ
ット・ウェハ上でSi34層21の厚さおよび反射率を
監視する。ウェハの785℃への暴露は数分(このケー
スでは3分)に制限し、これによって拡散領域18/2
0の広がり、したがって実効チャネル長の変化を防ぐ。
最終的に、アレイVTシフト異常が最小化される。
【0056】好ましい第2の実施形態 LPCVD機器(ホット・ウォール・ウェハ・バッチ・
リアクタである)を使用することもできる。このような
バッチ炉では、付着温度を700℃未満に下げ、全圧を
約0.5Torrに上げ、気相のSiH2Cl2反応物を
3:1の比まで富化することによって、標準のNH3/S
iH2Cl2(DCS)ケミストリでも、SiH4/NH3
ケミストリを用いて得られた結果に非常に近い期待され
た結果が得られた。しかし、NH3/DCS混合物中の
DCS反応物は約1:1(好ましい比率)の比まで高め
ることができる。
【0057】前述のTEL Alpha 8sツールを
使用する場合には以下の作業条件が適当である。 圧力: 0.5Torr 温度: 650℃ NH3流量: 0.120リットル/分 DCS流量: 0.120リットル/分 付着速度: 0.7nm/分 ウェハ間隔: 約0.5cm(0.2インチ) 所要時間: 3時間
【0058】この新しいLPCVD作業条件は、先に述
べたSi34バリア層の望ましい特性を満たす。この層
は共形、すなわちウェハ全体に渡って均一な厚さを有
し、したがって良好なエッチ・ストップを形成し、また
十分な量の水素原子を含む。
【0059】このLPCVDプロセスの非常に低い付着
速度(約0.7nm/分)は、サイクル・タイムにかな
り影響するが、たとえこれがOEM製造(例えばEDR
AMチップ)に対しては不利であっても、SDRAMチ
ップの製造においては大量生産であるので非常に有利で
ある。同じ作業条件では、SiH4/NH3ケミストリの
ほうが付着速度は高いが、Si34付着材料中に応力お
よび厚さの不均一を誘発するためバッチ炉では推奨され
ない。付着技法にかかわりなく、非常に共形なHリッチ
Si34層を、図10に示すようにネスト領域と分離領
域の間で重大な差を生じることなく得ることができる。
実際、製品ウェハに対して両方の技法を使用して、接合
リークに関して同等の結果が得られた。
【0060】3元NH3/SiH4/DCS混合物など、
他のケミストリを使用することもできる。同様に、本発
明の方法に基づいてさらにSiONなどの他の誘電材料
も付着させることもできる。
【0061】その解離が主にウェハ表面の近くで起こる
遊離基を与える反応物分解が水素原子のSi34層中へ
の取込みを促進すると考えると、本発明の根底にあるメ
カニズムを理解することができる。最も優勢な水素原子
前駆体を識別するためにSIMS、IRおよびFTIR
分析を用いてこのメカニズム仮説を検証した。
【0062】図11に、仏クールブボワ(Courbevoie)
のカメカ(CAMECA)社製のツールであるIMS 6Fを
使用し以下の操作条件で得たSIMSの結果を示す。 脱ガス: 12時間 真空レベル: 1×10-10Torr 電流: 10nA スキャン: 100μm
【0063】このグラフは、サンプル厚さTh(Å)の
関数として水素原子濃度[H]を正規化カウント数毎秒
(c/s)で示し、Si34付着材料中の水素原子の量
を例示する。図11を参照する。曲線26および27は
それぞれ、従来技術のPORPECVDおよびLPCV
Dプロセスを用いて得られた結果を示す。一方、曲線2
8および29はそれぞれ、本発明の方法に基づくRTC
VDおよびLPCVDプロセスを用いて得られた結果を
示す。2組の曲線の全体的な様相が異なるのは、異なる
厚さのサンプルを実験で使用したためである。POR
LPCVDプロセスと本発明のLPCVDプロセスの間
の改善は、曲線27と29の比較から明らかである。P
OR PECVDとRTCVDプロセス(曲線26と2
8)の間の改善はそれほどではない。これは、この点に
関してはPOR PECVDプロセスが元々、非常に良
好であるためである。
【0064】下表Iは、仏ボワコロンブ(Bois-Colombe
s)のソプラ(SOPRA)社から市販されているGESP
5 DUVNIR(深紫外近赤外ゴニオ−スペクトロ楕
円偏光計)で以下の操作条件を用いて得た楕円偏光IR
の結果を示す。 スペクトル・ドメイン: 193nm〜900nm
(6.224eV〜1.524eV) 入射角: 65°および75° 試験面積: ウェハの中央の数mm2 ステップ: 0.05eV 含まれる水素原子濃度(体積%)を定量化(相対モー
ド)するため、酸素を含まない膜に対して有効なBEM
A(Bruggemann Effective Medium Approximation)を
使用して、Si34層21の厚さおよび屈折率を再計算
した。
【0065】
【表1】
【0066】予想に反して、水素原子濃度[H]に関す
るPOR PECVD、RTCVDおよびLPCVDプ
ロセスの結果は同様であり、先のSIMS測定とは完全
には一致しない。これはおそらく、SIMS分析技法よ
りも不正確なBEMA法の近似を使用したことによる。
一方、FTIR測定は、水素原子の起源(SiH4また
はNH3)を理解するのに重要である。N−H、Si−
H、...等の結合の波数を下表IIに示す。
【0067】
【表2】
【0068】図12は、NH3/DCSケミストリを使
用したPOR LPCVDプロセスのFTIRスペクト
ルを示すグラフである。図12は、波数λ(cm-1)の
関数としてピーク強度Iを示し、水素原子がどの化合物
に結びついているかを示す。図12から明らかなよう
に、このFTIR測定は、NH3前駆体に由来する水素
結合に対応する1つの吸収ピークだけを示した(334
2cm-1のところのピークN−H参照)。もう1つの前
駆体DCSからのSi−H結合に対応するピークは観察
されなかった。
【0069】図13は、NH3/SiH4ケミストリを使
用したPOR PECVDプロセスのFTIRスペクト
ルを示すグラフである。図13は、波数の関数としてピ
ーク強度Iを示し、水素原子がどの化合物に結びついて
いるかを示す。このときFTIR測定は、NH3前駆体
(3342cm-1のピークN−H参照)およびSiH4
(2189cm-1のピークSi−H参照)に由来する水
素結合に対応する2つの吸収ピークを示した。
【0070】図14は、RTCVD(ないしSACV
D)に基づくNH3/SiH4ケミストリを用いた本発明
の第1の実施形態のFTIRスペクトルを示すグラフで
ある。図14は、図13と同様の結果を示す。これは、
このプロセスが、POR PECVDプロセスと同じケ
ミストリを使用しているためにPOR PECVDプロ
セスと同じ程度の水素原子を取り込むためである。ただ
しこのプロセスのほうがはるかに共形である。
【0071】図15は、本発明の方法の第2の実施形態
のFTIRスペクトルを示すグラフである。図15は、
やはり波数の関数としてピーク強度を示し、水素原子が
どの化合物に結びついているかを示す。FTIR測定
は、N−H結合に対応するピーク(3342cm-1)の
他に、2189cm-1のところに、DCS前駆体のSi
−H結合に対応する新しい吸収ピークを示した。
【0072】結論すると、さまざまな化学分析技法(S
IMS、IRおよびFTIR)によって得られた結果に
よれば、Si34層中への水素原子の変動は主にSi前
駆体によって決まる。HリッチSi34層を生成するた
めには、SiH4はDCS(SiH2Cl2)よりも有利
に見え、この作業では試験しなかったTCS(SiCl
4)よりも確実に有利に見える。水素原子取込みの速度
はSi前駆体分子のH/Cl比の関数として変動し、圧
力、温度およびガス流量に関して非常に異なる、使用ケ
ミストリ(NH3/SiH4またはNH3/DCS)の作
業条件には無関係である。DCSの代わりにSiH4
使用すると、気相中、したがってSi3 4層中のSiH
遊離基が増加する。これは、SiH遊離基が、DCS
(またはTCS)の解離に由来する塩素と再結合してH
Clガスを形成することがないためである。
【0073】第2の実施形態(LPCVD)の特約の場
合に、DCSの使用にもかかわらず水素原子濃度が増大
したのは、2つの寄与因子、すなわち低温とDCSに富
む気相によるものである。製造上のニーズ(サイクル・
タイム、コスト、...)を満たす受け入れ可能な付着
速度を得るためには、より高い圧力が必要である。な
お、DRAMの大量生産に対しては、第2の実施形態の
作業条件のほうが安上がりである。
【0074】PORプロセスと比較するため、図16お
よび17に、製品ウェハを用いて本発明の2つの実施形
態で得られた結果を示す。
【0075】図16は、パンチスルー欠陥によって生じ
た異なるロットのウェハに属するN型のIGFETの接
合リーク電流Il(nA)を示すグラフである。リーク
電流は、POR PECVD技法で処理した3つのロッ
ト(PP1〜PP3)、および本発明の方法に基づくR
TCVDおよびLPCVD技法でそれぞれ処理した4つ
のロット(IR1〜IR4およびIL1〜IL4)に対
して示されている。図16から明らかなように、後者の
ケースでは、接合リーク電流がPOR PECVDを用
いた場合よりも有意に低く、CBエッチング中のシリコ
ンの腐食を防ぐ本発明の役割を証明している。
【0076】図17は、接合表面準位欠陥による異なる
ロットの製品ウェハのN型のIGFETの接合リークL
j(fA/μm)を示すグラフである。接合リークは、
POR LPCVD技法で処理した4つのロット(PL
1〜PL4)ならびに本発明の方法に基づくRTCVD
およびLPCVD技法でそれぞれ処理した2つのロット
(IR'1、IR'2およびIL'1、IL'2)に対して
示されている。図17から明らかなように、後者の2つ
のケースでは、接合リークがPOR PECVDを用い
た場合よりも有意に低く、表面準位を不活性化する本発
明の役割を証明している。最後に、しばしば高速反応に
由来するピンホールの数は、PECVD技法に比べて少
ない。これは、相対的に高い付着温度が、ウェハ表面へ
の水素原子の移行に有利であるためである。DCSを使
用するLPCVD技法では、取り込まれる水素原子の量
は低くなるが、接合リークを解消するのには十分であ
る。
【0077】結論として、256MビットDRAMチッ
プで実施した電気測定によれば、共形HリッチSi34
バリア層が、各種接合リーク問題を解決し、SDRAM
デバイス特性を最適化することが明らかである。これ
は、両方の実施形態の総サーマル・バジェットが軽減さ
れるためである。
【0078】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0079】(1)パターニングされた構造上に共形H
リッチSi34層を付着させる改良式の方法であって、 a)ゲート導体(GC)線がその上に形成された薄いS
iO2ゲート層で覆われ、隣接する2本のGC線間に形
成された少なくとも1つの拡散領域を有するシリコン基
板から成るパターニングされた構造を用意する段階と、 b)前記構造上に共形HリッチSi34層を、高速熱化
学蒸着(RTCVD)リアクタ中でSi前駆体ベースの
ケミストリを使用して温度600〜950℃、圧力50
〜200Torrの範囲で付着させる段階とを含む方
法。 (2)前記Si前駆体ベースのケミストリがSiH4
ある、上記(1)に記載の方法。 (3)前記Si前駆体ベースのケミストリがSiH4
NH3混合物である、上記(1)に記載の方法。 (4)前記付着が、AME Centuraツール中
で、NF3から保護されたカーボン・サセプタおよび以
下の操作条件を用いて実施される、上記(3)に記載の
方法。 圧力: 90Torr 温度: 785℃ SiH4流量: 0.2リットル/分 NH3流量: 3リットル/分 N2流量: 10リットル/分 付着速度: 90nm/分 (5)パターニングされた構造上に共形HリッチSi3
4層を付着させる改良式の方法であって、 a)ゲート導体(GC)線がその上に形成された薄いS
iO2ゲート層で覆われ、隣接する2本のGC線間に形
成された少なくとも1つの拡散領域を有するシリコン基
板から成るパターニングされた構造を用意する段階と、 b)前記構造上に共形HリッチSi34層を、低圧化学
蒸着(LPCVD)炉中でSi前駆体ベースのケミスト
リを使用して温度640〜700℃、圧力0.2〜0.
8Torrの範囲で付着させる段階とを含む方法。 (6)前記Si前駆体ベースのケミストリがDCSであ
る、上記(5)に記載の方法。 (7)前記Si前駆体ベースのケミストリがNH3/D
CS混合物である、上記(5)に記載の方法。 (8)前記付着が、TEL Alpha 8sツール中
で、以下の操作条件を用いて実施される、上記(6)に
記載の方法。 圧力: 0.5Torr 温度: 650℃ NH3流量: 0.120リットル/分 DCS流量: 0.120リットル/分 付着速度: 0.7nm/分 (9)前記Si前駆体ベースのケミストリがNH3/S
iH4/DCS混合物である、上記(5)に記載の方
法。 (10)シリコン基板中の拡散領域との間にボーダレス
・ポリシリコン・コンタクトを製作する改良式の方法で
あって、 a)ゲート導体(GC)線がその上に形成された薄いS
iO2ゲート層で覆われたシリコン基板から成る構造で
あって、前記ゲート導線の導電部分の側面が薄いSi3
4スペーサによって覆われ、その上面部分がSi34
キャップによって覆われ、これによって前記ゲート導線
が完全に分離され、前記基板中に形成された少なくとも
1つの拡散領域が隣接する2本のGC線間に露出した構
造を用意する段階と、 b)前記構造上に共形HリッチSi34層を、高速熱化
学蒸着(RTCVD)リアクタ中でSi前駆体ベースの
ケミストリを使用して温度600〜950℃、圧力50
〜200Torrの範囲で付着させるか、または低圧化
学蒸着(LPCVD)炉中でSi前駆体ベースのケミス
トリを使用して温度640〜700℃、圧力0.2〜
0.8Torrの範囲で付着させる段階と、 c)前記構造上にBPSG材料の層を過剰に付着させ
て、前記GC線間の空間を埋める段階と、 d)前記BPSG材料を化学機械研磨によって平坦化し
て、ほぼ前記Si34キャップの表面まで前記BPSG
を除去する段階と、 e)前記構造上にTEOS SiO2パッシベーション
層を付着させる段階と、 f)コンタクト・ホールの位置が露出するようにフォト
リソグラフィ・マスクを画定する段階と、 g)前記TEOS SiO2、BPSG、Si34およ
びSiO2材料を順番に異方的にドライ・エッチングし
て、前記拡散領域を露出させ、前記コンタクト・ホール
を形成する段階と、 h)ドープト・ポリシリコンを付着させて、前記コンタ
クト・ホールを埋め、前記拡散領域との間に前記ボーダ
レス・ポリシリコン・コンタクトを形成する段階とを含
む方法。
【図面の簡単な説明】
【図1】ボーダレス・ポリシリコン・コンタクト(C
B)製造プロセスの初期段階の半導体構造を示す図であ
る。
【図2】従来のボーダレス・ポリシリコン・コンタクト
(CB)製造プロセスの一必須段階を経た図1の構造を
示す図である。
【図3】従来のボーダレス・ポリシリコン・コンタクト
(CB)製造プロセスの次の必須段階を経た図2の構造
を示す図である。
【図4】従来のボーダレス・ポリシリコン・コンタクト
(CB)製造プロセスの次の必須段階を経た図3の構造
を示す図である。
【図5】従来のボーダレス・ポリシリコン・コンタクト
(CB)製造プロセスの次の必須段階を経た図4の構造
を示す図である。
【図6】従来のボーダレス・ポリシリコン・コンタクト
(CB)製造プロセスの次の必須段階を経た図5の構造
を示す図である。
【図7】従来のボーダレス・ポリシリコン・コンタクト
(CB)製造プロセスの次の必須段階を経た図6の構造
を示す図である。
【図8】従来のCB製造プロセスのSi34バリア層付
着段階で使用したときのPOR(Plan of Record)PE
CVDおよびLPCVD技法の欠点をそれぞれ示す図4
の拡大図である。
【図9】従来のCB製造プロセスのSi34バリア層付
着段階で使用したときのPOR(Plan of Record)LP
CVDおよびLPCVD技法の欠点をそれぞれ示す図4
の拡大図である。
【図10】本発明の方法に基づいてSi34バリア層を
付着させたときの図4の拡大図である。
【図11】SIMS測定によって得たサンプル厚さに対
する水素原子濃度を示したグラフであって、POR付着
技法と比較したときに本発明の方法によってもたらされ
る重大な改善を示すグラフである。
【図12】ピーク強度を波数に対して示したグラフであ
って、POR LPCVD技法を使用したときに水素原
子がどの化合物に結びつくかを示すグラフである。
【図13】ピーク強度を波数に対して示したグラフであ
って、POR PECVD技法を使用したときに水素原
子がどの化合物に結びつくかを示すグラフである。
【図14】ピーク強度を波数に対して示したグラフであ
って、本発明の方法の第1の実施形態(RTCVDベー
スの技法)を使用したときに水素原子がどの化合物に結
びつくかを示すグラフである。
【図15】ピーク強度を波数に対して示したグラフであ
って、本発明の方法の第2の実施形態(LPCVDベー
スの技法)を使用したときに水素原子がどの化合物に結
びつくかを示すグラフである。
【図16】異なるロットのウェハに対する、POR P
ECVD技法および本発明の2つの実施形態のN型IG
FETのパンチスルー欠陥に起因した接合リーク電流を
示すグラフである。
【図17】異なるロットのウェハに対する、POR P
ECVD技法および本発明の2つの実施形態のN型IG
FETの接合表面準位欠陥に起因した接合リーク電流を
示すグラフである。
【符号の説明】
10 構造 11 シリコン基板 12 SiO2ゲート層 13 下部ポリシリコン層 14 ケイ化タングステン層 15 Si34キャップ層 16 ゲート導線 17 酸化層 18' 拡散領域 18" 拡散領域 19 Si34層 20' 注入領域 20" 注入領域 21 Si34層 22 BPSG層 23 TEOS SiO2層 24 ポリシリコン・プラグ 25 ピンホール
───────────────────────────────────────────────────── フロントページの続き (72)発明者 コリンヌ・ビューシェ フランス91100 コルベイル・エソンヌ リュー・ヴァルデック・ルソー 5 (72)発明者 パトリック・ラファン フランス93340 ジョワンヴィユ・ルポ ン リュー・イポリット・パンソン 5 (72)発明者 ステァーヌ・ティオリエール フランス75013 パリ リュー・ジャネ ー 39 (56)参考文献 特開2000−31427(JP,A) 特開2000−58780(JP,A) 特開2001−332553(JP,A) 特開 平6−302528(JP,A) 特開 平11−317404(JP,A) 特開2000−58483(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/318 H01L 21/8242

Claims (8)

    (57)【特許請求の範囲】
  1. 【請求項1】パターニングされた構造上に共形Hリッチ
    Si3層を付着させる方法であって、 a)薄いSiO ゲート層で覆われたシリコン基板と、
    前記シリコン基板の上に形成されたゲート導体(GC)
    線とから成る構造であって、隣接する2本のGC線間に
    形成された少なくとも1つの拡散領域を有するパターニ
    ングされた構造を用意する段階と、 b)前記構造上に共形HリッチSi3層を、高速熱
    化学蒸着(RTCVD)リアクタ中でSi前駆体ベース
    のケミストリを使用して温度600〜950℃、圧力5
    0〜200Torrの範囲で付着させる段階とを含む方
    法。
  2. 【請求項2】前記Si前駆体ベースのケミストリがSi
    である、請求項1に記載の方法。
  3. 【請求項3】前記Si前駆体ベースのケミストリがSi
    /NH3混合物である、請求項1に記載の方法。
  4. 【請求項4】パターニングされた構造上に共形Hリッチ
    Si3層を付着させる方法であって、 a)薄いSiO ゲート層で覆われたシリコン基板と、
    前記シリコン基板の上に形成されたゲート導体(GC)
    線とから成る構造であって、隣接する2本のGC線間に
    形成された少なくとも1つの拡散領域を有するパターニ
    ングされた構造を用意する段階と、 b)前記構造上に共形HリッチSi3層を、低圧化
    学蒸着(LPCVD)炉中でSi前駆体ベースのケミス
    トリを使用して温度640〜700℃、圧力0.2〜
    0.8Torrの範囲で付着させる段階とを含む方法。
  5. 【請求項5】前記Si前駆体ベースのケミストリがDC
    Sである、請求項4に記載の方法。
  6. 【請求項6】前記Si前駆体ベースのケミストリがNH
    3/DCS混合物である、請求項4に記載の方法。
  7. 【請求項7】前記Si前駆体ベースのケミストリがNH
    3/SiH/DCS混合物である、請求項4に記載の
    方法。
  8. 【請求項8】シリコン基板中の拡散領域との間にボーダ
    レス・ポリシリコン・コンタクトを製作する方法であっ
    て、 a)薄いSiO ゲート層で覆われたシリコン基板と、
    前記シリコン基板の上に形成されたゲート導体(GC)
    線とから成る構造であって、前記ゲート導線の導電部分
    の側面が薄いSi3スペーサによって覆われ、その
    上面部分がSi3キャップによって覆われ、これに
    よって前記ゲート導線が完全に分離され、前記基板中に
    形成された少なくとも1つの拡散領域が隣接する2本の
    GC線間に露出した構造を用意する段階と、 b)前記構造上に共形HリッチSi3層を、高速熱
    化学蒸着(RTCVD)リアクタ中でSi前駆体ベース
    のケミストリを使用して温度600〜950℃、圧力5
    0〜200Torrの範囲で付着させるか、または低圧
    化学蒸着(LPCVD)炉中でSi前駆体ベースのケミ
    ストリを使用して温度640〜700℃、圧力0.2〜
    0.8Torrの範囲で付着させる段階と、 c)前記構造上にBPSG材料の層を過剰に付着させ
    て、前記GC線間の空間を埋める段階と、 d)前記BPSG材料を化学機械研磨によって平坦化し
    て、ほぼ前記Si3キャップの表面まで前記BPS
    Gを除去する段階と、 e)前記構造上にTEOS SiOパッシベーション
    層を付着させる段階と、 f)コンタクト・ホールの位置が露出するようにフォト
    リソグラフィ・マスクを画定する段階と、 g)前記TEOS SiO、BPSG、Si3
    よびSiO材料を順番に異方的にドライ・エッチング
    して、前記拡散領域を露出させ、前記コンタクト・ホー
    ルを形成する段階と、 h)ドープト・ポリシリコンを付着させて、前記コンタ
    クト・ホールを埋め、前記拡散領域との間に前記ボーダ
    レス・ポリシリコン・コンタクトを形成する段階とを含
    む方法。
JP2001223877A 2000-07-25 2001-07-25 共形HリッチSi3N4層の形成方法 Expired - Fee Related JP3436263B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP00480071.0 2000-07-25
EP00480071 2000-07-25

Publications (2)

Publication Number Publication Date
JP2002110673A JP2002110673A (ja) 2002-04-12
JP3436263B2 true JP3436263B2 (ja) 2003-08-11

Family

ID=8174253

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001223877A Expired - Fee Related JP3436263B2 (ja) 2000-07-25 2001-07-25 共形HリッチSi3N4層の形成方法

Country Status (4)

Country Link
US (1) US20020039835A1 (ja)
JP (1) JP3436263B2 (ja)
KR (1) KR100425809B1 (ja)
TW (1) TW473829B (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770144B2 (en) * 2000-07-25 2004-08-03 International Business Machines Corporation Multideposition SACVD reactor
KR100377833B1 (ko) * 2001-06-19 2003-03-29 삼성전자주식회사 보더리스 콘택 구조를 갖는 반도체 장치 및 그 제조방법
KR20030093011A (ko) * 2002-06-01 2003-12-06 주식회사 하이닉스반도체 반도체소자의 전극 형성방법
TW200424343A (en) * 2002-09-05 2004-11-16 Asml Us Inc Low temperature deposition of silicon based thin films by single-wafer hot-wall rapid thermal chemical vapor deposition
JP2008198935A (ja) 2007-02-15 2008-08-28 Sony Corp 絶縁ゲート電界効果トランジスタの製造方法。
US7999298B2 (en) 2008-12-30 2011-08-16 Intel Corporation Embedded memory cell and method of manufacturing same
US8927387B2 (en) * 2012-04-09 2015-01-06 International Business Machines Corporation Robust isolation for thin-box ETSOI MOSFETS
CN112038232A (zh) * 2020-08-27 2020-12-04 上海华力集成电路制造有限公司 Sab氮化硅膜制造方法及sab工艺控制模块
US11862554B2 (en) 2021-07-02 2024-01-02 Micron Technology, Inc. Apparatuses and methods of controlling hydrogen supply in memory device

Also Published As

Publication number Publication date
TW473829B (en) 2002-01-21
JP2002110673A (ja) 2002-04-12
KR20020009418A (ko) 2002-02-01
KR100425809B1 (ko) 2004-04-03
US20020039835A1 (en) 2002-04-04

Similar Documents

Publication Publication Date Title
US5656556A (en) Method for fabricating planarized borophosphosilicate glass films having low anneal temperatures
US6492224B1 (en) Buried PIP capacitor for mixed-mode process
US6461957B1 (en) Method of manufacturing semiconductor device
US6342452B1 (en) Method of fabricating a Si3N4/polycide structure using a dielectric sacrificial layer as a mask
JP3436263B2 (ja) 共形HリッチSi3N4層の形成方法
US5930585A (en) Collar etch method to improve polysilicon strap integrity in DRAM chips
US6960531B2 (en) Method of manufacturing electronic device
KR20020031283A (ko) 반도체집적회로장치 및 그 제조방법
JP2002343962A (ja) 半導体集積回路装置およびその製造方法
US6342450B1 (en) Method of forming insulating spacers in DRAM chips
US6982223B2 (en) Method of manufacturing a semiconductor device
US7060577B2 (en) Method for forming metal silicide layer in active area of semiconductor device
US7297638B2 (en) Method for manufacturing a semiconductor device
US6225170B1 (en) Self-aligned damascene gate with contact formation
US11848361B2 (en) Sacrificial layer for semiconductor process
JP2000058483A (ja) 半導体装置の製造方法
CN100481390C (zh) 用于制造半导体器件的方法
US20060292883A1 (en) Etching of silicon nitride with improved nitride-to-oxide selectivity utilizing halogen bromide/chlorine plasma
US6297089B1 (en) Method of forming buried straps in DRAMs
US20050056615A1 (en) Selective plasma etching process for aluminum oxide patterning
US6344422B1 (en) Method of depositing a BSG layer
US7026250B2 (en) Method for reducing contact resistance of a semiconductor device
US20020119618A1 (en) Method for forming contacts of memory devices using an etch stop layer
KR100559988B1 (ko) 반도체 소자 및 그 제조방법
US7781320B2 (en) Method for fabricating a semiconductor device by considering the extinction coefficient during etching of an interlayer insulating film

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees