JP3258121B2 - CVD equipment - Google Patents

CVD equipment

Info

Publication number
JP3258121B2
JP3258121B2 JP07130493A JP7130493A JP3258121B2 JP 3258121 B2 JP3258121 B2 JP 3258121B2 JP 07130493 A JP07130493 A JP 07130493A JP 7130493 A JP7130493 A JP 7130493A JP 3258121 B2 JP3258121 B2 JP 3258121B2
Authority
JP
Japan
Prior art keywords
gas
reaction chamber
substrate
etching
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP07130493A
Other languages
Japanese (ja)
Other versions
JPH06283427A (en
Inventor
章彦 古川
義典 飯田
鉄也 山口
芳樹 石塚
久典 井原
秀俊 野崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP07130493A priority Critical patent/JP3258121B2/en
Publication of JPH06283427A publication Critical patent/JPH06283427A/en
Application granted granted Critical
Publication of JP3258121B2 publication Critical patent/JP3258121B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明はCVD装置に関する。BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a CVD apparatus.

【0002】[0002]

【従来の技術】近年、シラン,ジボラン等の材料ガスを
光エネルギーにより分解して、化学反応により、半導体
薄膜等を形成する光CVD法が注目されている。これは
従来の熱エネルギーにより材料ガスを分解する熱CVD
法に比べ、光CVD法はより低い温度で成膜できるとい
う利点があるからである。また、プラズマCVD法とは
異なり、膜形成に寄与している反応種がラジカルである
ため、荷電粒子による基板への損傷が極力抑えられ、し
かも高い制御性を有しているので高品質の薄膜を形成で
きるからである。
2. Description of the Related Art In recent years, attention has been paid to an optical CVD method in which a material gas such as silane or diborane is decomposed by light energy to form a semiconductor thin film or the like by a chemical reaction. This is the conventional thermal CVD that decomposes the material gas using thermal energy.
This is because the optical CVD method has an advantage that a film can be formed at a lower temperature as compared with the method. Also, unlike the plasma CVD method, the reactive species contributing to the film formation are radicals, so that damage to the substrate by charged particles is suppressed as much as possible. Is formed.

【0003】ところで、光CVD法においては、一般
に、反応生成物は全てが基板に被着する訳ではなく、反
応室の内壁にも被着する。反応室の内壁に被着した膜が
剥がれると、反応室内にダストが発生し、歩留りが低下
する。このため、反応室の内壁に被着した膜を除去する
必要がある。除去方法としては、分解クリーニング法
や、プラズマ放電クリーニング法などが提案されてい
る。
[0003] In the photo-CVD method, generally, not all reaction products adhere to the substrate, but also adhere to the inner wall of the reaction chamber. When the film adhered to the inner wall of the reaction chamber is peeled off, dust is generated in the reaction chamber, and the yield decreases. Therefore, it is necessary to remove the film adhered to the inner wall of the reaction chamber. As a removing method, a decomposition cleaning method, a plasma discharge cleaning method, and the like have been proposed.

【0004】分解クリーニング法は反応室を大気に開放
して、その構成部品に被着した膜を化学的,機械的方法
によって除去するというものである。しかし、この方法
では反応室が大気に晒されるために、反応室の内壁に大
気中の汚染物が吸着する。このため、汚染物を除去する
ために真空排気プロセスが新たに必要となり、スループ
ットが低下するという問題がある。
[0004] In the decomposition cleaning method, the reaction chamber is opened to the atmosphere, and the film adhered to the components is removed by a chemical or mechanical method. However, in this method, since the reaction chamber is exposed to the air, contaminants in the air are adsorbed on the inner wall of the reaction chamber. For this reason, a new evacuation process is required to remove contaminants, and there is a problem that throughput is reduced.

【0005】一方、プラズマ放電クリーニング法は、ク
リーニング用電極によりプラズマを発生させ、このプラ
ズマにより被着物を除去するというもので、大気に曝す
ことなく被着物を除去できる。
[0005] On the other hand, in the plasma discharge cleaning method, a plasma is generated by a cleaning electrode, and the adherend is removed by the plasma. The adherend can be removed without exposing it to the atmosphere.

【0006】図16〜図18に従来のクリーニング用電
極を備えた光CVD装置の概略構成図を示す。図16は
横から見た場合の概略構成図を示し、図17は上から見
た場合の概略構成図を示し、図18はガス流の下流側か
ら正面を見た場合の概略構成図を示している。
FIGS. 16 to 18 show schematic constitutional views of a conventional optical CVD apparatus provided with a cleaning electrode. 16 shows a schematic configuration when viewed from the side, FIG. 17 shows a schematic configuration when viewed from above, and FIG. 18 shows a schematic configuration when viewed from the downstream side of the gas flow. ing.

【0007】図中、1は反応室を示しており、この反応
室1内にはステージ2が設置され、その中央部には基板
3を載置するためのヒーターを内蔵したホットプレート
4が設けられている。
In the drawing, reference numeral 1 denotes a reaction chamber, in which a stage 2 is installed, and a hot plate 4 having a built-in heater for mounting a substrate 3 is provided in the center thereof. Have been.

【0008】また、上記反応室1内には側面に設けられ
たたスリットノズル5からシート状に材料ガス6が導入
されるとともに、光導入窓7の下部に設けられたメッシ
ュプレート8および石英板からなるフローガードプレー
ト9とを介してパージガス10、例えば、Arガスが反
応室1の下方に向かって導入され、材料ガス6を強制的
に押さえ付けることによって材料ガス6が基板3の表面
を層流状に流れるようになっている。そして、これらの
ガスはスリットノズル5と反対方向に設けられた排気口
11から排気ポンプ12により外部に排気される。
A material gas 6 is introduced into the reaction chamber 1 in a sheet form from a slit nozzle 5 provided on a side surface, and a mesh plate 8 and a quartz plate provided below a light introduction window 7 are provided. A purge gas 10, for example, an Ar gas, is introduced toward the lower part of the reaction chamber 1 through a flow guard plate 9 made of, and the material gas 6 forms a layer on the surface of the substrate 3 by forcibly pressing the material gas 6. It is designed to flow in a stream. These gases are exhausted to the outside by an exhaust pump 12 from an exhaust port 11 provided in a direction opposite to the slit nozzle 5.

【0009】また、反応室1のクリーニングのために、
光入射経路を塞がず、且つスリットノズル5や、メッシ
ュプレート8およびフローガードプレート9を介して導
入されるガスの妨げにならないように、図17に示すよ
うに、光導入窓部7の直下を外れた外側の位置にステー
ジ2を囲む形でクリーニング用電極13が設置されてい
る。このクリーニング用電極13は反応室内1の壁と絶
縁され、更に、スパッタによる汚染を防止するために、
石英ガラス14で覆われている。
Further, for cleaning the reaction chamber 1,
As shown in FIG. 17, just below the light introduction window 7 so as not to block the light incident path and to prevent gas introduced through the slit nozzle 5, the mesh plate 8 and the flow guard plate 9 from being obstructed. The cleaning electrode 13 is provided at a position outside of the shape and surrounding the stage 2. This cleaning electrode 13 is insulated from the wall of the reaction chamber 1 and furthermore, in order to prevent contamination by sputtering,
It is covered with quartz glass 14.

【0010】一方、反応室1の上部には光CVDのため
の低圧水銀ランプなどからなる光源15を収容するラン
プハウス16が設けられており、更にこの光源15から
の光を反射する反射板17がこの光源15の後部に取り
付けられている。そして、反応室1とランプハウス16
との間は、例えば、合成石英板からなる光導入窓7とそ
れを支えるためのホルダー板18で仕切られている。な
お、図中、19はランプハウス16の内部にパージガス
であるN2 を導入、排気するためのパイプを示してい
る。
On the other hand, a lamp house 16 for accommodating a light source 15 such as a low-pressure mercury lamp for photo-CVD is provided at an upper portion of the reaction chamber 1, and a reflector 17 for reflecting light from the light source 15 is provided. Is attached to the rear of the light source 15. Then, the reaction chamber 1 and the lamp house 16
Is separated by a light introducing window 7 made of, for example, a synthetic quartz plate and a holder plate 18 for supporting the window. In the drawing, reference numeral 19 denotes a pipe for introducing and exhausting N 2 as a purge gas into the lamp house 16.

【0011】次にこのように構成された光CVD装置を
用いた場合について、例えば、基板3にアモルファスシ
リコン膜を形成した後の反応室1のプラズマクリーニン
グについて以下に説明する。
Next, in the case of using the optical CVD apparatus configured as described above, for example, plasma cleaning of the reaction chamber 1 after forming an amorphous silicon film on the substrate 3 will be described below.

【0012】先ず、反応室1から基板3を搬出させる。
次にスリットノズル5からエッチングガス21として、
SF6 とO2 との混合ガスを導入し、同時にメッシュプ
レート8およびフローガードプレート9を介してパージ
ガス10としてのArガスを反応室1内に導入する。そ
して、クリーニング用電極13に高周波電力を印加し、
プラズマを発生させる。このプラズマによって、反応室
1内に堆積した不要なアモルファスシリコン膜がエッチ
ングされる。ここで、Arガス10を導入するのは、光
導入窓7がエッチングされて曇り、光透過率が低下する
ことを防ぐためである。
First, the substrate 3 is carried out of the reaction chamber 1.
Next, as the etching gas 21 from the slit nozzle 5,
A mixed gas of SF 6 and O 2 is introduced, and simultaneously, an Ar gas as a purge gas 10 is introduced into the reaction chamber 1 via the mesh plate 8 and the flow guard plate 9. Then, high-frequency power is applied to the cleaning electrode 13,
Generates plasma. The unnecessary amorphous silicon film deposited in the reaction chamber 1 is etched by the plasma. Here, the reason why the Ar gas 10 is introduced is to prevent the light introduction window 7 from being etched and fogged and the light transmittance from being reduced.

【0013】この不要なアモルファスシリコン膜がエッ
チングされる状況を観察すると、最初にスリットノズル
5の近傍の上流側のエッチングが完了し、そして、この
エッチング完了領域が徐々に下流側に移動しながら、ア
モルファスシリコン膜のエッチングが広がって行くこと
が判る。
When observing the situation where the unnecessary amorphous silicon film is etched, first, the etching on the upstream side in the vicinity of the slit nozzle 5 is completed, and while this etching completed area gradually moves to the downstream side, It can be seen that the etching of the amorphous silicon film spreads.

【0014】すなわち、エッチング完了までのスピード
はエッチングガスを導入した上流領域では早く、下流に
行くにしたがって遅くなるという傾向があり、特に基板
3が載置される領域よりもさらに下流領域ではその傾向
が強くなってくる。
That is, the speed up to the completion of the etching tends to be faster in the upstream region into which the etching gas is introduced, and to be slower in the downstream region. In particular, in the region further downstream than the region where the substrate 3 is placed, the tendency is higher. Is getting stronger.

【0015】本発明者等の調べによれば、エッチング完
了までの時間は、上流領域に対して下流領域の最も遅い
部分は約10倍前後かかる。これは光導入窓7の曇り防
止用に導入されたArガス10によって下流側ではエッ
チングガスSF6 が希釈されるためと、SF6 が放電に
よって分解されてできる原子状フッ素等のエッチング種
が、下流側でSO2 と反応して減少するためであると考
えられる。
According to the investigations by the present inventors, the time required for completing the etching is about 10 times as long in the downstream region as in the upstream region. This is because the etching gas SF 6 is diluted on the downstream side by the Ar gas 10 introduced for preventing the light introduction window 7 from fogging, and the etching species such as atomic fluorine generated by the decomposition of SF 6 by the discharge are: This is considered to be due to the reaction with SO 2 on the downstream side to decrease.

【0016】このように従来のクリーニング用電極13
を備えた光CVD装置では、下流領域のエッチング完了
時間が上流領域のそれの何倍にもなり、下流領域のエッ
チング完了時間によって反応室1のクリーニング時間が
律速されていた。すなわち、従来のプラズマにより堆積
物を除去する光CVD装置では、下流領域のエッチング
が完了するまでの時間が長いため、クリーニング時間が
非常に長くかかり、スループットが低下するという問題
があった。
As described above, the conventional cleaning electrode 13 is used.
In the photo-CVD apparatus provided with the above, the etching completion time of the downstream region becomes many times that of the upstream region, and the cleaning time of the reaction chamber 1 is determined by the etching completion time of the downstream region. That is, in the conventional photo-CVD apparatus that removes deposits by using plasma, there is a problem that a long time is required until the etching of the downstream region is completed, so that the cleaning time is extremely long and the throughput is reduced.

【0017】ところで、材料ガスとしてシラン(SiH
4 )ガスを用いた光CVD法においては、材料ガスを直
接紫外光により分解できないので、下記に示す化学反応
を利用する分解方法、つまり、水銀増感法が用いられる
ことが多い。 SiH4 +Hg* →・SiH3 +H+Hg*
By the way, silane (SiH) is used as a material gas.
4 ) In the photo-CVD method using a gas, since a material gas cannot be directly decomposed by ultraviolet light, a decomposition method utilizing the following chemical reaction, that is, a mercury sensitization method is often used. SiH 4 + Hg * → SiH 3 + H + Hg *

【0018】ここで、Hg* は励起水銀を、・SiH3
はSiH3 ラジカルを表している。具体的には、例え
ば、SiH4 ガスは90℃程度に加熱された水銀溜を通
って水銀蒸気と混合された状態でガスノズルを介して反
応室内に導入されるとともに、反応室内に導入された直
後から始まる紫外光の照射により、SiH4 ガスは上記
反応式に従ってSiH3 ラジカルに分解される。
Here, Hg * The excitation mercury, · SiH 3
Represents a SiH 3 radical. Specifically, for example, SiH 4 gas is introduced into the reaction chamber through a gas nozzle in a state of being mixed with mercury vapor through a mercury reservoir heated to about 90 ° C., and immediately after being introduced into the reaction chamber. , The SiH 4 gas is decomposed into SiH 3 radicals according to the above reaction formula.

【0019】SiH3 ラジカルの多くは、基板3から離
れたスリットノズル5の近傍の領域で生成され、拡散あ
るいはドリフト等により基板3に到達する、このとき、
SiH3 ラジカルの一部は、基板3に到達するまでに、
下記に示す2次反応によってSiH2 ラジカルに変わ
る。 ・SiH3 +・SiH3 →・SiH2 +SiH4 ここで、・SiH2 はSiH2 ラジカルを表している。
Most of the SiH 3 radicals are generated in a region near the slit nozzle 5 distant from the substrate 3 and reach the substrate 3 by diffusion or drift.
Some of the SiH 3 radicals are
It is converted into a SiH 2 radical by a secondary reaction shown below. SiH 3 + SiH 3 → SiH 2 + SiH 4 Here, SiH 2 represents a SiH 2 radical.

【0020】このSiH2 ラジカルは、SiH3 ラジカ
ルに比べて極めて反応性が高いため、基板3にSiH2
ラジカルが飛来すると、マイグレーションなどをほとん
ど行なわずに成膜反応を起こす。SiH2 ラジカルが成
膜に寄与すると、アモルファスシリコン膜中の結合状態
が変化し、品質が低下する。
[0020] The SiH 2 radicals, because of extremely high reactivity as compared with SiH 3 radicals, SiH the substrate 3 2
When radicals fly, a film-forming reaction occurs with almost no migration. When the SiH 2 radicals contribute to the film formation, the bonding state in the amorphous silicon film changes, and the quality deteriorates.

【0021】このため、例えば、6インチウェハにアモ
ルファスシリコン膜を成膜する場合には、ガスノズル側
(上流側)では、成膜に寄与するSiH2 ラジカルの飛
来する割合が少ないため、ある程度高品質なアモルファ
スシリコン膜を得ることができる。
For this reason, for example, when an amorphous silicon film is formed on a 6-inch wafer, the gas nozzle side (upstream side) has a low quality of SiH 2 radicals contributing to the film formation, and therefore has a high quality. A simple amorphous silicon film can be obtained.

【0022】しかしながら、ガスノズルと反対側(下流
側)では、SiH2 ラジカルが多量に基板3に飛来する
ため、品質の低いアモルファスシリコン膜しか得られな
い。このため、ウェハ面の広範囲にわたって、高品質な
アモルファスシリコン膜を形成することができなかっ
た。
However, on the opposite side (downstream side) of the gas nozzle, since a large amount of SiH 2 radicals fly to the substrate 3, only a low-quality amorphous silicon film can be obtained. Therefore, a high-quality amorphous silicon film cannot be formed over a wide range of the wafer surface.

【0023】ところで、従来の光CVD装置において
は、光エネルギーの供給源となる光源からの光は、成膜
に大きく寄与する紫外光の他に赤外光も含んでいる。こ
の結果、成膜開始時における基板の温度上昇は、赤外光
の照射により急激なものとなる。このため、基板の急激
な温度上昇により膜厚方向の膜質が不均一になるという
問題があった。
In a conventional optical CVD apparatus, light from a light source serving as a light energy supply source includes infrared light in addition to ultraviolet light which greatly contributes to film formation. As a result, the temperature rise of the substrate at the start of film formation becomes sharp due to the irradiation of infrared light. For this reason, there is a problem that the film quality in the film thickness direction becomes non-uniform due to a rapid temperature rise of the substrate.

【0024】[0024]

【発明が解決しようとする課題】上述の如く、従来の光
CVD装置においては、歩留まりの低下を防止するため
に、成膜の際に反応室の内壁に被着した膜を除去する必
要があった。プラズマ放電クリーニング法を用いれば、
大気に曝すことなく被着物を除去できるが、放電電極の
配置に制約があるのでクリーニング時間が長くなり、ス
ループットが低下するという問題があった。
As described above, in the conventional photo-assisted CVD apparatus, it is necessary to remove the film adhered to the inner wall of the reaction chamber at the time of film formation in order to prevent a decrease in yield. Was. If the plasma discharge cleaning method is used,
Although the adherend can be removed without exposure to the air, there is a problem that the cleaning time becomes longer and the throughput is reduced due to restrictions on the arrangement of the discharge electrodes.

【0025】また、従来の光CVD装置において、アモ
ルファスシリコン膜の材料ガスとしてSiH4 を用いた
場合には、アモルファスシリコン膜の堆積に直接寄与す
るSiH3 ラジカルの他に、このSiH3 ラジカルが下
流側に輸送されるに伴って、膜質劣化の原因となるSi
2 ラジカルが増加するため、ウェハ面の広範囲にわた
って、高品質なアモルファスシリコン膜を形成すること
ができないという問題があった。
When SiH 4 is used as a material gas for an amorphous silicon film in a conventional optical CVD apparatus, this SiH 3 radical is downstream in addition to the SiH 3 radical directly contributing to the deposition of the amorphous silicon film. Si, which causes deterioration of the film quality as it is transported to the
Since H 2 radicals is increased, over a wide range of the wafer surface, there is a problem that it is impossible to form a high quality amorphous silicon film.

【0026】更にまた、従来の光CVD装置において
は、光源からの光は成膜に大きく寄与する紫外光の他に
赤外光も含んでいるため、この赤外光の照射によって、
成膜開始時に基板が急激に加熱され、膜厚方向の膜質が
不均一になるという問題があった。
Furthermore, in the conventional optical CVD apparatus, the light from the light source includes infrared light in addition to ultraviolet light which greatly contributes to film formation.
There has been a problem that the substrate is rapidly heated at the start of film formation, and the film quality in the film thickness direction becomes non-uniform.

【0027】本発明は、上記事情を考慮してなされたも
ので、その解決しようとする課題は、膜質の不均一性
や、スループットの低下を防止し得るCVD装置を提供
することにある。
The present invention has been made in view of the above circumstances, and a problem to be solved is to provide a CVD apparatus capable of preventing non-uniformity of film quality and a decrease in throughput.

【0028】[0028]

【課題を解決するための手段】上記の課題を解決するた
めに、本発明に係るCVD装置(請求項1)は、基板を
収容して成膜を行なうための反応室と、前記反応室内に
成膜用の材料ガスを供給するためのガスノズルと、前記
反応室内に導入された前記材料ガスに光を照射するため
の光照射手段と、前記反応室内に被着物除去用のエッチ
ングガスを供給するためのガスノズルと、前記反応室内
に設けられた被着物除去用の放電電極とを備えたCVD
装置において、前記エッチングガスを供給する前記ガス
ノズルが、前記材料ガスを供給する前記ガスノズルと共
通に用いられる第1のガスノズルと、この第1のガスノ
ズルから供給されるガスの下流に設けられた第2のガス
ノズルとからなることを特徴とする。
In order to solve the above problems BRIEF SUMMARY OF THE INVENTION, CVD apparatus according to the present invention (Claim 1) includes a reaction chamber for forming a film accommodating the substrate, into the reaction chamber A gas nozzle for supplying a material gas for film formation, a light irradiating unit for irradiating the material gas introduced into the reaction chamber with light, and an etching gas for removing an adherend in the reaction chamber Provided with a gas nozzle for discharging and a discharge electrode for removing an adherend provided in the reaction chamber
In the apparatus, the gas nozzle that supplies the etching gas is a first gas nozzle that is used in common with the gas nozzle that supplies the material gas, and a second gas nozzle that is provided downstream of the gas supplied from the first gas nozzle. And a gas nozzle.

【0029】また、本発明に係る他のCVD装置(請求
項2)は、基板を収容して成膜を行なうための反応室
と、前記反応室内に成膜用の材料ガスまたは被着物除去
用のエッチングガスを供給するガスノズルと、前記反応
室内に導入された前記材料ガスに光を照射するための光
照射手段と、前記反応室内に設けられた被着物除去用の
放電電極とを備えたCVD装置において、前記ガスノズ
ルが、エッチングガスの下流方向に可動自在であること
を特徴とする。
Further, another CVD apparatus according to the present invention (claim 2) is a reaction chamber for accommodating a substrate and performing film formation, and a material gas for film formation or a substance for removing an adherend in the reaction chamber. A gas nozzle for supplying an etching gas, a light irradiating means for irradiating the material gas introduced into the reaction chamber with light, and a discharge electrode for removing an adherend provided in the reaction chamber. The apparatus is characterized in that the gas nozzle is movable in a downstream direction of the etching gas.

【0030】[0030]

【0031】[0031]

【0032】[0032]

【0033】[0033]

【0034】[0034]

【0035】[0035]

【作用】本発明のCVD装置(請求項1)によれば、材
料ガスの供給と共通に用いられる第1のガスノズルから
供給されるエッチングガスの下流に、第2のガスノズル
が設けられているので、この第2のガスノズルから供給
されるエッチングガスによって、下流側にもエッチング
種が十分に行き渡る。
According to the CVD apparatus of the present invention, the second gas nozzle is provided downstream of the etching gas supplied from the first gas nozzle commonly used for supplying the material gas. By the etching gas supplied from the second gas nozzle, the etching species sufficiently spread to the downstream side.

【0036】したがって、従来のCVD装置に比べて、
下流側のエッチング速度が速まるので、反応室内のクリ
ーニング時間が大幅に短縮され、スループットの向上を
図ることができる。
Therefore, as compared with the conventional CVD apparatus,
Since the etching rate on the downstream side is increased, the cleaning time in the reaction chamber is greatly reduced, and the throughput can be improved.

【0037】また、本発明の他のCVD装置(請求項
2)によれば、ガスノズルがエッチングガスの下流方向
に可動自在であるので、エッチング完了領域が下流側に
進行していくのに伴って、上記ガスノズルを下流方向に
移動させることによって、エッチング種が下流領域にも
十分供給される。
Further, according to another CVD apparatus of the present invention, the gas nozzle is movable in the downstream direction of the etching gas, so that the etching completion area advances downstream. By moving the gas nozzle in the downstream direction, the etching species is sufficiently supplied to the downstream region.

【0038】したがって、従来のCVD装置に比べて、
下流側のエッチング速度が速まるので、反応室内のクリ
ーニング時間が大幅に短縮され、スループットの向上を
図ることができる。
Therefore, as compared with the conventional CVD apparatus,
Since the etching rate on the downstream side is increased, the cleaning time in the reaction chamber is greatly reduced, and the throughput can be improved.

【0039】[0039]

【0040】[0040]

【0041】[0041]

【0042】[0042]

【0043】[0043]

【0044】[0044]

【0045】[0045]

【0046】[0046]

【0047】[0047]

【実施例】以下、図面を参照しながら実施例を説明す
る。
Embodiments will be described below with reference to the drawings.

【0048】図1は、本発明の一実施例に係る光CVD
装置の概略構成図である。図1(a)は横から見たとき
の概略構成図を示し、図1(b)は上から見たときの概
略構成図を示している。
FIG. 1 shows an optical CVD according to an embodiment of the present invention.
It is a schematic structure figure of an apparatus. FIG. 1A shows a schematic configuration when viewed from the side, and FIG. 1B shows a schematic configuration when viewed from above.

【0049】図中、101は反応室を示しており、この
反応室101内にはステージ102が設置され、その中
央部には基板103を載置するためのヒーター106を
内蔵したホットプレート104が設けられている。
In the drawing, reference numeral 101 denotes a reaction chamber, in which a stage 102 is installed, and a hot plate 104 containing a heater 106 for mounting a substrate 103 is provided in the center thereof. Is provided.

【0050】また、上記反応室101には図16〜図1
8に示した従来の光CVD装置と同様のスリットノズル
105a(第1のガスノズル)が設けられており、更
に、このスリットノズル105aから反応室101内に
供給されるガスの下流位置、すなわち、ホットプレート
104の下流側のステージ2には従来にないスリットノ
ズル105b(第2のガスノズル)が設けられている。
The reaction chamber 101 is shown in FIGS.
8 is provided with a slit nozzle 105a (first gas nozzle) similar to that of the conventional optical CVD apparatus shown in FIG. 8, and further, a downstream position of the gas supplied from the slit nozzle 105a into the reaction chamber 101, that is, a hot nozzle. An unconventional slit nozzle 105b (second gas nozzle) is provided on the stage 2 downstream of the plate 104.

【0051】また、図中、110はパージガス(例え
ば、Arガス)を示しており、このパージガス110
は、光導入窓107の下部に設けられたメッシュプレー
ト108および石英板からなるフローガードプレート1
09とを介して反応室101の下方に向かって導入され
る。このようにして導入されたパージガス110によっ
て材料ガス122は基板103の表面に押さえ付けら
れ、そして、これらガス110,122は、排気ポンプ
112によりスリットノズル105aの反対側に設けら
れ排気口111から外部に排気される。
In the figure, reference numeral 110 denotes a purge gas (for example, Ar gas).
Is a flow guard plate 1 made of a mesh plate 108 and a quartz plate provided below the light introduction window 107.
09 to the lower part of the reaction chamber 101. The material gas 122 is pressed against the surface of the substrate 103 by the purge gas 110 introduced as described above, and these gases 110 and 122 are provided on the opposite side of the slit nozzle 105 a by the exhaust pump 112, Exhausted.

【0052】また、反応室101のクリーニングのため
に、光入射経路を塞がず、且つスリットノズル105
a、105b、並びにメッシュプレート108およびフ
ローガードプレート109を介して導入されるガスの妨
げにならないように、光導入窓107の直下を外れた外
側の位置にステージ102を囲む形でクリーニング用電
極113(被着物除去用の放電電極)が設置されてい
る。そして、このクリーニング用電極113は反応室1
01の内壁と絶縁されるとともに、スパッタによる汚染
を防止するために、保護膜114(例えば、石英ガラ
ス)でその表面が覆われている。また、上記光導入窓1
07としては、例えば、合成石英板からなるものを用い
る。
In order to clean the reaction chamber 101, the light incident path is not blocked and the slit nozzle 105
a, 105b, and a cleaning electrode 113 surrounding the stage 102 at a position outside and just below the light introduction window 107 so as not to hinder the gas introduced through the mesh plate 108 and the flow guard plate 109. (Discharge electrodes for removing adherends) are provided. The cleaning electrode 113 is connected to the reaction chamber 1.
In addition, the surface is covered with a protective film 114 (for example, quartz glass) to be insulated from the inner wall of the substrate 01 and prevent contamination by sputtering. Further, the light introduction window 1
As 07, for example, a synthetic quartz plate is used.

【0053】一方、反応室101の上部には、例えば、
低圧水銀ランプからなる光源115を収容するランプハ
ウス116が設けられ、その後部には反射板117が取
り付けられている。そして、反応室101とランプハウ
ス116との間は、光導入窓107およびそれを支える
ためのホルダー板118で仕切られている。なお、図
中、119はランプハウス116内にパージガスである
2 を導入し、排気するためのパイプを示している。
On the other hand, in the upper part of the reaction chamber 101, for example,
A lamp house 116 that accommodates a light source 115 composed of a low-pressure mercury lamp is provided, and a reflector 117 is attached to the rear thereof. The reaction chamber 101 and the lamp house 116 are partitioned by the light introducing window 107 and a holder plate 118 for supporting the window. In the drawing, reference numeral 119 denotes a pipe for introducing and exhausting N 2 as a purge gas into the lamp house 116.

【0054】このように構成された光CVD装置によ
り、例えば、アモルファスシリコン膜の成膜を行なうと
きには、従来の場合と同様に、ホットプレート104上
に基板103を設置・加熱した状態で、メッシュプレー
ト108とフローガードプレート109とを介してパー
ジガス110を反応室1の下方に導入し、また、スリッ
トノズル105aからシート状に導入した材料ガス12
2、例えば、水銀蒸気を混入したSiH4 ガスを押さえ
付けて、基板103上に層流状に流す。そして、上方よ
り光源115からの光を基板103上に照射することに
よって基板103の表面にアモルファスシリコン膜が形
成される。
For example, when an amorphous silicon film is formed by the optical CVD apparatus having the above-described structure, the substrate 103 is placed on a hot plate 104 and heated in a mesh plate as in the conventional case. A purge gas 110 is introduced below the reaction chamber 1 through the flow guard plate 109 and the material gas 12 introduced in a sheet form from the slit nozzle 105a.
2. For example, a SiH 4 gas mixed with mercury vapor is pressed down and flows on the substrate 103 in a laminar flow. Then, light from the light source 115 is irradiated onto the substrate 103 from above, whereby an amorphous silicon film is formed on the surface of the substrate 103.

【0055】一方、反応室101内のクリーニングを行
なうときには、まず、基板103を反応室101から取
り出し、次いでメッシュプレート108とフローガード
プレート109とを介して不活性なパージガス110を
反応室101の下方に導入しながら、エッチングガス1
21として、例えば、SF6 /O2 混合ガスをスリット
ノズル105aおよびスリットノズル105bの両方か
ら導入する。ここで、スリットノズル105bからはS
6 ガスだけでも良い。
On the other hand, when cleaning the inside of the reaction chamber 101, first, the substrate 103 is taken out of the reaction chamber 101, and then an inert purge gas 110 is supplied to the lower part of the reaction chamber 101 through the mesh plate 108 and the flow guard plate 109. While introducing the etching gas 1
In step 21, for example, an SF 6 / O 2 mixed gas is introduced from both the slit nozzle 105a and the slit nozzle 105b. Here, S from the slit nozzle 105b
F 6 gas alone may be used.

【0056】そして、この状態でクリーニング用電極1
13に高周波電力を印加してプラズマ放電を発生させる
ことによりエッチングガス121を分解し、これによっ
て成膜時に形成された反応室101内の不要な堆積膜を
エッチング除去する。このとき、光導入窓107の下部
は不活性なパージガス110により覆われているため、
光導入窓107がエッチングされて光透過率が低下する
ということはない。
In this state, the cleaning electrode 1 is
A high frequency power is applied to 13 to generate plasma discharge, whereby the etching gas 121 is decomposed, and thereby an unnecessary deposited film in the reaction chamber 101 formed at the time of film formation is removed by etching. At this time, since the lower part of the light introduction window 107 is covered with the inert purge gas 110,
The light transmission window 107 is not etched and the light transmittance is not reduced.

【0057】本実施例の光CVD装置によれば、材料ガ
ス122の供給と共通に用いられるガスノズル105a
から供給されるエッチングガス(SF6 )の下流に、独
立のガスノズル105bが設けられているので、この独
立のガスノズル105bから供給されるエッチングガス
121によって、下流側にもエッチング種(Fラジカル
および原子状F)が十分に行き渡る。
According to the photo-assisted CVD apparatus of this embodiment, the gas nozzle 105a commonly used for supplying the material gas 122 is used.
The independent gas nozzle 105b is provided downstream of the etching gas (SF 6 ) supplied from the nozzle. Therefore, by the etching gas 121 supplied from the independent gas nozzle 105b, the etching species (F radical and atomic Condition F) is fully distributed.

【0058】この結果、下流側領域でのエッチングスピ
ードが向上し、反応室101内のエッチングスピードが
均一化され、これによって、下流側でエッチングガス1
21が希釈されたり、エッチング種が減少して下流領域
のエッチング速度が大幅に低下するという従来の光CV
D装置の欠点を解消できる。
As a result, the etching speed in the downstream region is improved, and the etching speed in the reaction chamber 101 is made uniform.
21 is diluted or the number of etching species is reduced, so that the etching speed in the downstream region is greatly reduced.
The disadvantage of the D device can be eliminated.

【0059】したがって、従来のCVD装置に比べて、
反応室101内のクリーニング時間が大幅に短縮され、
スループットの向上を図ることができる。なお、ステー
ジ102の部分に設けられたスリットノズル105bの
設置場所は、ホットプレート4の下流側のステージ2部
分に限定されるものではなく、スリットノズル105a
よりも下流であれば、ホットプレート4の周辺でいかな
る形状のものであっても良い。
Therefore, as compared with the conventional CVD apparatus,
The cleaning time in the reaction chamber 101 is greatly reduced,
Throughput can be improved. The installation location of the slit nozzle 105b provided on the stage 102 is not limited to the stage 2 on the downstream side of the hot plate 4;
Any shape downstream of the hot plate 4 may be used.

【0060】図2は、本発明の他の実施例に係る光CV
D装置の概略構成図である。図2(a)は上から見たと
きの概略構成図を示し、図2(b)は横から見たときの
概略構成図を示している。なお、以下の図において、前
出したものと対応する部分には図1と同一符号を付し、
詳細な説明は省略する。
FIG. 2 shows an optical CV according to another embodiment of the present invention.
It is a schematic structure figure of D apparatus. 2A shows a schematic configuration when viewed from above, and FIG. 2B shows a schematic configuration when viewed from the side. In the following figures, parts corresponding to those described above are given the same reference numerals as in FIG.
Detailed description is omitted.

【0061】本実施例の光CVD装置が先の実施例と異
なる点は、エッチングガス121および材料ガス122
の導入のために共通に用いられるガスノズル105aと
は独立の二つのガスノズル105c,105dが、ガス
ノズル105aが設けられた反応室101の側面に対し
て垂直な二つの側面にそれぞれ設けられていることにあ
る。
The difference between the photo-assisted CVD apparatus of this embodiment and the previous embodiment is that the etching gas 121 and the material gas 122 are used.
Two gas nozzles 105c and 105d independent of the gas nozzle 105a commonly used for the introduction of the gas are provided on two side surfaces perpendicular to the side surface of the reaction chamber 101 in which the gas nozzle 105a is provided. is there.

【0062】このように構成された光CVD装置を用い
て成膜を行なうには、先の実施例の場合と同様に、スリ
ットノズル105aから材料ガス122を反応室101
内にに導入し、シート状の材料ガス122を基板103
上に流す。
In order to form a film using the photo-assisted CVD apparatus configured as described above, the material gas 122 is supplied from the slit nozzle 105a to the reaction chamber 101 in the same manner as in the previous embodiment.
And introduces a sheet-like material gas 122 into the substrate 103.
Pour over

【0063】一方、反応室101をクリーニングすると
きには、メッシュプレート108とフローガードプレー
ト109とを介してAr等のパージガス110を反応室
101の下方に導入するとともに、エッチングガス12
1、例えば、アモルファスシリコン膜を除去するにはS
6 /O2 混合ガスをスリットノズル105a,105
c,105dから反応室101内に導入する。なお、先
の実施例と同様にスリットノズル105c,105dか
らはSF6 ガスのみでも良い。そして、この状態でクリ
ーニング用電極113に高周波電力を印加してプラズマ
放電を発生させることによりエッチングガス121を分
解し、これによって反応室101内の不要な膜をエッチ
ング除去する。
On the other hand, when cleaning the reaction chamber 101, a purge gas 110 such as Ar is introduced below the reaction chamber 101 through the mesh plate 108 and the flow guard plate 109, and the etching gas 12 is removed.
1. For example, to remove an amorphous silicon film, use S
The F 6 / O 2 mixed gas is supplied to the slit nozzles 105a, 105
c and 105d are introduced into the reaction chamber 101. As in the previous embodiment, only SF 6 gas may be supplied from the slit nozzles 105c and 105d. Then, in this state, high-frequency power is applied to the cleaning electrode 113 to generate plasma discharge, thereby decomposing the etching gas 121, thereby etching and removing an unnecessary film in the reaction chamber 101.

【0064】本実施例の光CVD装置においても、材料
ガス121およびエッチングガス122の導入に共通に
用いられるガスノズル105aに加えて、このガスノズ
ル105aよりも下流側の両側面に設けられたガスノズ
ル105c,105dからもエッチングガス121が導
入される。
In the optical CVD apparatus of this embodiment, in addition to the gas nozzle 105a commonly used for introducing the material gas 121 and the etching gas 122, the gas nozzles 105c provided on both side surfaces downstream of the gas nozzle 105a are also provided. The etching gas 121 is also introduced from 105d.

【0065】このため、下流領域でエッチングガス12
1が希釈されたり、エッチング種が減少することがない
ので、先の実施例と同様に下流領域でのエッチング速度
が向上し、反応室101内のエッチング速度が略均一化
する。したがって、反応室101内のクリーニング時間
を大幅に短縮でき、スループットの向上を図ることがで
きる。
For this reason, the etching gas 12
Since 1 is not diluted or the number of etching species is not reduced, the etching rate in the downstream region is improved as in the previous embodiment, and the etching rate in the reaction chamber 101 is made substantially uniform. Therefore, the cleaning time in the reaction chamber 101 can be significantly reduced, and the throughput can be improved.

【0066】図3〜図5は本発明の他の実施例に係る光
CVD装置の概略構成図である。図3は横から見たとき
の概略構成図(成膜時)を示し、図4も横から見たとき
の概略構成図(エッチング時)を示し、図5はガス流の
下流側から正面を見たときの概略構成図(エッチング
時)を示している。
FIGS. 3 to 5 are schematic structural views of an optical CVD apparatus according to another embodiment of the present invention. 3 shows a schematic configuration diagram (at the time of film formation) when viewed from the side, FIG. 4 also shows a schematic configuration diagram (at the time of etching) when viewed from the side, and FIG. 5 shows a front view from the downstream side of the gas flow. FIG. 3 shows a schematic configuration diagram (at the time of etching) when viewed.

【0067】図中、201は反応室を示しており、この
反応室201内にはステージ202が設置され、その中
央部には基板203を載置するためのヒーター223を
内蔵したホットプレート204が設けられている。そし
て、反応室201内にはガスを導入するためのスリット
ノズル205(ガスノズル)が、図16〜図18に示し
た従来の光CVD装置と同じ側面位置に設けられてい
る。
In the figure, reference numeral 201 denotes a reaction chamber, in which a stage 202 is installed, and a hot plate 204 having a built-in heater 223 for mounting a substrate 203 is provided in the center thereof. Is provided. A slit nozzle 205 (gas nozzle) for introducing a gas is provided in the reaction chamber 201 at the same side position as the conventional photo-CVD apparatus shown in FIGS.

【0068】ここで、本実施例の光CVD装置が従来の
それと異なる点は、従来の光CVD装置の場合、図16
〜図18に示したように、スリットノズル5は固定され
たものであるのに対して、本実施例のスリットノズル2
05はノズルホルダー205aとスリットノズル本体2
05bとから構成され、このスリットノズル本体205
bが従来のノズル先端位置から必要に応じて前方(ガス
流の下流方向)に迫り出すことができるようになってい
ることにある。
Here, the point that the photo-assisted CVD apparatus of this embodiment is different from the conventional one is that
As shown in FIG. 18, the slit nozzle 5 is fixed, whereas the slit nozzle 2 of this embodiment is fixed.
05 is the nozzle holder 205a and the slit nozzle body 2
05b, and the slit nozzle body 205
b can protrude forward (downstream of the gas flow) as needed from the conventional nozzle tip position.

【0069】なお、クリーニング用電極213は、スリ
ットノズル本体205bが前方に迫り出してきたときに
接触しないように配置されている。その他の部分は上述
した従来の光CVD装置と同様であるので、ここではそ
れらについての詳細な説明については省略する。なお、
図中、218はホルダー板を示し、219はランプハウ
ス216の内部にパージガスであるN2 を導入し、排気
するためのパイプを示している。次にこのように構成さ
れた光CVD装置を用いたアモルファスシリコン膜の成
膜について説明する。
The cleaning electrode 213 is arranged so as not to come into contact when the slit nozzle body 205b projects forward. The other parts are the same as those of the above-described conventional photo-CVD apparatus, and therefore detailed description thereof will be omitted here. In addition,
In the figure, reference numeral 218 denotes a holder plate, and 219 denotes a pipe for introducing and exhausting N 2 as a purge gas into the lamp house 216. Next, the formation of an amorphous silicon film using the optical CVD apparatus configured as described above will be described.

【0070】先ず、図3に示すように、ノズルホルダー
205a内にスリットノズル本体205bが収容された
状態(スリットノズル本体205bを迫り出さない状
態)にし、この状態でホットプレート204上に載置さ
れた基板203を所定の温度に加熱する。
First, as shown in FIG. 3, the slit nozzle body 205b is housed in the nozzle holder 205a (a state in which the slit nozzle body 205b does not protrude), and is placed on the hot plate 204 in this state. The heated substrate 203 is heated to a predetermined temperature.

【0071】次いで基板203の温度が安定したら、メ
ッシュプレート208およびフローガードプレート20
9とを介してパージガス210(例えば、Ar)を反応
室201の下方に導入し、このパージガス210によっ
て、スリットノズル205から反応室201内に導入さ
れたアモルファスシリコン膜となるシート状の材料ガス
222(例えば、水銀蒸気を混入したSiH4 ガス)を
押さえ付けて、基板203上に層流状の材料ガス206
を流す。
Next, when the temperature of the substrate 203 is stabilized, the mesh plate 208 and the flow guard plate 20
9, a purge gas 210 (for example, Ar) is introduced below the reaction chamber 201, and the purge gas 210 causes the sheet-like material gas 222 to be an amorphous silicon film introduced into the reaction chamber 201 from the slit nozzle 205. (E.g., SiH 4 gas mixed with mercury vapor), and a laminar material gas 206
Flow.

【0072】そして、反応室201の上方に設けられた
光源215からの光を基板203上に照射することによ
って、基板203の表面にアモルファスシリコン膜が形
成される。このとき、パージガス210によって光入射
窓207では膜形成が進まないため、一定の成膜速度で
もって良質なアモルファスシリコン膜が基板203上に
形成される。
By irradiating the substrate 203 with light from a light source 215 provided above the reaction chamber 201, an amorphous silicon film is formed on the surface of the substrate 203. At this time, since the film formation does not proceed in the light incident window 207 due to the purge gas 210, a high-quality amorphous silicon film is formed on the substrate 203 at a constant film formation rate.

【0073】一方、反応室203をクリーニングすると
きは、まず、基板203を反応室201の外に取り出
し、この後、メッシュプレート208およびフローガー
ドプレート209とを介してパージガス210を反応室
201の下方に導入しながら、エッチングガス221
(例えば、SF6 /O2 混合ガス)をスリットノズル2
05から導入する。
On the other hand, when cleaning the reaction chamber 203, first, the substrate 203 is taken out of the reaction chamber 201, and thereafter, the purge gas 210 is moved below the reaction chamber 201 through the mesh plate 208 and the flow guard plate 209. While introducing the etching gas 221
(For example, SF 6 / O 2 mixed gas) into slit nozzle 2
Introduce from 05.

【0074】そして、この状態でクリーニング用電極2
13に高周波電力を印加してプラズマ放電を発生させる
ことによりエッチングガス221を分解し、これによっ
て反応室201内の不要な膜をエッチング除去する。
Then, in this state, the cleaning electrode 2
By applying a high-frequency power to 13 to generate a plasma discharge, the etching gas 221 is decomposed, and thereby an unnecessary film in the reaction chamber 201 is removed by etching.

【0075】このエッチングに際して、エッチングの開
始時点では、図3に示すしたように、ノズルホルダー2
05a内にスリットノズル本体205bが収容された状
態(スリットノズル本体205bを迫り出さない状態)
にしておく。
In this etching, at the start of the etching, as shown in FIG.
A state in which the slit nozzle body 205b is housed in 05a (a state in which the slit nozzle body 205b does not protrude)
Keep it.

【0076】その後、エッチングが進むに連れて、エッ
チング完了領域は上流側から下流側に次第に広がってい
くが、その広がり状態に合わせてスリットノズル本体2
05bを、図4および図5に示したように下流方向に迫
り出しながらエッチングを行なっていくため、エッチン
グ種が下流領域にも十分供給される。
Thereafter, as the etching progresses, the etching completed region gradually expands from the upstream side to the downstream side.
As shown in FIGS. 4 and 5, the etching is performed while protruding in the downstream direction as shown in FIGS. 4 and 5, so that the etching species are sufficiently supplied to the downstream region.

【0077】これについてエッチングガスとして、SF
6 /O2 混合ガスを用いた場合を例に具体的に説明する
と、従来の光CVD装置においては、スリットノズルが
固定されているので、パージガスによって下流側ではS
6 ガスが希釈され、更に、このSF6 ガスの放電分解
によって生成された原子状Fが、下流側でSO2 と反応
して減少するために、下流領域のエッチング速度が大幅
に低下する。
In this regard, SF was used as an etching gas.
To be more specific, an example in which a 6 / O 2 mixed gas is used will be described. In a conventional optical CVD apparatus, since a slit nozzle is fixed, S is provided on the downstream side by a purge gas.
Since the F 6 gas is diluted and the atomic F generated by the discharge decomposition of the SF 6 gas reacts with SO 2 on the downstream side to decrease, the etching rate in the downstream region is greatly reduced.

【0078】一方、本実施例の光CVD装置によれば、
エッチングが進み、エッチング完了領域が下流側に進行
していくのに伴ってスリットノズル本体205bが下流
方向に伸び出していくため、エッチング種である原子状
Fが下流領域にも十分供給されるため、下流側でのエッ
チング速度が非常に加速される。したがって、反応室2
01内のクリーニング時間は大幅に短縮され、スループ
ットの向上を図ることができる。
On the other hand, according to the photo-CVD apparatus of this embodiment,
Since the etching proceeds and the slit nozzle main body 205b extends in the downstream direction as the etching completion area progresses to the downstream side, the atomic F as an etching species is sufficiently supplied to the downstream area. The etching rate on the downstream side is greatly accelerated. Therefore, the reaction chamber 2
01, the cleaning time is greatly reduced, and the throughput can be improved.

【0079】かくして本実施例によれば、反応室内に堆
積した不要な膜をプラズマによってエッチング除去す
る、いわゆる、プラズマクリーニングにおいて、エッチ
ング完了領域の進む方向に可動できるスリットノズルの
採用により、従来遅かった下流側でのエッチング速度が
非常に加速され、クリーニング時間が大幅に短縮される
結果、スループットを大幅に向上させることができる。
また、光導入窓の下部はエッチング時にも不活性なパー
ジガスにより覆われているため、光導入窓がエッチング
されて光透過率が低下するということがないので、光導
入窓の頻繁な交換の必要がなく、成膜とクリーニングと
を連続して継続することができる。図6および図7は、
本発明の他の実施例に係る光CVD装置の概略構成図で
ある。
Thus, according to this embodiment, in the so-called plasma cleaning, in which unnecessary films deposited in the reaction chamber are removed by plasma, so-called plasma cleaning, the use of a slit nozzle which can move in the direction in which the etching completed region is advanced is slow. The etching rate on the downstream side is greatly accelerated, and the cleaning time is greatly shortened. As a result, the throughput can be greatly improved.
In addition, since the lower portion of the light introduction window is covered with an inert purge gas during etching, the light introduction window is not etched and the light transmittance does not decrease. Therefore, film formation and cleaning can be continuously performed. FIG. 6 and FIG.
FIG. 7 is a schematic configuration diagram of a photo-CVD apparatus according to another embodiment of the present invention.

【0080】図6の光CVD装置が先の実施例とのそれ
と異なる点は、クリーニング用電極213として、ステ
ージ202の両側面を囲む1対の電極213aを用いた
ことにある。また、図7の光CVD装置が図6の実施例
とのそれと異なる点は、クリーニング用電極213とし
て、一対の電極213aに下流部分でこれと直行な方向
で電極213bが橋渡しされていることにある。
The difference between the photo-assisted CVD apparatus of FIG. 6 and that of the previous embodiment is that a pair of electrodes 213 a surrounding both sides of the stage 202 are used as the cleaning electrodes 213. The difference between the photo-assisted CVD apparatus of FIG. 7 and that of the embodiment of FIG. 6 is that an electrode 213b is bridged as a cleaning electrode 213 at a downstream portion to a pair of electrodes 213a in a direction perpendicular thereto. is there.

【0081】上記二つの光CVD装置のクリーニング用
電極は、先の実施例の場合と同様に、光入射経路を塞が
ず、且つスリットノズル205から導入されるガス流の
妨げにならないように、光導入窓部207の直下を外れ
た外側の位置に設置され、更に、スリットノズル205
がせりだした場合でも接触しないようになっている。ま
た、これらクリーニング用電極213は、従来の場合と
同様に、反応室201の内壁と絶縁されるとともに、電
極のスパッタを防止するために、例えば、石英ガラスで
形成された保護膜214で覆われている。
The cleaning electrodes of the above two photo-CVD devices do not block the light incident path and do not hinder the gas flow introduced from the slit nozzle 205, as in the case of the previous embodiment. The slit nozzle 205 is installed at an outside position just below the light introduction window 207.
Even if it protrudes, it does not come into contact. These cleaning electrodes 213 are insulated from the inner wall of the reaction chamber 201 and covered with a protective film 214 made of, for example, quartz glass in order to prevent the electrodes from being sputtered, as in the conventional case. ing.

【0082】このように構成された光CVD装置でも、
エッチング完了領域が下流側に進行していくのに伴って
スリットノズル本体205bが下流方向に迫り出してい
くことにより、エッチング種が下流領域にも十分供給さ
れため、スループットの向上が図れる。なお、クリーニ
ング用電極213の構造は、上述した三つのものに限定
されるものではなく、種々変形したものを用いることが
できる。
In the optical CVD apparatus configured as described above,
As the slit nozzle body 205b protrudes in the downstream direction as the etching completion area progresses to the downstream side, the etching species is sufficiently supplied to the downstream area, so that the throughput can be improved. Note that the structure of the cleaning electrode 213 is not limited to the above-mentioned three, and variously modified ones can be used.

【0083】図8は本願の参考例に係る水銀増感法を用
いた層流方式光CVD装置の概略構成図であり、図8
(a)は上から見たときの概略構成図を示し、図8
(b)は横から見たときの概略構成図を示している。
FIG. 8 is a schematic configuration diagram of a laminar flow type photo CVD apparatus using a mercury sensitization method according to a reference example of the present invention .
(A) shows a schematic configuration diagram when viewed from above, and FIG.
(B) shows a schematic configuration diagram when viewed from the side.

【0084】図中、305は反応室を示しており、この
反応室305の内部には、基板306が収容されてい
る。この基板306は、反応室底部321に組み込まれ
た基板加熱用ヒータ307によって加熱されるようにな
っている。この基板加熱用ヒータ307は第1の温度制
御装置327により制御される。すなわち、上記基板加
熱用ヒータ307および第1の温度制御装置327によ
って基板306の温度が制御される。また、反応室30
5の上方には、ランプハウス301が設けられ、このラ
ンプハウス301内には、低圧水銀ランプからなる光源
302が設けられている。また、ランプハウス301内
にはパージガスとしてN2 が導入されており、これによ
って大気成分(酸素ガス,水蒸気等)の光吸収による紫
外光の減衰を防止している。
In the drawing, reference numeral 305 denotes a reaction chamber, in which a substrate 306 is accommodated. The substrate 306 is heated by a substrate heating heater 307 incorporated in the reaction chamber bottom 321. The substrate heating heater 307 is controlled by the first temperature control device 327. That is, the temperature of the substrate 306 is controlled by the substrate heating heater 307 and the first temperature control device 327. Also, the reaction chamber 30
5, a lamp house 301 is provided. In the lamp house 301, a light source 302 including a low-pressure mercury lamp is provided. In addition, N 2 is introduced as a purge gas into the lamp house 301, thereby preventing the attenuation of ultraviolet light due to light absorption of atmospheric components (oxygen gas, water vapor, etc.).

【0085】光源302の上方には、反射板303が配
置されている。光源302から出射した光は、直接、石
英等からなる光導入窓304を介して基板306に照射
されるか、或いは反射板303で反射されて光導入窓3
04を介して基板306に照射されるようになってい
る。
[0086] Above the light source 302, a reflection plate 303 is arranged. The light emitted from the light source 302 is directly applied to the substrate 306 via the light introduction window 304 made of quartz or the like, or is reflected by the reflection plate 303 and is reflected by the light introduction window 3.
Irradiation is performed on the substrate 306 through the substrate 04.

【0086】一方、光源302の下方には、紫外光を通
過する材料、例えば、石英からなるガス流制御板312
が設けられている。このガス流制御板312と光導入窓
304との間には、紫外光に対して透明なメッシュノズ
ル311が設けられている。
On the other hand, below the light source 302, a gas flow control plate 312 made of a material that transmits ultraviolet light, for example, quartz.
Is provided. A mesh nozzle 311 transparent to ultraviolet light is provided between the gas flow control plate 312 and the light introduction window 304.

【0087】また、反応室305の外部には、一定温度
に保たれている水銀300が溜まっている水銀溜309
と、SiH4 等の材料ガスが収容された材料ガス供給部
(不図示)と、パージガスとしてのArなどの不活性物
質が収容されたパージガス供給部(不図示)と、排気口
313から反応室内305内のガスを排気するための真
空排気ポンプ308からなるガス排気部(不図示)とが
設けられている。ここで、パージガス中に紫外光に対し
て吸収性を示す物質を混入する機構を設けても良い。
Further, outside the reaction chamber 305, a mercury reservoir 309 in which mercury 300 maintained at a constant temperature is stored.
A material gas supply unit (not shown) containing a material gas such as SiH 4 , a purge gas supply unit (not shown) containing an inert substance such as Ar as a purge gas, and an exhaust port 313 from the reaction chamber. A gas exhaust unit (not shown) including a vacuum exhaust pump 308 for exhausting the gas in 305 is provided. Here, a mechanism for mixing a substance having an absorptivity to ultraviolet light into the purge gas may be provided.

【0088】材料ガス供給部から供給された材料ガス3
32は、水銀溜309,材料・エッチングガス導入ノズ
ル310a(ガスノズル)を介して反応室内305に導
入される。すなわち、材料ガス32と水銀蒸気との混合
ガスが反応室305内を流れる。
Material gas 3 supplied from the material gas supply unit
32 is introduced into the reaction chamber 305 via a mercury reservoir 309 and a material / etching gas introduction nozzle 310a (gas nozzle). That is, a mixed gas of the material gas 32 and the mercury vapor flows in the reaction chamber 305.

【0089】パージガス供給部から供給されたパージガ
ス334は、パージガス導入ノズル310b,メッシュ
ノズル311,ガス流制御板312を介して基板306
に吹きつけられる。この結果、材料ガス332と水銀蒸
気との混合ガスが基板306と平行に流れ、基板306
の表面近傍に上記混合ガスの層流が形成され、それ以外
の部分にはパージガス334の層流が形成される。
The purge gas 334 supplied from the purge gas supply unit is supplied to the substrate 306 via the purge gas introduction nozzle 310b, the mesh nozzle 311 and the gas flow control plate 312.
Sprayed on. As a result, a mixed gas of the material gas 332 and the mercury vapor flows in parallel with the substrate 306, and
A laminar flow of the mixed gas is formed in the vicinity of the surface, and a laminar flow of the purge gas 334 is formed in other portions.

【0090】このような基本構成に加えて、本参考例
光CVD装置は、基板306が載置されている以外の部
分の反応室底部321を加熱するための基板周囲加熱用
ヒータ322と、この基板周囲加熱用ヒータ322を制
御するための第2の温度制御装置328と、基板306
が載置されている以外の部分の反応室底部321を冷却
するための冷却水333を循環させるための冷却水用パ
イプ323とを備えている。
In addition to such a basic structure, the photo-assisted CVD apparatus according to the present embodiment includes a substrate surrounding heating heater 322 for heating the reaction chamber bottom 321 other than where the substrate 306 is mounted. A second temperature control device 328 for controlling the substrate surrounding heater 322;
And a cooling water pipe 323 for circulating cooling water 333 for cooling the reaction chamber bottom 321 in a portion other than the portion where the water is placed.

【0091】更に、上記第1の温度制御装置327,第
2の温度制御装置328,冷却水用パイプ323に設け
られた冷媒導入用バルブ326,エッチングガス331
の導入用パイプに設けられたエッチングガス導入用バル
ブ325および材料ガス332の導入用パイプに設けら
れた材料ガス導入用バルブ324を制御するための主制
御装置329を備えている。
Further, the first temperature control device 327, the second temperature control device 328, the refrigerant introduction valve 326 provided in the cooling water pipe 323, the etching gas 331
A main control device 329 for controlling an etching gas introduction valve 325 provided on the pipe for introducing the material gas and a material gas introduction valve 324 provided on the pipe for introducing the material gas 332 is provided.

【0092】この主制御装置329は、材料ガス導入用
バルブ324およびエッチングガス導入用バルブ325
の開閉の対応して、表1に示すように、基板加熱用ヒー
タ307および基板周囲加熱用ヒータ322がオンまた
はオフとなるように、互いに独立の第1の温度制御装置
327および第2の温度制御装置328を制御する。
The main controller 329 includes a material gas introduction valve 324 and an etching gas introduction valve 325.
As shown in Table 1, the first temperature control device 327 and the second temperature control device 327 are independent from each other so that the substrate heating heater 307 and the substrate surrounding heating heater 322 are turned on or off in response to the opening and closing of The controller 328 is controlled.

【0093】[0093]

【表1】 次にこのように構成された光CVD装置を用いたアモル
ファスシリコン膜の形成方法について述べる。
[Table 1] Next, a method for forming an amorphous silicon film using the optical CVD apparatus configured as described above will be described.

【0094】まず、材料ガス導入用バルブ324を開い
て、水銀溜309において水銀蒸気と混合された材料ガ
ス332としてのSiH4 ガスを材料・エッチングガス
導入ノズル310aを介して反応室305に導入し、基
板306の近傍に層流状のガス流を形成する。
First, the material gas introduction valve 324 is opened, and the SiH 4 gas as the material gas 332 mixed with the mercury vapor is introduced into the reaction chamber 305 in the mercury reservoir 309 via the material / etching gas introduction nozzle 310a. A laminar gas flow is formed near the substrate 306.

【0095】このとき、主制御装置329は、基板加熱
用ヒータ307の温度が230℃になるように、第1の
温度制御装置327を制御する。更に、主制御装置32
9は、基板周囲加熱用ヒータ321のオフとなるよう
に、第2の温度制御装置328を制御するとともに、冷
媒導入用バルブ327を閉状態に設定し、冷却水用パイ
プ323に冷却水333を流す。すなわち、基板306
が加熱され、その周りの部分の反応室底部321が冷却
されるようにする。
At this time, main controller 329 controls first temperature controller 327 such that the temperature of substrate heating heater 307 becomes 230 ° C. Further, the main controller 32
9 controls the second temperature control device 328 so that the substrate surrounding heater 321 is turned off, sets the refrigerant introduction valve 327 to a closed state, and supplies the cooling water 333 to the cooling water pipe 323. Shed. That is, the substrate 306
Is heated so that the reaction chamber bottom 321 around it is cooled.

【0096】ここで、光源303からの光より水銀蒸気
が励起され、この励起水銀によってSiH4 ガスが分解
され、これによって、成膜種であるSiH3 ラジカルが
生成される。そして、このSiH3 ラジカルが基板30
6に付着してアモルファスシリコン膜が形成される。
Here, mercury vapor is excited by the light from the light source 303, and the excited mercury decomposes the SiH 4 gas, thereby generating SiH 3 radicals, which are film-forming species. Then, the SiH 3 radical is transferred to the substrate 30.
6 and an amorphous silicon film is formed.

【0097】このシリコン薄膜の形成において、その堆
積速度は表面反応速度に依存しており、いわゆる、活性
化型の基板表面温度依存性を示す。図9はそのことを示
す基板温度と堆積温度との関係を示す特性図である。こ
の図9から堆積速度は基板温度の増加に伴って単調に増
加することが分かる。
In the formation of this silicon thin film, the deposition rate depends on the surface reaction rate, and shows a so-called activation type substrate surface temperature dependency. FIG. 9 is a characteristic diagram showing the relationship between the substrate temperature and the deposition temperature. From FIG. 9, it can be seen that the deposition rate monotonically increases with the substrate temperature.

【0098】このため、上記の如きに基板306を加熱
し、その周りの部分の反応室底部321を冷却すること
により、基板306の周りの反応室底部321の堆積速
度を抑制することができ、その値は従来構造の約1/3
程度となることを確認した。したがって、本参考例によ
れば、成膜時に基板以外の部分に被着する堆積物(不要
堆積物)の量を大幅に低減できる。一方、上記成膜の際
に反応室305の内部に堆積したアモルファスシリコン
膜の除去方法は下記の手順で行う。
Therefore, by heating the substrate 306 and cooling the reaction chamber bottom 321 around the substrate 306 as described above, the deposition rate of the reaction chamber bottom 321 around the substrate 306 can be suppressed. The value is about 1/3 of the conventional structure
It was confirmed that it was about. Therefore, according to the present embodiment , the amount of deposits (unnecessary deposits) deposited on portions other than the substrate during film formation can be significantly reduced. On the other hand, the method of removing the amorphous silicon film deposited inside the reaction chamber 305 during the film formation is performed according to the following procedure.

【0099】先ず、反応室305から基板306を搬出
する。次いでエッチングガス導入用バルブ325を開い
て、反応室305内にエッチングガス331として、例
えば、SF6 ガスを流量100SCCMの条件で導入す
る。これと同時に光導入窓304のエッチングを防止す
るための不活性なパージガスとして、例えば、Arガス
を流量4.5SLMの条件でパージガス用ノズル310
bから導入する。また、反応室305の内部は、真空排
気ポンプ308により、約0.5Torrにまで減圧さ
れる。
First, the substrate 306 is carried out of the reaction chamber 305. Next, the etching gas introduction valve 325 is opened, and SF 6 gas, for example, is introduced into the reaction chamber 305 as the etching gas 331 at a flow rate of 100 SCCM. At the same time, for example, Ar gas is used as an inert purge gas for preventing the light introduction window 304 from being etched at a flow rate of 4.5 SLM and the purge gas nozzle 310 is used.
b. The pressure inside the reaction chamber 305 is reduced to about 0.5 Torr by the vacuum pump 308.

【0100】ここで、主制御装置329により、基板加
熱用ヒータ307および基板周囲加熱用ヒータ321の
温度が伴に230℃になるように第1および第2の温度
制御327,328を制御するとともに、冷媒導入用バ
ルブ327を開状態に設定する。すなわち、基板306
およびその周りの部分の反応室底部321が伴に加熱さ
れるようにする。
Here, the main controller 329 controls the first and second temperature controls 327 and 328 so that the temperatures of the substrate heating heater 307 and the substrate surrounding heater 321 become 230 ° C. together. Then, the refrigerant introduction valve 327 is set to the open state. That is, the substrate 306
And the surroundings of the reaction chamber bottom 321 are heated accordingly.

【0101】そして、高周波電源315によって、例え
ば、200WのRFパワーをクリーニング用電極314
に与える。この結果、クリーニング用電極314と反応
室305の内壁との間でプラズマ放電領域が形成され、
エッチングガス331であるSF6 ガスが分解され、エ
ッチング種である原子状Fが生成される。この原子状F
によって反応室305の内部に被着した水素化アモルフ
ァスシリコン膜がエッチング除去される。
Then, RF power of, for example, 200 W is applied by the high-frequency power source 315 to the cleaning electrode 314.
Give to. As a result, a plasma discharge region is formed between the cleaning electrode 314 and the inner wall of the reaction chamber 305,
The SF 6 gas as the etching gas 331 is decomposed, and atomic F as an etching species is generated. This atomic F
As a result, the hydrogenated amorphous silicon film deposited inside the reaction chamber 305 is removed by etching.

【0102】このエッチング工程においても、エッチン
グ速度は表面反応速度に依存しており、いわゆる、活性
化型の基板表面温度依存性を示し、図9に示すように、
基板度温度の上昇に伴ってエッチング速度が速くなる。
Also in this etching step, the etching rate depends on the surface reaction rate, and shows a so-called activation type substrate surface temperature dependency. As shown in FIG.
The etching rate increases as the substrate temperature increases.

【0103】このため、上記の如きに基板306および
その周りの部分の反応室底部321を同程度の高温度に
加熱することにより、エッチング速度は従来の約1.5
倍となることを確認した。
Therefore, by heating the substrate 306 and the reaction chamber bottom 321 around the substrate 306 to the same high temperature as described above, the etching rate becomes about 1.5 times the conventional value.
It was confirmed that it became double.

【0104】したがって、成膜時における反応室305
の内部に被着する水素化アモルファスシリコン膜の低減
化およびエッチング速度の増加によって、従来の光CV
D装置に比べて、反応室内305のクリーニング時間が
大幅に短縮され、スループットの向上を大幅に図ること
ができる。
Therefore, the reaction chamber 305 during film formation
The conventional light CV is reduced by reducing the amount of hydrogenated amorphous silicon film deposited on the inside and increasing the etching rate.
The cleaning time of the reaction chamber 305 is greatly reduced as compared with the D apparatus, and the throughput can be greatly improved.

【0105】以上述べたように、本参考例によれば、基
板306およびその周囲の部分の反応室底部321の温
度を独立に制御できるので、成膜時における基板周囲の
反応室底部321に被着する膜の発生を抑制できるとと
もに、クリーニング時におけるエッチング速度を向上で
きるので、クリーニングプロセスに要する時間を大幅に
短縮でき、スループットの大幅な改善を図ることができ
る。
As described above, according to the present embodiment , the temperature of the substrate 306 and the temperature of the reaction chamber bottom 321 around the substrate 306 can be controlled independently. Since the generation of a film to be deposited can be suppressed and the etching rate at the time of cleaning can be improved, the time required for the cleaning process can be greatly reduced, and the throughput can be greatly improved.

【0106】なお、本参考例では、水銀増感法を用いた
層流方式光CVD装置の場合について説明したが、本願
の手法は、水銀増感反応を用いない直接励起型の光CV
D法や、プラズマCVD法等のCVD装置にも適用でき
る。
[0106] In the present embodiment, the description has been given of the laminar flow type optical CVD apparatus using a mercury sensitization method, application
Approach is the direct excitation type which does not use mercury sensitization reaction light CV
The present invention can also be applied to a CVD apparatus such as a D method or a plasma CVD method.

【0107】また、本参考例では、基板周囲の反応室底
部のみを加熱・冷却する構成のCVD装置について説明
したが、反応室底部だけではなく、その他の部分につい
ても同様に加熱・冷却できる構成としても良い。このよ
うな構成のCVD装置のほうが反応速度の制御範囲が広
くなるので、その効果も大きくなる。
Further, in this embodiment , the CVD apparatus having a configuration in which only the bottom of the reaction chamber around the substrate is heated and cooled has been described. However, not only the bottom of the reaction chamber but also other parts can be similarly heated and cooled. It is good. Since the control range of the reaction speed is wider in the CVD apparatus having such a configuration, the effect is also increased.

【0108】更に、本参考例では、基板周囲の部分の反
応室底部を加熱・冷却するために、ヒーター,冷却水を
利用したが、熱電効果を利用したペルチェ素子を使用す
ることにより、電流制御のみで加熱・冷却を行なうこと
ができる。このようなペルチェ素子を使用することによ
り、制御機構の単純化が図れる。
Further, in this embodiment , the heater and the cooling water are used to heat and cool the bottom of the reaction chamber around the substrate, but the current control is performed by using the Peltier element utilizing the thermoelectric effect. Heating and cooling can be performed only by heating. By using such a Peltier element, the control mechanism can be simplified.

【0109】図11は、本願の他の参考例に係る水銀増
感法を用いた層流方式光CVD装置の概略構成図であ
り、図11(a)は上から見たときの概略構成図を示
し、図11(b)は横から見たときの概略構成図を示し
ている。本参考例の光CVD装置が、先の参考例のそれ
と異なる点は、基板周囲の部分の反応室底部を加熱およ
び冷却を熱媒によって行なうことにある。
FIG. 11 is a schematic configuration diagram of a laminar flow type photo-CVD apparatus using a mercury sensitization method according to another reference example of the present application , and FIG. 11 (a) is a schematic configuration diagram when viewed from above. FIG. 11B shows a schematic configuration diagram when viewed from the side. The difference between the photo-assisted CVD apparatus of this embodiment and that of the above-described embodiment is that the bottom of the reaction chamber around the substrate is heated and cooled by a heat medium.

【0110】図中、405は反応室を示しており、この
反応室405の内部には、基板406が収容されてい
る。この基板406は、反応室底部431に組み込まれ
た基板加熱用ヒータ407によって加熱されるようにな
っている。また、反応室405の上方には、ランプハウ
ス401が設けられ、このランプハウス401内には、
低圧水銀ランプからなる光源402が設けられている。
また、ランプハウス401内にはパージガスとしてN2
が導入されており、これによって大気成分(酸素ガス,
水蒸気等)の光吸収による紫外光の減衰を防止してい
る。
In the drawing, reference numeral 405 denotes a reaction chamber, in which a substrate 406 is accommodated. The substrate 406 is heated by a substrate heating heater 407 incorporated in the bottom 431 of the reaction chamber. Further, a lamp house 401 is provided above the reaction chamber 405, and inside the lamp house 401,
A light source 402 including a low-pressure mercury lamp is provided.
Further, N 2 is used as a purge gas in the lamp house 401.
Has been introduced, which allows atmospheric components (oxygen gas,
UV light is prevented from attenuating due to light absorption of water vapor or the like.

【0111】光源402の上方には、反射板403が配
置されている。光源402から出射した光は、直接、石
英等からなる光導入窓404を介して基板406に照射
されるか、或いは反射板403で反射されて光導入窓4
04を介して基板406に照射されるようになってい
る。
A reflecting plate 403 is arranged above the light source 402. The light emitted from the light source 402 is directly applied to the substrate 406 through a light introduction window 404 made of quartz or the like, or is reflected by a reflection plate 403 and is reflected by the light introduction window 4.
The substrate 406 is irradiated through the substrate 04.

【0112】一方、光源402の下方には、紫外光を通
過する材料、例えば、石英からなるガス流制御板412
が設けられている。このガス流制御板412と光導入窓
404との間には、紫外光に対して透明なメッシュノズ
ル411が設けられている。
On the other hand, below the light source 402, a gas flow control plate 412 made of a material that transmits ultraviolet light, for example, quartz.
Is provided. A mesh nozzle 411 transparent to ultraviolet light is provided between the gas flow control plate 412 and the light introduction window 404.

【0113】反応室405の外部には、一定温度に保た
れている水銀400が溜まっている水銀溜409と、S
iH4 等の材料ガスが収容された材料ガス供給部(不図
示)と、パージガスとしてのArなどの不活性物質が収
容されたパージガス供給部(不図示)と、反応室405
内のガスを排気口413からするための真空排気ポンプ
408からなるガス排気部(不図示)とが設けられてい
る。なお、パージガス中に紫外光に対して吸収性を示す
物質を混入する機構を設けても良い。
Outside the reaction chamber 405, a mercury reservoir 409 in which mercury 400 maintained at a constant temperature is stored,
a material gas supply unit (not shown) containing a material gas such as iH 4 , a purge gas supply unit (not shown) containing an inert substance such as Ar as a purge gas, and a reaction chamber 405.
A gas exhaust unit (not shown) including a vacuum exhaust pump 408 for exhausting gas from the inside through an exhaust port 413 is provided. Note that a mechanism for mixing a substance exhibiting absorptivity to ultraviolet light into the purge gas may be provided.

【0114】材料ガス供給部から供給された材料ガス4
22は、水銀溜409,材料・エッチングガス導入ノズ
ル410aを介して反応室内405に導入される。すな
わち、材料ガス42と水銀蒸気との混合ガスが反応室4
05内を流れる。
The material gas 4 supplied from the material gas supply unit
Numeral 22 is introduced into the reaction chamber 405 via a mercury reservoir 409 and a material / etching gas introduction nozzle 410a. That is, the mixed gas of the material gas 42 and the mercury vapor is supplied to the reaction chamber 4.
It flows inside 05.

【0115】パージガス供給部から供給されたパージガ
ス434は、パージガス導入ノズル410b,メッシュ
ノズ411,ガス流制御板412を介して基板406に
吹きつけられる。この結果、材料ガス422と水銀蒸気
との混合ガスが基板406と平行に流れ、基板406の
表面近傍に上記混合ガスの層流が形成され、それ以外の
部分にはパージガス434の層流が形成される。
The purge gas 434 supplied from the purge gas supply unit is blown onto the substrate 406 via the purge gas introduction nozzle 410b, the mesh nose 411, and the gas flow control plate 412. As a result, a mixed gas of the material gas 422 and the mercury vapor flows in parallel with the substrate 406, and a laminar flow of the mixed gas is formed near the surface of the substrate 406, and a laminar flow of the purge gas 434 is formed in other portions. Is done.

【0116】このような基本構成に加えて、本参考例
光CVD装置は、基板406が載置されている以外の部
分の反応室底部421を熱媒により加熱または冷却する
ための熱媒循環用パイプ433と、低温熱媒循環装置4
42と、高温熱媒循環装置443と、バルブ開閉制御装
置441とを備えている。熱媒として、例えば、パーフ
ルオロポリエーテルを使用する。
In addition to such a basic structure, the optical CVD apparatus of the present embodiment has a heating medium circulating system for heating or cooling the reaction chamber bottom 421 at a portion other than where the substrate 406 is mounted. Pipe 433 and low-temperature heat medium circulating device 4
42, a high-temperature heat medium circulation device 443, and a valve opening / closing control device 441. As the heat medium, for example, perfluoropolyether is used.

【0117】上記バルブ開閉制御装置441は、熱媒循
環用パイプ433に挿設されたバルブ444a,444
b,445aおよび445bの開閉の制御、更に、エッ
チングガス421を反応室405内に導入するためのエ
ッチングガス導入用バルブ425および材料ガス422
を反応室405内に導入するための材料ガス導入用バル
ブ424の開閉の制御を行なう。
The valve opening / closing control device 441 includes valves 444 a, 444 inserted in the heat medium circulating pipe 433.
b, 445a and 445b, and an etching gas introduction valve 425 and a material gas 422 for introducing an etching gas 421 into the reaction chamber 405.
Of the material gas introduction valve 424 for introducing the gas into the reaction chamber 405 is controlled.

【0118】このバルブ開閉制御装置441は、材料ガ
ス導入用バルブ424およびエッチングガス導入用バル
ブ425の開閉に対応して、次のように熱媒循環用パイ
プ433に挿設されたバルブ444a,444b,44
5aおよび445bの開閉の制御を行なう。
The valve opening / closing control device 441 corresponds to the opening / closing of the material gas introduction valve 424 and the etching gas introduction valve 425, and the valves 444a and 444b inserted in the heat medium circulation pipe 433 as follows. , 44
Control of opening and closing of 5a and 445b is performed.

【0119】すなわち、材料ガス導入用バルブ424お
よびエッチングガス導入用バルブ425が、それぞれ、
開状態および閉状態のとき、つまり、膜形成時には、バ
ルブ444aおよび444bを開状態、バルブ445a
および445bを閉状態とする。一方、材料ガス導入用
バルブ324およびエッチングガス導入用バルブ325
が、それぞれ、閉状態および開状態のとき、つまり、エ
ッチング時には、バルブ444aおよび444bを閉状
態、バルブ445aおよび445bを開状態とする。次
にこのように構成された光CVD装置を用いたアモルフ
ァスシリコン膜の形成方法について述べる。
That is, the material gas introduction valve 424 and the etching gas introduction valve 425 are respectively
In the open state and the closed state, that is, at the time of film formation, the valves 444a and 444b are opened and the valve 445a is opened.
And 445b are closed. On the other hand, a material gas introduction valve 324 and an etching gas introduction valve 325
Are closed and open, that is, during etching, the valves 444a and 444b are closed and the valves 445a and 445b are open. Next, a method for forming an amorphous silicon film using the optical CVD apparatus configured as described above will be described.

【0120】まず、材料ガス導入用バルブ424を開
き、エッチングガス導入用バルブ425を閉じ、水銀溜
409において水銀蒸気と混合された材料ガス432と
してのSiH4 ガスを材料・エッチングガス導入ノズル
410aを介して反応室405に導入し、基板406の
近傍に層流状のガス流を形成する。
First, the material gas introduction valve 424 is opened, the etching gas introduction valve 425 is closed, and in the mercury reservoir 409, the material / etching gas introduction nozzle 410a is supplied with the SiH 4 gas as the material gas 432 mixed with the mercury vapor. Through the reaction chamber 405 to form a laminar gas flow near the substrate 406.

【0121】このとき、バルブ開閉制御装置441によ
って、バルブ444aおよび444bが開状態、バルブ
445aおよび445bが閉状態となるため、基板40
6の周囲の反応室底部431には低温熱媒循環装置44
2で15℃に冷却された熱媒だけ循環する。また、基板
406は基板加熱用ヒータ407によって230℃に加
熱される。
At this time, the valves 444a and 444b are opened and the valves 445a and 445b are closed by the valve opening / closing control device 441.
And a low-temperature heat medium circulating device 44
The heat medium cooled to 15 ° C. in Step 2 is circulated. The substrate 406 is heated to 230 ° C. by the substrate heater 407.

【0122】ここで、光源402からの光より水銀蒸気
が励起され、この励起水銀によってSiH4 ガスが分解
され、これによって、成膜種であるSiH3 ラジカルが
生成される。そして、このSiH3 ラジカルが基板40
6に付着してアモルファスシリコン膜が形成される。
Here, mercury vapor is excited by the light from the light source 402, and the excited mercury decomposes the SiH 4 gas, thereby generating SiH 3 radicals as film-forming species. Then, the SiH 3 radical is transferred to the substrate 40.
6 and an amorphous silicon film is formed.

【0123】このシリコン薄膜の形成において、その堆
積速度は、先の参考例と同様に、表面反応速度に依存し
ているため、先に示した図9と同様に、堆積速度は基板
温度の増加に伴って単調に増加することが分かる。
In the formation of this silicon thin film, the deposition rate depends on the surface reaction rate as in the case of the above-mentioned reference example, and therefore, as shown in FIG. It can be seen that the value increases monotonically with.

【0124】このため、上記の如きに基板406を加熱
し、その周りの部分の反応室底部341を冷却すること
により、基板406の周りの反応室底部321の堆積速
度を抑制することができ、その値は従来構造の約1/5
程度となることを確認した。したがって、本参考例によ
れば、成膜時に基板以外の部分に被着する堆積物(不要
堆積物)の量を大幅に低減できる。一方、上記成膜の際
に反応室405の内部に堆積したアモルファスシリコン
膜の除去方法は下記の手順で行う。
Thus, by heating the substrate 406 as described above and cooling the reaction chamber bottom 341 around the substrate 406, the deposition rate of the reaction chamber bottom 321 around the substrate 406 can be suppressed. The value is about 1/5 of the conventional structure
It was confirmed that it was about. Therefore, according to the present embodiment , the amount of deposits (unnecessary deposits) deposited on portions other than the substrate during film formation can be significantly reduced. On the other hand, the method of removing the amorphous silicon film deposited inside the reaction chamber 405 during the film formation is performed in the following procedure.

【0125】先ず、反応室405から基板406を搬出
する。次いでエッチングガス導入用バルブ425を開
き、材料ガス導入用バルブ424を閉じて、反応室40
5内にエッチングガス421として、例えば、SF6
スを流量100SCCMの条件で導入する。これと同時
に光導入窓404のエッチングを防止するための不活性
なパージガスとして、例えば、Arガスを流量4.5S
LMの条件でパージガス用ノズル410bから導入す
る。また、反応室405の内部は、真空排気ポンプ40
8により、約0.5Torrにまで減圧される。
First, the substrate 406 is carried out of the reaction chamber 405. Next, the valve 425 for introducing the etching gas is opened, the valve 424 for introducing the material gas is closed, and the reaction chamber 40 is closed.
For example, SF 6 gas is introduced as an etching gas 421 in the flow rate 5 at a flow rate of 100 SCCM. At the same time, for example, Ar gas is used as an inert purge gas for preventing etching of the light introduction window 404 at a flow rate of 4.5 S.
It is introduced from the purge gas nozzle 410b under the condition of LM. Further, the inside of the reaction chamber 405 is
8, the pressure is reduced to about 0.5 Torr.

【0126】そして、高周波電源415によって、例え
ば、200WのRFパワーをクリーニング用電極414
に与える。この結果、クリーニング用電極414と反応
室405の内壁との間でプラズマ放電領域が形成され、
エッチングガス421であるSF6 ガスが分解され、エ
ッチング種である原子状Fが生成される。この原子状F
によって反応室405の内部に被着した水素化アモルフ
ァスシリコン膜がエッチング除去される。
Then, the RF power of, for example, 200 W is supplied by the high-frequency power supply 415 to the cleaning electrode 414.
Give to. As a result, a plasma discharge region is formed between the cleaning electrode 414 and the inner wall of the reaction chamber 405,
The SF 6 gas as the etching gas 421 is decomposed, and atomic F as an etching species is generated. This atomic F
As a result, the hydrogenated amorphous silicon film deposited inside the reaction chamber 405 is removed by etching.

【0127】このとき、バルブ開閉制御装置441によ
り、バルブ444aおよび444bを閉状態、バルブ4
45aおよび445bを開状態とし、基板406の周囲
の反応室底部431には高温熱媒循環装置443で18
0℃に加熱された熱媒が循環する。また、基板406は
基板加熱用ヒータ407によって230℃に加熱され
る。
At this time, the valves 444a and 444b are closed by the valve opening / closing control device 441,
45a and 445b are opened, and the bottom of the reaction chamber 431 around the substrate 406 is cooled by a high-temperature heat transfer medium circulating device 443.
The heating medium heated to 0 ° C. circulates. The substrate 406 is heated to 230 ° C. by the substrate heater 407.

【0128】このエッチング工程においても、先の参考
と同様に、エッチング速度は表面反応速度に依存し、
先に示した図9のように、基板度温度の上昇に伴ってエ
ッチング速度が速くなる。
[0128] In this etching process, the previous reference
As in the example , the etching rate depends on the surface reaction rate,
As shown in FIG. 9 described above, the etching rate increases as the substrate temperature increases.

【0129】このため、上記の如きに基板406および
その周りの部分の反応室底部431を高温度に加熱する
ことにより、エッチング速度は従来の約1.5倍となる
ことを確認した。
For this reason, it was confirmed that by heating the substrate 406 and the reaction chamber bottom 431 around the substrate 406 to a high temperature as described above, the etching rate was increased to about 1.5 times the conventional rate.

【0130】したがって、成膜時における反応室405
の内部に被着する水素化アモルファスシリコン膜の低減
化およびエッチング速度の増加によって、従来の光CV
D装置に比べて、反応室内405のクリーニング時間が
大幅に短縮され、スループットの向上を大幅に図ること
ができる。
Therefore, the reaction chamber 405 during film formation
The conventional light CV is reduced by reducing the amount of hydrogenated amorphous silicon film deposited on the inside and increasing the etching rate.
The cleaning time of the reaction chamber 405 is greatly reduced as compared with the D apparatus, and the throughput can be greatly improved.

【0131】以上述べたように、本参考例でも先の参考
と同様に、基板406およびその周囲の部分の反応室
底部431の温度を独立に制御できるので、成膜時にお
ける基板周囲の反応室底部431に被着する膜を抑制で
きるとともに、クリーニング時におけるエッチング速度
を向上できるので、クリーニングプロセスに要する時間
を大幅に短縮でき、スループットの大幅な改善を図るこ
とができる。
[0131] As described above, the previous reference, even this reference example
As in the example , since the temperature of the substrate 406 and the temperature of the reaction chamber bottom 431 around the substrate 406 can be controlled independently, the film adhered to the reaction chamber bottom 431 around the substrate during film formation can be suppressed, and the time of cleaning can be reduced. Since the etching rate can be improved, the time required for the cleaning process can be significantly reduced, and the throughput can be significantly improved.

【0132】なお、本参考例では、水銀増感法を用いた
層流方式光CVD装置の場合について説明したが、本願
の手法は、水銀増感反応を用いない直接励起型の光CV
D法や、プラズマCVD法等のCVD装置にも適用でき
る。
[0132] In the present embodiment, the description has been given of the laminar flow type optical CVD apparatus using a mercury sensitization method, application
Approach is the direct excitation type which does not use mercury sensitization reaction light CV
The present invention can also be applied to a CVD apparatus such as a D method or a plasma CVD method.

【0133】また、本参考例では、基板周囲の反応室底
部のみを加熱または冷却する構成のCVD装置について
説明したが、反応室底部だけではなく、その他の部分に
ついても同様に熱媒によって加熱または冷却できる構成
としても良い。このような構成のCVD装置のほうが反
応速度の制御範囲が広くなるので、その効果も大きくな
る。
Further, in this embodiment , the CVD apparatus having a configuration in which only the bottom of the reaction chamber around the substrate is heated or cooled has been described. However, not only the bottom of the reaction chamber but also other parts are similarly heated or cooled by the heating medium. It is good also as a structure which can be cooled. Since the control range of the reaction speed is wider in the CVD apparatus having such a configuration, the effect is also increased.

【0134】図12,図13は、本願の他の参考例に係
る水銀増感法を用いた層流方式光CVD装置の概略構成
図であり、それぞれ、成膜開始前および成膜時の状態を
示すものである。
FIGS. 12 and 13 are schematic structural views of a laminar flow type photo-CVD apparatus using a mercury sensitization method according to another reference example of the present invention, and show a state before the start of film formation and a state at the time of film formation, respectively. It shows.

【0135】本参考例の光CVD装置が従来のそれと異
なる点は、光遮断器503に基板506を加熱するため
の赤外線発生器からなる基板加熱装置511(加熱手
段)が組み込まれていることにある。また、光遮断器5
03には赤外光を反射する反射板513が設けられ、基
板加熱装置511によって効率良く基板506を加熱で
きるようになっている。
The optical CVD apparatus of the present embodiment is different from the conventional apparatus in that a substrate heating device 511 (heating means) composed of an infrared ray generator for heating a substrate 506 is incorporated in the optical circuit breaker 503. is there. In addition, the optical circuit breaker 5
03 is provided with a reflection plate 513 that reflects infrared light, so that the substrate 506 can be efficiently heated by the substrate heating device 511.

【0136】成膜開始前は、ランプハウス501内に設
けられた低圧水銀ランプ502からの光が基板506に
照射されないように、図12に示すように、光遮断器5
03は低圧水銀ランプ502の下部に位置している。上
記ランプハウス501内は紫外光を吸収しないガス、例
えば、N2ガスによりパージされている。
Before the start of film formation, as shown in FIG. 12, a light interrupter 5 is provided so that light from a low-pressure mercury lamp 502 provided in a lamp house 501 is not irradiated on the substrate 506.
03 is located below the low-pressure mercury lamp 502. The inside of the lamp house 501 is purged with a gas that does not absorb ultraviolet light, for example, N2 gas.

【0137】更に、本参考例の場合、従来とは異なり、
基板加熱装置511により、成膜前にあらかじめ基板5
06を、例えば、250℃程度に加熱しておく。また、
光遮断器503内には冷却装置512が設けられてお
り、これによって基板加熱装置511による光遮断器5
03自身の温度上昇が防止されている。
Further, in the case of this reference example , unlike the prior art,
Before film formation, the substrate 5
06 is heated to, for example, about 250 ° C. Also,
A cooling device 512 is provided in the light interrupter 503, and the cooling device 512 is provided by the substrate heating device 511.
03 itself is prevented from rising.

【0138】一方、成膜時には、図13に示すように、
光遮断器503を開いて、基板加熱装置511による基
板506の加熱を中断するとともに、低圧水銀ランプ5
02からの紫外光500を、合成石英などの紫外光を透
過する材料からなる光導入窓504を介して、反応室5
05内に配置された基板506の表面に照射させる。こ
のとき、基板506は、基板加熱用ヒーター507によ
り200〜300度に加熱され、また、反応室505
は、メカニカルブースターポンプ等の真空排気装置50
8により、0.2Torr程度の圧力に排気されるとと
もに、反応室505内に材料ガス514として流量20
SCCMのSiH4 ガスを材料ガス導入用ノズル510
を介して導入する。このSiH4 は、90度程度に加熱
された水銀500が溜まっている水銀溜509を通過
し、光励起用水銀蒸気と混合された状態で、反応室50
5内に導入される。
On the other hand, at the time of film formation, as shown in FIG.
By opening the light interrupter 503, the heating of the substrate 506 by the substrate heating device 511 is interrupted and the low-pressure mercury lamp 5
02 from the reaction chamber 5 through a light introduction window 504 made of a material that transmits ultraviolet light, such as synthetic quartz.
Irradiation is performed on the surface of the substrate 506 arranged in the substrate 05. At this time, the substrate 506 is heated to 200 to 300 degrees by the substrate heating heater 507 and the reaction chamber 505 is heated.
Is a vacuum exhaust device 50 such as a mechanical booster pump.
8, the gas is exhausted to a pressure of about 0.2 Torr, and the material gas 514 is supplied into the reaction chamber 505 at a flow rate of about 20 Torr.
Nozzle 510 for introducing SCCM SiH 4 gas as material gas
Introduce through. This SiH 4 passes through a mercury reservoir 509 in which mercury 500 heated to about 90 degrees is stored, and is mixed with mercury vapor for photoexcitation in a reaction chamber 50.
5 is introduced.

【0139】この結果、光源502からの紫外光より水
銀蒸気が励起され、この励起水銀によってSiH4 ガス
が分解され、これによって、成膜種であるSiH3 ラジ
カルが生成される。そして、このSiH3 ラジカルが基
板506に付着してアモルファスシリコン膜が形成され
る。
As a result, the mercury vapor is excited by the ultraviolet light from the light source 502, and the SiH 4 gas is decomposed by the excited mercury, thereby generating SiH 3 radicals as film-forming species. Then, the SiH 3 radicals adhere to the substrate 506 to form an amorphous silicon film.

【0140】このアモルファスシリコン薄膜の形成にお
いては、成膜開始前は光遮断器503に設けられた基板
加熱装置511によって、基板506があらかじめ加熱
されるため、基板506の温度は成膜を開始しても上昇
することがなく、安定する。図14はそのことを示す照
射時間と基板温度との関係を示す特性図である。本願
よれば、成膜開始の前後において、基板温度を250℃
に保つことができるが、従来の場合には、成膜直後に基
板温度が急激に上昇する。これは従来の場合には、成膜
開始直後において、基板が光源が発する赤外光を吸収す
るからである。一方、本願の場合には、光源が発する赤
外光の吸収による基板温度の上昇程度まで、基板加熱装
置511によって、あらかじめ基板506を加熱してい
るので、従来のように、基板温度が急激に上昇すること
はない。
In the formation of this amorphous silicon thin film, before starting the film formation, the substrate 506 is heated in advance by the substrate heating device 511 provided in the light interrupter 503. Even without rising, it is stable. FIG. 14 is a characteristic diagram showing the relationship between the irradiation time and the substrate temperature. According to the present application , the substrate temperature is set to 250 ° C. before and after the start of film formation.
However, in the conventional case, the substrate temperature sharply rises immediately after film formation. This is because, in the conventional case, the substrate absorbs infrared light emitted from the light source immediately after the start of film formation. On the other hand, in the case of the present application , since the substrate 506 is preliminarily heated by the substrate heating device 511 until the substrate temperature rises due to the absorption of the infrared light emitted from the light source, the substrate temperature sharply decreases as in the related art. It does not rise.

【0141】したがって、本参考例によれば、成膜開始
時における基板温度の急激な温度上昇を防止できるの
で、膜厚方向の膜質が一様なアモルファスシリコン膜が
得られる。
Therefore, according to the present embodiment, it is possible to prevent a rapid rise in the substrate temperature at the start of the film formation, so that an amorphous silicon film having a uniform film quality in the film thickness direction can be obtained.

【0142】なお、成膜直後における基板温度の変化を
できるだけ少なくするためには、基板加熱装置511に
よって基板506に与えられる熱量と、光源502から
の光によって基板506に与えられる熱量とを同じにす
る必要がある。
In order to minimize the change in the substrate temperature immediately after the film formation, the amount of heat given to the substrate 506 by the substrate heating device 511 and the amount of heat given to the substrate 506 by the light from the light source 502 should be the same. There is a need to.

【0143】なお、本参考例では、材料ガスとしてSi
4 を用いた水銀増感光CVD装置について述べたが、
本願の手法は、材料ガスとしてジシラン(Si2 6
を使用した直接励起光CVD装置にも適用できる。次に
本願の他の参考例に係る水銀増感法を用いた層流方式光
CVD装置について説明する。
In this embodiment , Si is used as a material gas.
The mercury-sensitized CVD apparatus using H 4 has been described.
The method of the present application uses disilane (Si 2 H 6 ) as a material gas.
The present invention can also be applied to a direct excitation photo-assisted CVD apparatus using the method. next
A laminar flow type photo CVD apparatus using a mercury sensitization method according to another reference example of the present application will be described.

【0144】本参考例の光CVD装置が先に説明した図
12,図13のそれと異なる点、基板加熱装置を組み込
んだ光遮断器の代わりに、紫外光を遮断し、赤外光を透
過する材料、例えば、ガラスからなる光遮断器を用いる
ことにある。
The difference between the photo-assisted CVD apparatus of this embodiment and those of FIGS. 12 and 13 described above is that, instead of an optical circuit breaker incorporating a substrate heating device, ultraviolet light is blocked and infrared light is transmitted. It consists in using a light blocker made of a material, for example, glass.

【0145】このような光遮断器を用いた光CVD装置
によれば、成膜開始前の光遮断器が閉じた状態でも、光
源からの光のうち、加熱効果の高い赤外光が選択的に基
板に照射されるので、基板温度が上昇する。一方、従来
の光CVD装置では、Alやステンレスで形成された光
遮断器を使用していたので、本参考例にように、成膜開
始前に基板温度を高くすることはできない。
According to the optical CVD apparatus using such an optical circuit breaker, even if the optical circuit breaker is closed before the start of film formation, infrared light having a high heating effect is selectively selected from light from the light source. The substrate temperature is increased because the substrate is irradiated. On the other hand, in the conventional photo-CVD apparatus, since a light interrupter made of Al or stainless steel is used, the substrate temperature cannot be increased before the start of film formation as in this embodiment .

【0146】したがって、本参考例の光CVD装置で
も、先の参考例と同様に、成膜前にあらかじめ基板を加
熱でき、成膜直後における基板温度の急激な上昇を防止
できるので、膜厚方向の膜質が一様な高品質なアモルフ
ァスシリコン膜などの膜を形成することができる。図1
5は、本願の他の参考例に係る水銀増感法を用いた光C
VD装置の概略構成を示す模式図である。
[0146] Thus, even in the optical CVD device of this reference example, as in the previous reference example, can be pre-heating the substrate prior to film formation, it is possible to prevent a rapid rise of the substrate temperature immediately after formation of the film, the film thickness direction A film such as a high quality amorphous silicon film having a uniform film quality can be formed. FIG.
5 shows light C using the mercury sensitization method according to another reference example of the present application.
It is a schematic diagram which shows the schematic structure of a VD apparatus.

【0147】図中、601は反応室を示しており、この
反応室601にはスリットノズル602が設けられてい
る。このスリットノズル602により、水銀増感反応に
用いる水銀蒸気603とキャリアガス604(例えば、
Arガス)との混合ガス611を反応室601に導入で
きるようになっている。このとき、水銀の蒸気圧を稼ぐ
ために水銀溜612を90℃程度に加熱することが望ま
しい。
In the figure, reference numeral 601 denotes a reaction chamber, in which a slit nozzle 602 is provided. The slit nozzle 602 allows the mercury vapor 603 and the carrier gas 604 (for example,
A mixed gas 611 with Ar gas) can be introduced into the reaction chamber 601. At this time, it is desirable to heat the mercury reservoir 612 to about 90 ° C. in order to increase the vapor pressure of mercury.

【0148】また、反応室601の下方には、基板60
5を載置するための試料台616が設置されている。こ
の試料台616には、基板605を加熱するための基板
加熱用ヒーター606が組み込まれている。一方、反応
室601の上方には、例えば、低圧水銀ランプからなる
光源607が設置されており、この光源607からの光
は、光透過窓608を通って基板605に照射されるよ
うになっている。
The substrate 60 is located below the reaction chamber 601.
A sample stage 616 on which the sample No. 5 is placed is installed. The sample stage 616 incorporates a substrate heating heater 606 for heating the substrate 605. On the other hand, a light source 607 composed of, for example, a low-pressure mercury lamp is provided above the reaction chamber 601, and light from this light source 607 is irradiated on the substrate 605 through the light transmission window 608. I have.

【0149】また、水銀増感反応により生成されるSi
3 等のラジカルを基板側に押さえつけ、光透過窓60
8へのラジカルの飛来を防ぐために、光透過窓608の
近傍から材料ガス610を吹き出すためのメッシュノズ
ル609が設けられている。ここで、メッシュノズル6
09から吹き出すガスの流量により、ラジカルが光透過
窓608へ飛来することを防ぐ能力が決まるので、メッ
シュノズル609から吹き出すガス流量を多くするため
に、材料ガス610にAr等の不活性ガス或いは水素な
どを混合しても良い。
Further, Si generated by the mercury sensitization reaction
Radicals such as H 3 are pressed against the substrate side, and the light transmitting window 60 is pressed.
A mesh nozzle 609 for blowing out the material gas 610 from the vicinity of the light transmission window 608 is provided in order to prevent radicals from flying to the nozzle 8. Here, the mesh nozzle 6
Since the ability to prevent radicals from flying to the light transmission window 608 is determined by the flow rate of the gas blown out of the mesh nozzle 609, an inert gas such as Ar or hydrogen gas is added to the material gas 610 in order to increase the flow rate of the gas blown out of the mesh nozzle 609. Etc. may be mixed.

【0150】具体的な成膜条件を示すと、反応室601
内の圧力を0.5Torr程度に保ち、スリットノズル
602からArガスを0.6SLM導入するとともに、
メッシュノズル609から0.1SLMのシランガス
(SiH4 )と4.4SLMのArガスとを導入する。
そして、紫外光の照度を基板605上で約30mW/c
2 としてアモルファスシリコン膜を形成する。
The specific film forming conditions are described below.
While maintaining the internal pressure at about 0.5 Torr, introducing 0.6 SLM of Ar gas from the slit nozzle 602,
0.1 SLM of silane gas (SiH 4 ) and 4.4 SLM of Ar gas are introduced from the mesh nozzle 609.
Then, the illuminance of the ultraviolet light is about 30 mW / c on the substrate 605.
m 2 To form an amorphous silicon film.

【0151】本参考例の光CVD装置によれば、メッシ
ュノズル609から吹き出すSiH4 ガスとスリットノ
ズル602から吹き出される水銀とが基板近傍の領域6
13で初めて衝突し、このSiH4 ガスと水銀ガスとの
混合ガス614が基板近傍の領域613で形成され、そ
こでの光照射により成膜に直接寄与するSiH3 ラジカ
ルが生成され、基板605へ輸送される。
According to the photo-assisted CVD apparatus of the present embodiment, the SiH 4 gas blown out from the mesh nozzle 609 and the mercury blown out from the slit nozzle 602 form the region 6 near the substrate.
13, a mixed gas 614 of SiH 4 gas and mercury gas is formed in a region 613 near the substrate, and the light irradiation there generates SiH 3 radicals directly contributing to the film formation and transported to the substrate 605. Is done.

【0152】このため、反応室601の外部であらかじ
め形成されたシランガスと水銀ガスとの混合ガスを反応
室601内に導入する場合に比べて、成膜に直接寄与す
るSiH3 ラジカルが基板605に飛来するまでの時間
が短縮される。
Therefore, compared with the case where a mixed gas of silane gas and mercury gas formed in advance outside the reaction chamber 601 is introduced into the reaction chamber 601, SiH 3 radicals directly contributing to the film formation are deposited on the substrate 605. The time to fly is reduced.

【0153】したがって、本参考例によれば、SiH3
ラジカルが、基板表面に飛来する前に、成膜に悪影響を
与えるSiH2 ラジカルに分解されるのを抑制できるの
で、基板面内で膜質が一様な高品質なアモルファスシリ
コン膜が得られる。次に本願の他の参考例に係る水銀増
感法を用いた光CVD装置について説明する。
Therefore, according to the present embodiment , SiH 3
Since the radicals can be prevented from being decomposed into SiH 2 radicals that have an adverse effect on film formation before flying to the substrate surface, a high-quality amorphous silicon film having a uniform film quality in the substrate surface can be obtained. Next, an optical CVD apparatus using a mercury sensitization method according to another reference example of the present application will be described.

【0154】本参考例の光CVD装置が先に説明した図
15のそれと異なる点は、光透過窓608の表面に光フ
ィルタを設け、光源からの光に含まれる紫外光のうち、
上記光フィルタにより波長が185nmの紫外光を吸収
し、波長が254nmの紫外光を反応室内に導入するこ
とにある。
The optical CVD apparatus of the present embodiment is different from that of FIG. 15 described earlier in that an optical filter is provided on the surface of the light transmitting window 608, and among the ultraviolet light contained in the light from the light source,
The object of the present invention is to absorb ultraviolet light having a wavelength of 185 nm by the optical filter and to introduce ultraviolet light having a wavelength of 254 nm into the reaction chamber.

【0155】このように構成された光CVD装置によれ
ば、材料ガスとして、254nmの紫外光により分解さ
れず、Si原子を含む物質、例えば、ジシラン(Si2
6),トリシラン(Si3 8 ),テトラシラン(n
−Si4 10)などを用いれば、光透過窓近傍における
紫外光照射によって生成される反応性生物の量を低減で
きる。このため、光透過窓に被着する反応性生物の量を
低減できるので、光照射量の低減による膜質の不均一性
や成膜速度の低下を防止できる。
According to the photo-assisted CVD apparatus configured as described above, a material containing Si atoms, such as disilane (Si 2
H 6 ), trisilane (Si 3 H 8 ), tetrasilane (n
-Si 4 H 10 ) or the like can reduce the amount of reactive products generated by ultraviolet light irradiation near the light transmission window. For this reason, since the amount of the reaction product adhered to the light transmission window can be reduced, it is possible to prevent the non-uniformity of the film quality and the reduction of the film formation speed due to the reduction of the light irradiation amount.

【0156】なお、本実施形態の例では、アモルファス
シリコン膜の成膜について述べたが、マイクロクリスタ
ル等の半導体薄膜の成膜にも本発明を適用できる。更
に、アモルファスSiCやアモルファスSiN等を成膜
する場合には、メタン、水素、窒素、アンモニア等の光
源からの光を吸収しないガスと、シランやジシランなど
の材料ガスとの混合ガスにより光透過窓をパージするこ
とも有効な方法である。更にまた、本実施形態の例
は、一般的な光CVD装置について述べたが、ガスを基
板表面に沿って流す層流方式の光CVD装置にも適用で
きる。
In the example of this embodiment , the formation of an amorphous silicon film is described. However, the present invention can be applied to the formation of a semiconductor thin film such as a microcrystal. Further, when a film of amorphous SiC or amorphous SiN is formed, a light transmission window is formed by a mixed gas of a gas that does not absorb light from a light source such as methane, hydrogen, nitrogen, and ammonia, and a material gas such as silane or disilane. Purging is also an effective method. Furthermore, in the example of the present embodiment , a general photo-assisted CVD apparatus has been described, but the present invention can also be applied to a laminar flow-type photo-assisted CVD apparatus in which a gas flows along the surface of a substrate.

【0157】なお、本発明は上述した実施例に限定され
るものではなく、上記実施例を種々組み合わせても良
い。その他、本発明の要旨を逸脱しない範囲で、種々変
形して実施できる。
It should be noted that the present invention is not limited to the above-described embodiments, and the above-described embodiments may be variously combined. In addition, various modifications can be made without departing from the scope of the present invention.

【0158】[0158]

【発明の効果】以上詳述したように本発明(請求項1)
によれば、材料ガスの供給と共通に用いられる第1のガ
スノズルから供給されるエッチングガスの下流に、第2
のガスノズルが設けられている。
As described in detail above, the present invention (claim 1)
According to the method, a second gas is supplied downstream from an etching gas supplied from a first gas nozzle commonly used for supplying a material gas.
Gas nozzles are provided.

【0159】このため、第2のガスノズルから供給され
るエッチングガスによって、下流側にもエッチング種が
十分に行き渡る。したがって、従来のCVD装置に比べ
て、下流側のエッチング速度が速まるので、反応室内の
クリーニング時間が大幅に短縮され、スループットの向
上を図ることができる。
For this reason, the etching species supplied from the second gas nozzle sufficiently spreads the etching species also on the downstream side. Therefore, the etching rate on the downstream side is higher than that of the conventional CVD apparatus, so that the cleaning time in the reaction chamber is greatly reduced, and the throughput can be improved.

【0160】また、本発明の他のCVD装置(請求項
2)によれば、ガスノズルがエッチングガスの下流方向
に可動自在であるので、エッチング完了領域が下流側に
進行していくのに伴って、上記ガスノズルを下流方向に
移動させることによって、エッチング種が下流領域にも
十分供給される。したがって、下流側のエッチング速度
が速まるので、反応室内のクリーニング時間が大幅に短
縮され、スループットの向上を図ることができる。
Further, according to another CVD apparatus of the present invention (claim 2), the gas nozzle is movable in the downstream direction of the etching gas. By moving the gas nozzle in the downstream direction, the etching species is sufficiently supplied to the downstream region. Therefore, since the etching rate on the downstream side is increased, the cleaning time in the reaction chamber is significantly reduced, and the throughput can be improved.

【0161】[0161]

【0162】[0162]

【0163】[0163]

【0164】[0164]

【0165】[0165]

【0166】[0166]

【0167】[0167]

【0168】[0168]

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例に係る光CVD装置の概略構
成図。
FIG. 1 is a schematic configuration diagram of an optical CVD apparatus according to one embodiment of the present invention.

【図2】本発明の他の実施例に係る光CVD装置の概略
構成図。
FIG. 2 is a schematic configuration diagram of an optical CVD apparatus according to another embodiment of the present invention.

【図3】本発明の他の実施例に係る光CVD装置を横か
ら見たときの概略構成図(成膜時)。
FIG. 3 is a schematic configuration diagram (at the time of film formation) when an optical CVD apparatus according to another embodiment of the present invention is viewed from the side.

【図4】本発明の他の実施例に係る光CVD装置を横か
ら見たときの概略構成図の概略構成図(エッチング
時)。
FIG. 4 is a schematic configuration diagram (at the time of etching) of a schematic configuration diagram when a photo CVD apparatus according to another embodiment of the present invention is viewed from the side.

【図5】本発明の他の実施例に係る光CVD装置を上か
らみたときの概略構成図(エッチング時)。
FIG. 5 is a schematic configuration diagram (at the time of etching) when a photo CVD apparatus according to another embodiment of the present invention is viewed from above.

【図6】本発明の他の実施例に係る光CVD装置の概略
構成図。
FIG. 6 is a schematic configuration diagram of an optical CVD apparatus according to another embodiment of the present invention.

【図7】本発明の他の実施例に係る光CVD装置の概略
構成図。
FIG. 7 is a schematic configuration diagram of an optical CVD apparatus according to another embodiment of the present invention.

【図8】本願の参考例に係る光CVD装置の概略構成
図。
FIG. 8 is a schematic configuration diagram of an optical CVD apparatus according to a reference example of the present application .

【図9】基板温度と堆積速度との関係を示す特性図。FIG. 9 is a characteristic diagram showing a relationship between a substrate temperature and a deposition rate.

【図10】基板温度とエッチング速度との関係を示す特
性図。
FIG. 10 is a characteristic diagram showing a relationship between a substrate temperature and an etching rate.

【図11】本願の参考例に係る光CVD装置の概略構成
図。
FIG. 11 is a schematic configuration diagram of an optical CVD apparatus according to a reference example of the present application .

【図12】本願の参考例に係る光CVD装置の概略構成
図。
FIG. 12 is a schematic configuration diagram of an optical CVD apparatus according to a reference example of the present application .

【図13】本願の参考例に係る光CVD装置の概略構成
図。
FIG. 13 is a schematic configuration diagram of an optical CVD apparatus according to a reference example of the present application .

【図14】本願の参考例効果を説明するための図。FIG. 14 is a view for explaining effects of the reference example of the present application .

【図15】本願の参考例に係る光CVD装置の概略構成
図。
FIG. 15 is a schematic configuration diagram of an optical CVD apparatus according to a reference example of the present application .

【図16】従来の光CVD装置の概略構成図(側面
図)。
FIG. 16 is a schematic configuration diagram (side view) of a conventional photo-CVD apparatus.

【図17】従来の光CVD装置の概略構成を示す模式図
(上面図)。
FIG. 17 is a schematic view (top view) showing a schematic configuration of a conventional photo-CVD apparatus.

【図18】光CVD装置の概略構成を示す模式図(正面
図)。
FIG. 18 is a schematic view (front view) showing a schematic configuration of a photo-CVD apparatus.

【符号の説明】[Explanation of symbols]

101…反応室、102…ステージ、103…基板、1
04…ホットプレート、105a(第1のガスノズ
ル),105b(第2のガスノズル)…スリットノズ
ル、106…ヒーター107…光導入窓、108…メッ
シュプレート、109…フローガードプレート、110
…パージガス、111…排気口、112…排気ポンプ、
113…クリーニング用電極(被着物除去用の放電電
極)、114…保護膜、115…光源、116…ランプ
ハウス、117…反射板、118…ホルダー板、119
…パイプ、121…エッチングガス、122…材料ガ
ス。201…反応室、202…ステージ、203…基
板、204…ホットプレート、205…スリットノズル
(ガスノズル)、205a…ノズルホルダー、205b
…スリットノズル本体、207…光導入窓、208…メ
ッシュプレート、209…フローガードプレート、21
0…パージガス、211…排気口、212…排気ポン
プ、213…クリーニング用電極、214…保護膜、2
15…光源、216…ランプハウス、217…反射板、
218…ホルダー板、219…パイプ、221…エッチ
ングガス、222…材料ガス、223…ヒーター。30
0…水銀、301…ランプハウス、302…光源、30
3…反射板、304…光導入窓、305…反応室、30
6…基板、307…基板加熱用ヒータ、308…真空排
気ポンプ、309…水銀溜、310a…材料ガス導入ノ
ズル、310b…パージガス導入ノズル、311…パー
ジガス導入ノズルプレート、312…ガス流制御板、3
13…排気口、314…クリーニング用電極、315…
高周波電源、321…反応室底部、322…基板周囲加
熱用ヒータ、323…冷却水用パイプ、324…材料ガ
ス導入用バルブ、325…エッチングガス導入用バル
ブ、326…冷媒導入用バルブ、327…第1の温度制
御装置、328…第2の温度制御装置、329…主制御
装置、331…エッチングガス、332…材料ガス、3
33…冷却水。400…水銀、401…ランプハウス、
402…光源、403…反射板、404…光導入窓、4
05…反応室、406…基板、407…基板加熱用ヒー
タ、408…真空排気ポンプ、409…水銀溜、410
a…材料・エッチングガス導入ノズル(ガスノズル)、
410b…パージガス導入ノズル、411…パージガス
導入ノズルプレート、412…ガス流制御板、413…
排気口、414…クリーニング用電極、415…高周波
電源、421…反応室底部、422…基板周囲加熱用ヒ
ータ、424…材料ガス導入用バルブ、425…エッチ
ングガス導入用バルブ、426…冷媒導入用バルブ、4
27…第1の温度制御装置、428…第2の温度制御装
置、429…主制御装置、431…エッチングガス、4
32…材料ガス、433…熱媒循環用パイプ。500…
水銀、501…ランプハウス、502…低圧水銀ラン
プ、503…光遮断器、504…光導入窓、505…反
応室、506…基板、507…基板加熱用ヒーター、5
08…真空排気装置、509…水銀溜、510…材料ガ
ス導入用ノズル、511…基板加熱装置(加熱手段)、
512…冷却装置、513…反射板、514…材料ガ
ス。601…反応室、602…スリットノズル(第1の
ガスノズル)、603…水銀蒸気、604…キャリアガ
ス、605…基板、606…基板加熱用ヒーター、60
7…光源、608…光透過窓、609…メッシュノズル
(第2のガスノズル)、610…材料ガス、611…混
合ガス(水銀蒸気+キャリアガス)、612…水銀溜、
613…、614…混合ガス(材料ガス+水銀ガス)、
615…基板近傍領域、616…試料台。
101: reaction chamber, 102: stage, 103: substrate, 1
04: hot plate, 105a (first gas nozzle), 105b (second gas nozzle): slit nozzle, 106: heater 107: light introduction window, 108: mesh plate, 109: flow guard plate, 110
... Purge gas, 111 ... Exhaust port, 112 ... Exhaust pump,
Reference numeral 113: cleaning electrode (discharge electrode for removing an adherend); 114, a protective film; 115, a light source; 116, a lamp house; 117, a reflecting plate; 118, a holder plate;
... pipe, 121 ... etching gas, 122 ... material gas. 201: reaction chamber, 202: stage, 203: substrate, 204: hot plate, 205: slit nozzle (gas nozzle), 205a: nozzle holder, 205b
... Slit nozzle body, 207 ... Light introduction window, 208 ... Mesh plate, 209 ... Flow guard plate, 21
0: purge gas, 211: exhaust port, 212: exhaust pump, 213: cleaning electrode, 214: protective film, 2
15: Light source, 216: Lamp house, 217: Reflector,
218: Holder plate, 219: Pipe, 221: Etching gas, 222: Material gas, 223: Heater. 30
0: mercury, 301: lamp house, 302: light source, 30
3 ... reflection plate, 304 ... light introduction window, 305 ... reaction chamber, 30
Reference numeral 6: substrate, 307: heater for substrate heating, 308: vacuum pump, 309: mercury reservoir, 310a: material gas introduction nozzle, 310b: purge gas introduction nozzle, 311: purge gas introduction nozzle plate, 312: gas flow control plate, 3
13 ... exhaust port, 314 ... cleaning electrode, 315 ...
High frequency power supply, 321, bottom of reaction chamber, 322, heater for heating around the substrate, 323, pipe for cooling water, 324, valve for introducing material gas, 325, valve for introducing etching gas, 326, valve for introducing refrigerant, 327 ... 1 temperature control device, 328 ... second temperature control device, 329 ... main control device, 331 ... etching gas, 332 ... material gas, 3
33 ... cooling water. 400 ... mercury, 401 ... lamp house,
Reference numeral 402: light source, 403: reflector, 404: light introduction window, 4
05: reaction chamber, 406: substrate, 407: heater for heating the substrate, 408: vacuum pump, 409: mercury reservoir, 410
a: Material / etching gas introduction nozzle (gas nozzle),
410b ... Purge gas introduction nozzle, 411 ... Purge gas introduction nozzle plate, 412 ... Gas flow control plate, 413 ...
Exhaust port, 414: Cleaning electrode, 415: High frequency power supply, 421: Reaction chamber bottom, 422: Heater for heating around the substrate, 424: Material gas introduction valve, 425 ... Etching gas introduction valve, 426 ... Refrigerant introduction valve , 4
27: first temperature control device, 428: second temperature control device, 429: main control device, 431: etching gas, 4
32: Material gas, 433: Heat medium circulation pipe. 500 ...
Mercury, 501: Lamp house, 502: Low pressure mercury lamp, 503: Light circuit breaker, 504: Light introduction window, 505: Reaction chamber, 506: Substrate, 507: Heater for substrate heating, 5
08: vacuum evacuation device, 509: mercury reservoir, 510: material gas introduction nozzle, 511: substrate heating device (heating means),
512: cooling device, 513: reflector, 514: material gas. 601: reaction chamber, 602: slit nozzle (first gas nozzle), 603: mercury vapor, 604: carrier gas, 605: substrate, 606: heater for heating the substrate, 60
7, a light source, 608, a light transmitting window, 609, a mesh nozzle (second gas nozzle), 610, a material gas, 611, a mixed gas (mercury vapor + carrier gas), 612, a mercury reservoir,
613, 614 ... mixed gas (material gas + mercury gas),
615: area near the substrate, 616: sample stage.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 石塚 芳樹 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝研究開発センター内 (72)発明者 井原 久典 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝研究開発センター内 (72)発明者 野崎 秀俊 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝研究開発センター内 (56)参考文献 特開 平4−214873(JP,A) 特開 昭58−95818(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/205 ──────────────────────────────────────────────────続 き Continuing from the front page (72) Inventor Yoshiki Ishizuka 1 Toshiba-cho, Komukai-shi, Kawasaki-shi, Kanagawa Prefecture Inside the Toshiba R & D Center Co., Ltd. No. 1 Toshiba R & D Center Co., Ltd. (72) Inventor Hidetoshi Nozaki No. 1 Komukai Toshiba-cho, Saiwai-ku, Kawasaki-shi, Kanagawa Prefecture Toshiba R & D Center Co., Ltd. (56) References JP-A-4-214873 (JP, A JP, A 58-95818 (JP, A) (58) Fields investigated (Int. Cl. 7 , DB name) H01L 21/205

Claims (2)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】基板を収容して成膜を行なうための反応室
と、 前記反応室内に成膜用の材料ガスを供給するためのガス
ノズルと、 前記反応室内に導入された前記材料ガスに光を照射する
ための光照射手段と、 前記反応室内に被着物除去用のエッチングガスを供給す
るためのガスノズルと、 前記反応室内に設けられた被着物除去用の放電電極とを
具備してなるCVD装置において、 前記エッチングガスを供給する前記ガスノズルは、前記
材料ガスを供給する前記ガスノズルと共通に用いられる
第1のガスノズルと、この第1のガスノズルから供給さ
れるガスの下流に設けられた第2のガスノズルとからな
ることを特徴とするCVD装置。
A reaction chamber for accommodating a substrate and performing film formation; a gas nozzle for supplying a material gas for film formation into the reaction chamber; and a light source for supplying light to the material gas introduced into the reaction chamber. A light irradiating means for irradiating the substrate, a gas nozzle for supplying an etching gas for removing the adherend into the reaction chamber, and a discharge electrode for removing the adherend provided in the reaction chamber. In the apparatus, the gas nozzle for supplying the etching gas includes the gas nozzle,
A CVD apparatus comprising: a first gas nozzle commonly used as the gas nozzle for supplying a material gas; and a second gas nozzle provided downstream of the gas supplied from the first gas nozzle.
【請求項2】基板を収容して成膜を行なうための反応室
と、 前記反応室内に成膜用の材料ガスまたは被着物除去用の
エッチングガスを供給するためのガスノズルと、 前記反応室内に導入された前記材料ガスに光を照射する
ための光照射手段と、 前記反応室内に設けられた被着物除去用の放電電極とを
具備してなるCVD装置において、 前記ガスノズルは、エッチングガスの下流方向に可動自
在であることを特徴とするCVD装置。
2. A reaction chamber for accommodating a substrate and forming a film, a gas nozzle for supplying a material gas for film formation or an etching gas for removing an adherend into the reaction chamber, In a CVD apparatus comprising: a light irradiation unit for irradiating the introduced material gas with light; and a discharge electrode for removing an adherend provided in the reaction chamber, wherein the gas nozzle is located downstream of an etching gas. A CVD apparatus characterized by being movable in directions.
JP07130493A 1993-03-30 1993-03-30 CVD equipment Expired - Fee Related JP3258121B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP07130493A JP3258121B2 (en) 1993-03-30 1993-03-30 CVD equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP07130493A JP3258121B2 (en) 1993-03-30 1993-03-30 CVD equipment

Publications (2)

Publication Number Publication Date
JPH06283427A JPH06283427A (en) 1994-10-07
JP3258121B2 true JP3258121B2 (en) 2002-02-18

Family

ID=13456773

Family Applications (1)

Application Number Title Priority Date Filing Date
JP07130493A Expired - Fee Related JP3258121B2 (en) 1993-03-30 1993-03-30 CVD equipment

Country Status (1)

Country Link
JP (1) JP3258121B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7368014B2 (en) 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
JP4273932B2 (en) 2003-11-07 2009-06-03 株式会社島津製作所 Surface wave excitation plasma CVD equipment
JP5102975B2 (en) * 2006-05-12 2012-12-19 株式会社アルバック Catalytic chemical treatment apparatus and catalytic chemical treatment method

Also Published As

Publication number Publication date
JPH06283427A (en) 1994-10-07

Similar Documents

Publication Publication Date Title
US5490896A (en) Photomask or a light shielding member having a light transmitting portion and a light shielding portion
US5650013A (en) Layer member forming method
JP3393469B2 (en) Thin film semiconductor device manufacturing method and thin film semiconductor forming apparatus
JP3148004B2 (en) Optical CVD apparatus and method for manufacturing semiconductor device using the same
US5863706A (en) Processing method for patterning a film
US6863733B1 (en) Apparatus for fabricating thin-film semiconductor device
KR20010031890A (en) Method for annealing an amorphous film using microwave energy
JPH02258689A (en) Method for forming crystalline thin film
JP3258121B2 (en) CVD equipment
US4661679A (en) Semiconductor laser processing with mirror mask
JPH0697075A (en) Plasma cleaning of thin film deposition chamber
JP4900128B2 (en) Semiconductor thin film modification method
JPS5940525A (en) Growth of film
JPS59208065A (en) Depositing method of metal by laser
JP3174787B2 (en) Optical CVD equipment
JP2723658B2 (en) Wiring forming method by laser CVD method and apparatus therefor
JPH02202022A (en) Manufacture of semiconductor device
JPH08262251A (en) Film forming device for optical waveguide
JPS6386880A (en) Photochemical reaction utilizing device
JPH0347141B2 (en)
JPS63277769A (en) Device for utilizing photochemical reaction
JPS6053015A (en) Thin film formation by laser irradiation
JPS63277768A (en) Device for utilizing photochemical reaction
JPS63114972A (en) Photochemical reaction utilizing device
JPS61288431A (en) Manufacture of insulating layer

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071207

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081207

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091207

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees