JP3104746B2 - Clock tree layout device - Google Patents

Clock tree layout device

Info

Publication number
JP3104746B2
JP3104746B2 JP09311635A JP31163597A JP3104746B2 JP 3104746 B2 JP3104746 B2 JP 3104746B2 JP 09311635 A JP09311635 A JP 09311635A JP 31163597 A JP31163597 A JP 31163597A JP 3104746 B2 JP3104746 B2 JP 3104746B2
Authority
JP
Japan
Prior art keywords
clock
clock tree
dummy block
tree layout
buffer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP09311635A
Other languages
Japanese (ja)
Other versions
JPH11143575A (en
Inventor
真理子 植村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP09311635A priority Critical patent/JP3104746B2/en
Publication of JPH11143575A publication Critical patent/JPH11143575A/en
Application granted granted Critical
Publication of JP3104746B2 publication Critical patent/JP3104746B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路の
設計手法に関し、特にクロックツリーの自動配置装置に
関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for designing a semiconductor integrated circuit, and more particularly to an automatic clock tree placement device.

【0002】[0002]

【従来の技術】一般に、半導体集積回路の大規模化に伴
って、半導体集積回路は同期回路として設計されるよう
になっている。このため、いかにしてスキューを低減し
てクロック分配するかが大きな問題となっている。従
来、半導体集積回路のクロック分配として、例えば、特
開昭63−0205720号公報に記載されたものが知
られており、ここでは、異相クロックの遅延差をなくす
ため、等段等負荷を用いている。
2. Description of the Related Art In general, as a semiconductor integrated circuit becomes larger in scale, the semiconductor integrated circuit is designed as a synchronous circuit. Therefore, how to reduce the skew and distribute the clocks is a major problem. Conventionally, as a clock distribution of a semiconductor integrated circuit, for example, a clock distribution described in Japanese Patent Application Laid-Open No. 63-0205720 is known. I have.

【0003】ここで、図14を参照して、チップ106
には第1段バッファ101、第2段バッファ102、第
3段バッファ103、及び負荷回路104が備えられて
おり、チップ106にはN相のクロックT0,T1,
…,TNが与えられる。図示のように、各クロック信号
T0乃至TNは、第1段バッファ101、第2段バッフ
ァ102、及び第3バッファ103を介して負荷回路1
04に供給される。
[0003] Referring to FIG.
Is provided with a first-stage buffer 101, a second-stage buffer 102, a third-stage buffer 103, and a load circuit 104, and an N-phase clock T0, T1,
.., TN are given. As illustrated, each of the clock signals T0 to TN is supplied to a load circuit 1 via a first-stage buffer 101, a second-stage buffer 102, and a third buffer 103.
04.

【0004】図示のように、段が同一であれば、いずれ
の相も同一のバッファ数である。例えば、クロックT0
に関連する第2段バッファ102とクロックTNに関連
する第2段バッファ102の数は同一であり、3個であ
る。加えて、段が同一であれば、いずれの相のバッファ
においても1個のバッファの負荷容量は同一である。同
一の容量にするために、ダミーブロックが用いられる。
As shown, if the stages are the same, all phases have the same number of buffers. For example, the clock T0
And the number of second-stage buffers 102 related to the clock TN is the same, that is, three. In addition, if the stage is the same, the load capacity of one buffer is the same in the buffers of any phase. A dummy block is used to make the same capacity.

【0005】[0005]

【発明が解決しようとする課題】ところで、従来の手法
では、同一の容量や等長にするために用いられるクロッ
クツリー生成が行われておらず、加えて、相毎のフリッ
プフロップ数が大きく違っていた場合、配置がかたよっ
ていた場合、又はゲーテッドクロックの場合について
は、クロックツリー生成が考慮されていなかったため、
ダミーブロックが多くはいりすぎるという問題点があ
る。
By the way, in the conventional method, a clock tree used to make the same capacity and the same length is not generated, and in addition, the number of flip-flops for each phase is greatly different. In the case where the clock tree generation was not considered in the case where the arrangement was erratic or the case of the gated clock,
There is a problem that too many dummy blocks are required.

【0006】つまり、従来の手法では、いずれの相も同
一の容量、同一の抵抗のクロックツリーを作ることが難
しく、遅延を同一にすることが難しくなってしまう。こ
のため、異相クロックのスキューを低減することが難し
いという問題点がある。
That is, in the conventional method, it is difficult to form a clock tree having the same capacitance and the same resistance for all phases, and it is difficult to make the delays the same. For this reason, there is a problem that it is difficult to reduce the skew of the out-of-phase clock.

【0007】加えて、従来の手法ではダミーブロックが
多くなる結果、ゲート規模が増大するばかりでなく消費
電力が増大してしまうという問題点がある。
In addition, the conventional method has a problem that the number of dummy blocks is increased, so that not only the gate scale is increased but also the power consumption is increased.

【0008】本発明の目的は異相クロックのスキューを
低減することのできるクロックツリーの自動配置装置を
提供することにある。
It is an object of the present invention to provide an automatic clock tree arrangement device which can reduce the skew of a different-phase clock.

【0009】本発明の他の目的はゲート規模を抑制する
とともに消費電力を低減することのできるクロックツリ
ーの自動配置装置を提供することにある。
Another object of the present invention is to provide an automatic clock tree arrangement device which can reduce the gate scale and reduce power consumption.

【0010】[0010]

【課題を解決するための手段】本発明によれば、複数の
クロック信号をチップ内の複数のフリップフロップ等の
負荷回路に分配する大規模半導体論理回路に対して、前
記クロックの各々の相間の遅延を等しくするためにダミ
ーブロックを生成配置してクロックツリーレイアウトを
生成する装置であって、予め指定されたクロックと異な
る他のクロックに接続されている負荷回路の近傍に前記
ダミーブロックを生成する第1の手段と、前記予め指定
されたクロックと前記ダミーブロックとの接続関係を生
成する第2の手段と、前記各クロック相毎に1つずつク
ラスタを生成して前記各クロック相内の負荷回路及び前
記ダミーブロックの配置位置を考慮してさらに複数のク
ラスタを生成する第3の手段と、前記複数のクラスタの
それぞれに対して前記負荷回路及び前記ダミーブロック
のバランスがとれる位置にバッファを生成配置する第4
の手段と、前記バッファの出力先が全て前記ダミーブロ
ックの場合前記出力先の前記ダミーブロックとの接続関
係を削除して前記バッファをダミーブロックに置換する
第5の手段とを有することを特徴とするクロックツリー
レイアウト装置が得られる。そして、前記複数のクロッ
ク信号としてゲーテッドクロック信号が用いられる。
According to the present invention, there is provided a large-scale semiconductor logic circuit which distributes a plurality of clock signals to a plurality of load circuits such as flip-flops in a chip. An apparatus for generating and arranging a dummy block to equalize a delay to generate a clock tree layout, wherein the dummy block is generated near a load circuit connected to another clock different from a clock designated in advance. A first means, a second means for generating a connection relationship between the predetermined clock and the dummy block, and a cluster for generating one cluster for each clock phase to generate a load in each clock phase. Third means for further generating a plurality of clusters in consideration of the arrangement position of the circuit and the dummy block, and for each of the plurality of clusters Fourth generating disposed a buffer at a position where the balance of the serial load circuit and the dummy block can take
Means, and when the output destination of the buffer is all the dummy blocks, fifth means for deleting a connection relationship with the dummy block of the output destination and replacing the buffer with a dummy block. Thus, a clock tree layout apparatus is obtained. A gated clock signal is used as the plurality of clock signals.

【0011】[0011]

【発明の実施の形態】以下本発明について図面を参照し
て説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described below with reference to the drawings.

【0012】図1を参照して、本発明によるクロックツ
リーの自動配置装置は図1に示すフローチャートに応じ
てクロックツリーを生成配置する。まず、ステップs1
で、各セルを配置する。そして、ステップs2で、クロ
ックiが接続しているフリップフロップ(FF)近傍に
(n−1)個のダミーブロックを生成配置する。その
後、各ダミーブロックと異相クロックとの間に接続関係
を生成する(ステップs3)。そして、ステップs4で
i=1とする。
Referring to FIG. 1, the automatic clock tree arrangement device according to the present invention generates and arranges a clock tree according to the flowchart shown in FIG. First, step s1
Then, each cell is arranged. Then, in step s2, (n-1) dummy blocks are generated and arranged near the flip-flop (FF) to which the clock i is connected. Thereafter, a connection relationship is generated between each dummy block and the different-phase clock (step s3). Then, i = 1 is set in step s4.

【0013】ステップs5において、クロックiのクラ
スタリングを生成して、ステップs6で、FFの配置位
置を考慮してさらにクラスタリングを行う。そして、各
クラスタに対して負荷バランスがとれる位置にバッファ
を生成配置する(ステップs7)。その後、ステップs
8において、配置バッファの出力先が全てダミーブロッ
クの場合は、出力先のダミーブロックとその接続関係を
削除し、配置バッファをダミーブロックに置換する。そ
して、ステップs9で、i<nであるか否かを調べて、
i<nであると、ステップs10でi=i+1として、
ステップs5に戻る。
In step s5, clustering of the clock i is generated, and in step s6, further clustering is performed in consideration of the arrangement position of the FF. Then, a buffer is generated and arranged at a position where the load can be balanced for each cluster (step s7). Then, step s
In step 8, if the output destinations of the placement buffer are all dummy blocks, the dummy blocks at the output destination and their connection relations are deleted, and the placement buffer is replaced with a dummy block. Then, in step s9, it is checked whether or not i <n, and
If i <n, i = i + 1 in step s10,
It returns to step s5.

【0014】一方、ステップs9で、i<nでなけれ
ば、つまり、i≧nであれば、ステップs11におい
て、クロックツリーの等遅延配線を行う。
On the other hand, if i <n is not satisfied in step s9, that is, if i ≧ n, equal delay wiring of the clock tree is performed in step s11.

【0015】ここで、図2にクロックC1及びC2の2
相を有する回路例を示す。そして、図2に示す回路例に
図1に示す処理を適用した場合について、図3乃至図1
1を参照して説明する。
FIG. 2 shows two clocks C1 and C2.
3 shows an example of a circuit having phases. 1 to the case where the processing shown in FIG. 1 is applied to the circuit example shown in FIG.
This will be described with reference to FIG.

【0016】まず、各セルを配置する(ステップs
1)。この結果、例えば、図3に示すセルの配置が得ら
れる。図4に示すように、クロックC1が接続されるフ
リップフロップ11の近傍にダミーブロック12を生成
し配置する。1個のフリップフロップ毎に生成するダミ
ーブロック数は、クロックの本数が2本の場合には1
個、3本の場合は2個、n本の場合にはn−1個生成し
配置する(ステップs2)。
First, each cell is arranged (step s).
1). As a result, for example, the cell arrangement shown in FIG. 3 is obtained. As shown in FIG. 4, a dummy block 12 is generated and arranged near the flip-flop 11 to which the clock C1 is connected. The number of dummy blocks generated for each flip-flop is 1 when the number of clocks is two.
In this case, two are generated and arranged in the case of three, and n-1 are generated and arranged in the case of n (step s2).

【0017】各ダミーブロックとクロックC2との間に
接続関係を生成する(ステップs3)。同様にして、ス
テップs2において、クロックC2が接続されるフリッ
プフロップ11の近傍にダミーブロック12を生成し配
置して、ステップs3において、各ダミーブロックとク
ロックC1との間に接続関係を生成する。ダミーブロッ
クの生成配置及び接続関係生成後の結果は図4に示すと
おりである。
A connection relationship is generated between each dummy block and the clock C2 (step s3). Similarly, in step s2, a dummy block 12 is generated and arranged near the flip-flop 11 to which the clock C2 is connected, and in step s3, a connection relationship is generated between each dummy block and the clock C1. FIG. 4 shows the result of the dummy block generation arrangement and connection relation generation.

【0018】ステップs5において、クロックC1のク
ラスタを生成する。そして、ステップs6において、フ
リップフロップ11及びダミーブロック12の配置位置
を考慮して更にクラスタ13を生成する。クロックC1
のクラスタリング結果を図5に示す。
In step s5, a cluster of the clock C1 is generated. Then, in step s6, the cluster 13 is further generated in consideration of the arrangement positions of the flip-flop 11 and the dummy block 12. Clock C1
FIG. 5 shows the result of clustering.

【0019】ステップs7において、クラスタ13内の
各フリップフロップ11の重心位置に、バッファ14を
生成配置する。重心位置におくのは、同相内のクロック
スキューをおさえるためである。さらに、各クラスタ1
3で生成されたバッファ14郡の重心位置にバッファ1
5を生成配置する。そして、クロックC1のクロックツ
リーを構成する。クロックツリー構成の結果を図6に示
す。
In step s7, a buffer 14 is generated and arranged at the position of the center of gravity of each flip-flop 11 in the cluster 13. The position of the center of gravity is used to suppress clock skew in the same phase. Further, each cluster 1
Buffer 1 at the center of gravity of buffer 14 count generated in 3
5 is generated and arranged. Then, a clock tree of the clock C1 is configured. FIG. 6 shows the result of the clock tree configuration.

【0020】ステップs8において、ツリーを構成して
いるバッファ14,15の出力先が全てダミーブロック
の場合には、出力先のダミーブロック12を削除し、そ
のバッファ14をダミーブロック12に置換する。ダミ
ーブロック12を削除し、バッファ14をダミーブロッ
ク12に置換した結果を図7に示す。クロックC2につ
いてもクロックC1と同様のことを行う。
In step s8, if the output destinations of the buffers 14 and 15 forming the tree are all dummy blocks, the output destination dummy block 12 is deleted and the buffer 14 is replaced with the dummy block 12. FIG. 7 shows the result of deleting the dummy block 12 and replacing the buffer 14 with the dummy block 12. The same operation as the clock C1 is performed for the clock C2.

【0021】C2のクラスタリング結果を図8に示す。
同様に、クロックツリーの配置を行った後の結果を図9
及び図10に示す。図10は、図9のクラスタ線を削除
した図である。そして、クロックツリー構成後の回路図
を図11に示す。なお、クロック信号としてゲーテッド
クロック信号が用いられる。
FIG. 8 shows the clustering result of C2.
Similarly, the result after arranging the clock tree is shown in FIG.
And FIG. FIG. 10 is a diagram in which the cluster lines in FIG. 9 are deleted. FIG. 11 shows a circuit diagram after the clock tree configuration. Note that a gated clock signal is used as the clock signal.

【0022】次に、本発明の第2の例について説明す
る。
Next, a second example of the present invention will be described.

【0023】図12は、クロック信号を停止するための
多入力回路16を有する回路である。図12に示す回路
は、低消費電力のためにしばしば使用される。図12に
示す回路は、1相クロックC1で動作する。多入力回路
16の前段ブロックから全フリップ11群までの遅延を
等しくする必要がある。
FIG. 12 shows a circuit having a multi-input circuit 16 for stopping a clock signal. The circuit shown in FIG. 12 is often used for low power consumption. The circuit shown in FIG. 12 operates with a one-phase clock C1. It is necessary to equalize the delay from the preceding block of the multi-input circuit 16 to all flips 11 groups.

【0024】まず、多入力回路16の出力それぞれが、
クロックであると仮定する。多入力回路がn個あると、
クロックがn相あることになる。
First, each output of the multi-input circuit 16 is
Assume clock. If there are n multi-input circuits,
The clock has n phases.

【0025】n相のクロックに対するクロックツリー構
成を行う際には、図1に示す処理が行われ、多入力回路
16とフリップフロップ群11のクロックツリーが構成
される。次に、多入力回路16がフリップフロップであ
ると仮定する。1相クロックの等遅延の配置配線手法に
よりクロックツリー17を構成する。クロックツリー構
成後の回路図を図13に示す。
When performing a clock tree configuration for an n-phase clock, the processing shown in FIG. 1 is performed, and a clock tree of the multi-input circuit 16 and the flip-flop group 11 is configured. Next, assume that the multi-input circuit 16 is a flip-flop. The clock tree 17 is configured by a one-phase clock equal delay arrangement wiring method. FIG. 13 shows a circuit diagram after the clock tree configuration.

【0026】[0026]

【発明の効果】以上説明したように、本発明では、クロ
ックに接続しているブロック近傍に(クロック総数−1
個)のダミーブロックを生成配置し、各ダミーブロック
と異相の間の接続関係を生成して、その後、各クロック
に接続しているブロックの配置関係を考慮して負荷のバ
ランスがとれる位置にバッファを生成配置しており、こ
の際、バッファの出力先がすべてダミーブロックの場合
には、出力先のダミーブロックとその接続関係を削除し
て、バッファをダミーブロックに置換し、これを各相の
クロックで行っているので、いずれのクロック相も、同
一の容量、同一の抵抗のクロックツリーを生成すること
ができる。このため、遅延量を同一にすることができ、
異相クロックのスキューを低減できるという効果があ
る。
As described above, according to the present invention, (the total number of clocks minus one) is provided near the block connected to the clock.
) Dummy blocks are generated and arranged, the connection relation between each dummy block and the different phase is generated, and then the buffer is placed at a position where the load can be balanced in consideration of the arrangement relation of the blocks connected to each clock. At this time, if the output destination of the buffer is all dummy blocks, the dummy block of the output destination and its connection relation are deleted, the buffer is replaced with the dummy block, and this is replaced with the dummy block of each phase. Since a clock is used, a clock tree having the same capacity and the same resistance can be generated for each clock phase. For this reason, the delay amount can be made the same,
There is an effect that the skew of the different-phase clock can be reduced.

【0027】また、本発明では、不必要のダミーブロッ
クを削除していくため、ゲート規模の増大抑制及び消費
電力の抑制ができるという効果がある。
Further, according to the present invention, unnecessary dummy blocks are deleted, so that there is an effect that an increase in gate scale and power consumption can be suppressed.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明によるレイアウト装置の処理を説明する
ためのフローチャートである。
FIG. 1 is a flowchart for explaining processing of a layout apparatus according to the present invention.

【図2】論理回路の一例を示す図である。FIG. 2 is a diagram illustrating an example of a logic circuit.

【図3】図2に示す論理回路のクロックツリーレイアウ
トを説明するための図である。
FIG. 3 is a diagram for explaining a clock tree layout of the logic circuit shown in FIG. 2;

【図4】図2に示す論理回路のクロックツリーレイアウ
トを説明するための図である。
FIG. 4 is a diagram for explaining a clock tree layout of the logic circuit shown in FIG. 2;

【図5】図2に示す論理回路のクロックツリーレイアウ
トを説明するための図である。
FIG. 5 is a diagram for explaining a clock tree layout of the logic circuit shown in FIG. 2;

【図6】図2に示す論理回路のクロックツリーレイアウ
トを説明するための図である。
FIG. 6 is a diagram for explaining a clock tree layout of the logic circuit shown in FIG. 2;

【図7】図2に示す論理回路のクロックツリーレイアウ
トを説明するための図である。
FIG. 7 is a diagram illustrating a clock tree layout of the logic circuit shown in FIG. 2;

【図8】図2に示す論理回路のクロックツリーレイアウ
トを説明するための図である。
FIG. 8 is a diagram for explaining a clock tree layout of the logic circuit shown in FIG. 2;

【図9】図2に示す論理回路のクロックツリーレイアウ
トを説明するための図である。
FIG. 9 is a diagram for explaining a clock tree layout of the logic circuit shown in FIG. 2;

【図10】図2に示す論理回路のクロックツリーレイア
ウトを説明するための図である。
FIG. 10 is a diagram for explaining a clock tree layout of the logic circuit shown in FIG. 2;

【図11】図2に示す論理回路例においてクロックツリ
ー生成後の回路を示す図である。
11 is a diagram illustrating a circuit after a clock tree is generated in the example of the logic circuit illustrated in FIG. 2;

【図12】論理回路の他の例を示す図である。FIG. 12 is a diagram illustrating another example of the logic circuit.

【図13】図12に示す論理回路においてクロックツリ
ー生成後の回路を示す図である。
13 is a diagram illustrating a circuit after a clock tree is generated in the logic circuit illustrated in FIG. 12;

【図14】従来のクロックツリーレイアウトを示す図で
ある。
FIG. 14 is a diagram showing a conventional clock tree layout.

【符号の説明】[Explanation of symbols]

11 フリップフロップ 12 ダミーブロック 13 クラスタ 14,15,17 クロックツリーバッファ 16 多入力回路 DESCRIPTION OF SYMBOLS 11 Flip-flop 12 Dummy block 13 Cluster 14, 15, 17 Clock tree buffer 16 Multi-input circuit

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) G06F 17/50 G06F 1/10 H01L 21/82 ──────────────────────────────────────────────────続 き Continued on the front page (58) Field surveyed (Int.Cl. 7 , DB name) G06F 17/50 G06F 1/10 H01L 21/82

Claims (6)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 複数のクロック信号をチップ内の複数の
負荷回路に分配する大規模半導体論理回路に対して、前
記クロックの各々の相間の遅延を等しくするためにダミ
ーブロックを生成配置してクロックツリーレイアウトを
生成する装置であって、予め指定されたクロックと異な
る他のクロックに接続されている負荷回路の近傍に前記
ダミーブロックを生成する第1の手段と、前記予め指定
されたクロックと前記ダミーブロックとの接続関係を生
成する第2の手段とを有することを特徴とするクロック
ツリーレイアウト装置。
1. A large-scale semiconductor logic circuit for distributing a plurality of clock signals to a plurality of load circuits in a chip, wherein a dummy block is generated and arranged to equalize a delay between respective phases of the clock. An apparatus for generating a tree layout, comprising: first means for generating the dummy block near a load circuit connected to another clock different from a previously specified clock; And a second means for generating a connection relationship with the dummy block.
【請求項2】 請求項1に記載されたクロックツリーレ
イアウト装置において、前記負荷回路はフリップフロッ
プであることを特徴とするクロックツリーレイアウト装
置。
2. The clock tree layout device according to claim 1, wherein said load circuit is a flip-flop.
【請求項3】 請求項1又は2に記載されたクロックツ
リーレイアウト装置において、さらに、前記各クロック
相毎に1つずつクラスタを生成して前記各クロック相内
の負荷回路及び前記ダミーブロックの配置位置を考慮し
てさらに複数のクラスタを生成する第3の手段を有する
ことを特徴とするクロックツリーレイアウト装置。
3. The clock tree layout device according to claim 1, further comprising: generating one cluster for each clock phase, and arranging a load circuit and the dummy block in each clock phase. A clock tree layout apparatus comprising a third means for generating a plurality of clusters in consideration of positions.
【請求項4】 請求項3に記載されたクロックツリーレ
イアウト装置において、前記複数のクラスタのそれぞれ
に対して前記負荷回路及び前記ダミーブロックのバラン
スがとれる位置にバッファを生成配置する第4の手段を
有することを特徴とするクロックツリーレイアウト装
置。
4. The clock tree layout apparatus according to claim 3, further comprising a fourth means for generating and arranging a buffer at a position where the load circuit and the dummy block can be balanced for each of the plurality of clusters. A clock tree layout device comprising:
【請求項5】 請求項4に記載されたクロックツリーレ
イアウト装置において、前記バッファの出力先が全て前
記ダミーブロックの場合前記出力先の前記ダミーブロッ
クとの接続関係を削除して前記バッファをダミーブロッ
クに置換する第5の手段を有することを特徴とするクロ
ックツリーレイアウト装置。
5. The clock tree layout apparatus according to claim 4, wherein when all the output destinations of the buffer are the dummy blocks, the connection relationship with the dummy block of the output destination is deleted to replace the buffer with the dummy block. A clock tree layout apparatus, comprising:
【請求項6】 請求項1乃至5のいずれかに記載された
クロックツリーレイアウト装置において、前記複数のク
ロック信号はゲーテッドクロック信号であることを特徴
とするクロックツリーレイアウト装置。
6. The clock tree layout device according to claim 1, wherein said plurality of clock signals are gated clock signals.
JP09311635A 1997-11-13 1997-11-13 Clock tree layout device Expired - Fee Related JP3104746B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP09311635A JP3104746B2 (en) 1997-11-13 1997-11-13 Clock tree layout device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP09311635A JP3104746B2 (en) 1997-11-13 1997-11-13 Clock tree layout device

Publications (2)

Publication Number Publication Date
JPH11143575A JPH11143575A (en) 1999-05-28
JP3104746B2 true JP3104746B2 (en) 2000-10-30

Family

ID=18019649

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09311635A Expired - Fee Related JP3104746B2 (en) 1997-11-13 1997-11-13 Clock tree layout device

Country Status (1)

Country Link
JP (1) JP3104746B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6424592B1 (en) 2000-11-30 2002-07-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit having circuit for correcting data output timing
JP4968294B2 (en) * 2009-08-17 2012-07-04 富士通セミコンダクター株式会社 Layout design apparatus, layout design program, and recording medium

Also Published As

Publication number Publication date
JPH11143575A (en) 1999-05-28

Similar Documents

Publication Publication Date Title
US5923188A (en) Clock signal distribution circuit of tree structure with minimized skew
US5570045A (en) Hierarchical clock distribution system and method
US7017132B2 (en) Methodology to optimize hierarchical clock skew by clock delay compensation
JPH0736422B2 (en) Clock supply circuit
US6711089B2 (en) Method and apparatus for performing signal synchronization
JP3104746B2 (en) Clock tree layout device
US4140921A (en) Generalized performance power optimized PLA circuits
JPH10107614A (en) Semiconductor integrated circuit and its designing method
JP2005184262A (en) Semiconductor integrated circuit and its fabricating process
JPS6369262A (en) Semiconductor integrated circuit
JP3869406B2 (en) Clock phase difference detection circuit, clock distribution circuit, and large-scale integrated circuit
US6373288B1 (en) Method of implementing clock trees in synchronous digital electronic circuits, and a programmable delay buffer stage therefor
JPH113945A (en) Clock tree design method of semiconductor integrated circuit and semiconductor integrated circuit by the same
JPS62217632A (en) Semiconductor integrated circuit
JP2000035832A (en) Semiconductor integrated circuit and its clock distributing method
US6294929B1 (en) Balanced-delay programmable logic array and method for balancing programmable logic array delays
JPH0384951A (en) Layout design of integrated circuit
JP2005116793A (en) Semiconductor integrated circuit and its clock wiring method
JP2685546B2 (en) Method of manufacturing clock distribution circuit
JP2786017B2 (en) Method for manufacturing semiconductor integrated circuit
JP2929932B2 (en) Circuit division method
JP2000029562A (en) Semiconductor integrated circuit and method for designing clock supply circuit
JP2850945B2 (en) Semiconductor integrated circuit and layout method thereof
JP2000172367A (en) Clock signal distribution method and clock distribution circuit
JP3273683B2 (en) Semiconductor integrated circuit

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000802

LAPS Cancellation because of no payment of annual fees