JP3086362B2 - Plasma processing equipment - Google Patents

Plasma processing equipment

Info

Publication number
JP3086362B2
JP3086362B2 JP05140031A JP14003193A JP3086362B2 JP 3086362 B2 JP3086362 B2 JP 3086362B2 JP 05140031 A JP05140031 A JP 05140031A JP 14003193 A JP14003193 A JP 14003193A JP 3086362 B2 JP3086362 B2 JP 3086362B2
Authority
JP
Japan
Prior art keywords
processing
gas
plasma
electrode
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP05140031A
Other languages
Japanese (ja)
Other versions
JPH06333850A (en
Inventor
俊一 飯室
和夫 深澤
豊 三浦
正蔵 細田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=15259352&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3086362(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP05140031A priority Critical patent/JP3086362B2/en
Priority to KR1019940006705A priority patent/KR100324792B1/en
Publication of JPH06333850A publication Critical patent/JPH06333850A/en
Priority to US08/843,129 priority patent/US6110287A/en
Application granted granted Critical
Publication of JP3086362B2 publication Critical patent/JP3086362B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、プラズマ処理装置の改
良に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an improvement in a plasma processing apparatus.

【0002】[0002]

【従来の技術】一般に、半導体製品の製造工程において
は、半導体ウエハにCVD処理、エッチング処理、スパ
ッタ処理等を施すことが行われるが、このような各種の
処理を施す装置としてプラズマ処理装置が用いられる場
合がある。この種の従来のプラズマ処理装置の一例とし
ては、例えばアルミニウム等よりなる処理容器内に2つ
の平板型の電極を平行に設置し、その内の上部電極を接
地し、他方、下部電極に高周波電源としてRFパワーを
印加すると共にこれにウエハを支持させるようになって
いる。そして、両電極間にエッチングガスを導入してプ
ラズマを誘起し、ウエハにプラズマ処理を施すようにな
っている。
2. Description of the Related Art Generally, in a semiconductor product manufacturing process, a semiconductor wafer is subjected to a CVD process, an etching process, a sputtering process, and the like. A plasma processing device is used as an apparatus for performing such various processes. May be As an example of this type of conventional plasma processing apparatus, two flat electrodes are installed in parallel in a processing container made of, for example, aluminum or the like, and the upper electrode is grounded, and the lower electrode is connected to a high-frequency power supply. To apply RF power and to support the wafer. Then, plasma is induced by introducing an etching gas between the two electrodes, and plasma processing is performed on the wafer.

【0003】これを図4に基づいて説明すると、アルミ
ニウム等よりなる処理容器2内に上部電極4が配置され
ると共にその下方にはサセプタとしての下部電極6が設
置され、この下部電極6には高周波電源8が接続されて
いる。この下部電極6の上面には、静電チャック10が
設けられており、この表面に半導体ウエハWを静電吸着
するようになっている。下部電極6は、冷却ジャケット
12を有するサセプタ支持台14上に支持され、プラズ
マ処理時に加熱した下部電極6を冷却するようになって
いる。
Referring to FIG. 4, an upper electrode 4 is disposed in a processing vessel 2 made of aluminum or the like, and a lower electrode 6 as a susceptor is provided below the upper electrode 4. A high frequency power supply 8 is connected. An electrostatic chuck 10 is provided on the upper surface of the lower electrode 6, and the semiconductor wafer W is electrostatically attracted to the surface. The lower electrode 6 is supported on a susceptor support 14 having a cooling jacket 12, and cools the lower electrode 6 heated during plasma processing.

【0004】また、上部電極4には、処理ガスを処理空
間Sへ供給するための処理ガス供給ヘッダ16が設けら
れており、ここに形成した多数のガス孔18から処理空
間Sへシャワー状に処理ガスを供給するようになってい
る。プラズマ処理を行う場合には、上下の電極4、6間
に高周波電力を供給しつつ処理空間Sに処理ガスを供給
し、所定の減圧下に維持することによりこの処理空間S
にプラズマが立ち、ウエハ表面にエッチング等のプラズ
マ処理が施されることになる。
The upper electrode 4 is provided with a processing gas supply header 16 for supplying a processing gas to the processing space S. A plurality of gas holes 18 formed in the upper electrode 4 form a shower to the processing space S. A processing gas is supplied. When plasma processing is performed, a processing gas is supplied to the processing space S while supplying high-frequency power between the upper and lower electrodes 4 and 6, and the processing space S is maintained at a predetermined reduced pressure.
Then, a plasma is generated, and a plasma process such as etching is performed on the wafer surface.

【0005】[0005]

【発明が解決しようとする課題】ところで、プラズマ処
理として例えばエッチングを行う場合を例にとると、こ
のエッチング処理にあっては処理空間Sにおけるガス雰
囲気の圧力(プロセス圧力)は低ければ低い程、微細加
工に適していることが知られており、例えば4MDRA
Mのメモリを形成する場合には線幅が0.8μm程度の
エッチングを行う必要があることからプロセス圧力は約
1.7Torr程度に維持されている。そして、加工の
微細化に伴って、例えば16MDRAMのメモリの製造
を行う場合には線幅が約0.5μm程度の微細加工を行
わなければならず、この場合にはプロセス圧力を約0.
25Torr程度に設定する必要がある。
By the way, taking as an example the case where etching is performed as plasma processing, in this etching processing, the lower the pressure of the gas atmosphere (process pressure) in the processing space S, the lower the processing pressure. It is known that it is suitable for fine processing.
When forming an M memory, it is necessary to perform etching with a line width of about 0.8 μm, so the process pressure is maintained at about 1.7 Torr. With the miniaturization of processing, for example, when manufacturing a 16M DRAM memory, fine processing with a line width of about 0.5 μm must be performed. In this case, the process pressure is reduced to about 0.5 μm.
It is necessary to set to about 25 Torr.

【0006】通常、処理ガスが処理ガス供給ヘッダ16
からガス孔8を介して処理空間Sに流出する際には、ガ
ス孔18等より受ける抵抗によりヘッダ16内のガス圧
力が処理空間S側よりもある程度高くなってはいるが、
しかしながら上述のようにプロセス圧力を約0.25T
orrもの低圧にするとヘッダ16内の雰囲気圧力もそ
れに対応してかなり低圧となり、このためにプラズマ放
電が処理空間Sのみならずヘッダ16内においても生ず
るようになってしまう。このように、ヘッダ16内にて
プラズマの微小放電が生ずるとヘッダ16を構成するア
ルミニウム材表面がプラズマによる活性イオン等により
たたかれてパーティクルが発生し、これが処理空間S側
へ流れてウエハに付着するという問題点があった。本発
明は、以上のような問題点に着目し、これを有効に解決
すべく創案されたものである。本発明の目的は、処理ガ
スが流出する時の抵抗を高めることによりヘッダ内のプ
ラズマ放電を抑制したプラズマ処理装置を提供すること
にある。
Usually, the processing gas is supplied to the processing gas supply header 16.
When the gas flows out of the processing space S through the gas holes 8 through the gas holes 8, the gas pressure in the header 16 is somewhat higher than that in the processing space S due to the resistance received from the gas holes 18 and the like.
However, as described above, the process pressure is set to about 0.25T.
At a pressure as low as orr, the atmospheric pressure in the header 16 also becomes correspondingly considerably low, so that plasma discharge occurs not only in the processing space S but also in the header 16. As described above, when the plasma micro-discharge occurs in the header 16, the surface of the aluminum material constituting the header 16 is hit by the active ions or the like by the plasma to generate particles, which flow to the processing space S side and flow to the wafer. There was a problem of adhesion. The present invention has been devised in view of the above problems and effectively solving them. An object of the present invention is to provide a plasma processing apparatus that suppresses plasma discharge in a header by increasing resistance when a processing gas flows out.

【0007】[0007]

【課題を解決するための手段】本発明は、上記問題点を
解決するために、処理ガス供給ヘッダを有する電極に形
成した第1のガス孔を介して処理空間に処理ガスを供給
しつつ対向する電極に保持した被処理体に対してプラズ
マ処理を施すプラズマ処理装置において、前記処理ガス
供給ヘッダ内にプラズマ放電が生じないように、前記処
理ガス供給ヘッダ内の圧力と前記処理空間の処理圧力と
の間に圧力差を与える手段を、前記処理ガス供給ヘッダ
内に設けるように構成したものである。
SUMMARY OF THE INVENTION In order to solve the above-mentioned problems, the present invention provides a method of supplying a processing gas to a processing space through a first gas hole formed in an electrode having a processing gas supply header. In a plasma processing apparatus for performing plasma processing on an object to be processed held on an electrode to be processed, the processing is performed so that plasma discharge does not occur in the processing gas supply header .
Pressure in the processing gas supply header and processing pressure in the processing space
Means for providing a pressure difference between the processing gas supply header
It is configured to be provided inside .

【0008】[0008]

【作用】本発明は、以上のように構成したので、処理ガ
ス供給ヘッダからは処理ガスが圧力差を与える手段、す
なわち抵抗付与手段によりその圧力が抑制された状態で
第1のガス孔を介して処理空間内に流出することにな
る。この抵抗付与手段は例えば第2のガス孔を有する冷
却板よりなり、この冷却板の厚さや第2のガス孔或いは
第1のガス孔の孔径等を変化させることにより流出する
処理ガスに対する抵抗を任意に選択することができる。
この場合、微細加工を行うために処理空間Sにおけるプ
ロセスガス圧を0.5Torr以下に設定しても、抵抗
付与手段による抵抗により上記ヘッダ内の圧力は0.5
Torrよりも僅かに高い値となってこのヘッダ内の状
態が、プラズマ放電を生ずるための状態を示すパッシェ
ン(Paschen)の法則から外れることとなり、こ
のヘッダ内でのプラズマの発生を抑制することが可能と
なる。
According to the present invention, as described above, the processing gas supplies a pressure difference from the processing gas supply header .
The pressure by ie resistance applying means so that the flow out through the first gas holes into the processing space in a state of being suppressed. The resistance applying means comprises, for example, a cooling plate having a second gas hole. By changing the thickness of the cooling plate or the diameter of the second gas hole or the first gas hole, the resistance to the processing gas flowing out is reduced. It can be arbitrarily selected.
In this case, even if the process gas pressure in the processing space S is set to 0.5 Torr or less in order to perform fine processing, the pressure in the header becomes 0.5 due to the resistance by the resistance applying means.
The value in the header becomes slightly higher than Torr, and the state in the header deviates from Paschen's law indicating a state for generating plasma discharge. Thus, the generation of plasma in the header is suppressed. It becomes possible.

【0009】[0009]

【実施例】以下に、本発明に係るプラズマ処理装置の一
実施例を添付図面に基づいて詳述する。図1は本発明に
係るプラズマ処理装置の一実施例を示す断面図、図2は
図1に示す装置の上部電極の近傍を示す拡大断面図、図
3は図2に示す抵抗付与手段を示す平面図である。本実
施例においては、プラズマ処理装置としてプラズマエッ
チング装置を例にとって説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the plasma processing apparatus according to the present invention will be described below in detail with reference to the accompanying drawings. 1 is a sectional view showing an embodiment of the plasma processing apparatus according to the present invention, FIG. 2 is an enlarged sectional view showing the vicinity of an upper electrode of the apparatus shown in FIG. 1, and FIG. 3 shows the resistance applying means shown in FIG. It is a top view. In this embodiment, a plasma etching apparatus will be described as an example of a plasma processing apparatus.

【0010】図示するようにこのプラズマエッチング装
置は、例えばアルミニウム等の導体により筒体状に成形
された処理容器18を有しており、この処理容器18は
接地されている。この処理容器18内には、上下方向に
例えば20〜30mm程度離間された一対の電極、すな
わち上部電極20と下部電極22とが平行に配置されて
おり、これら電極間がプラズマ処理空間Sとして構成さ
れる。サセプタとしての下部電極22は、例えばアルマ
イト処理したアルミニウム等により略円柱状に成形され
ており、その上端平面部に被処理体である、例えば半導
体ウエハWを載置し得るようになされている。そして、
この下部電極22の上部周辺部にはリング状のクランパ
部材24が設けられており、ウエハWの周縁部と係合し
てこれを下部電極22へ固定し得るように構成されてい
る。
As shown in the figure, the plasma etching apparatus has a processing vessel 18 formed into a cylindrical shape by a conductor such as aluminum, and the processing vessel 18 is grounded. A pair of electrodes vertically spaced apart, for example, about 20 to 30 mm, that is, an upper electrode 20 and a lower electrode 22 are arranged in parallel in the processing container 18, and the space between these electrodes constitutes a plasma processing space S. Is done. The lower electrode 22 as a susceptor is formed in a substantially columnar shape by using, for example, alumite-treated aluminum or the like, and is capable of mounting an object to be processed, for example, a semiconductor wafer W, on a flat upper end portion thereof. And
A ring-shaped clamper member 24 is provided on an upper peripheral portion of the lower electrode 22, and is configured to engage with a peripheral edge portion of the wafer W and fix it to the lower electrode 22.

【0011】この下部電極22には、処理容器の下部よ
り貫通された例えば絶縁性の管26が連結されており、
この中に下部電極22に接続した配線28を挿通させる
と共にこの配線28にマッチングユニット30及び例え
ば13.56MHzの第1の高周波電源32が接続され
る。尚、この絶縁性の管26自体を導体で形成し、これ
に表皮効果により高周波電力を流すようにしてもよい。
この場合には、この管26と管26が貫通する各部材と
の間の絶縁性を確保するように構成する。そして、下部
電極22の下部は、例えば厚さが数10mmのセラミッ
クス等よりなる絶縁体34を介して接地強化用の第1の
接地電極36が設けられ、この電極36は確実に接地さ
れる。この第1の接地電極36は、例えば厚さが数10
mmの良導体のアルミニウム等よりなり、下部電極22
の略下部全体を、上記絶縁体34を介して被うように容
器状に成形される。
The lower electrode 22 is connected to, for example, an insulating tube 26 penetrating from the lower part of the processing vessel.
A wiring 28 connected to the lower electrode 22 is inserted therein, and a matching unit 30 and a first high-frequency power supply 32 of 13.56 MHz, for example, are connected to the wiring 28. Note that the insulating tube 26 itself may be formed of a conductor, and high-frequency power may be supplied to the tube by a skin effect.
In this case, it is configured to ensure insulation between the pipe 26 and each member through which the pipe 26 passes. A lower portion of the lower electrode 22 is provided with a first grounding electrode 36 for reinforcing grounding via an insulator 34 made of ceramics having a thickness of several tens of mm, for example, and this electrode 36 is reliably grounded. The first ground electrode 36 has a thickness of several tens, for example.
mm of good conductor aluminum or the like, and the lower electrode 22
Is formed in a container shape so as to cover substantially the entire lower portion thereof via the insulator 34.

【0012】また、絶縁体34の下面と第1の接地電極
36の上面との間には、僅かな間隙S1が形成され、こ
の絶縁体34の周縁部下面と第1の接地電極36の周縁
部上端との間にはOリング38が環状に介在されて間隙
S1内をシールしている。そして、この間隙S1には、
その下方より絶縁用気体を導入するための気体導入通路
40が連結されており、この間隙S1に例えば1気圧の
空気を充填することにより上記下部電極22と第1の接
地電極36との間の絶縁性を向上させるための絶縁気体
層42が形成される。
A slight gap S1 is formed between the lower surface of the insulator 34 and the upper surface of the first ground electrode 36, and the lower surface of the peripheral portion of the insulator 34 and the peripheral edge of the first ground electrode 36 are formed. An O-ring 38 is annularly interposed between the upper end and the inside to seal the inside of the gap S1. And, in this gap S1,
A gas introduction passage 40 for introducing an insulating gas is connected from the lower side, and the gap S1 is filled with, for example, 1 atm of air to allow a space between the lower electrode 22 and the first ground electrode 36 to be formed. An insulating gas layer 42 for improving insulation is formed.

【0013】この下部電極22の下部には金属製のサセ
プタ支持台44が介設されると共にこの下部は、容器に
取り付けられた環状のチャンバボトムリング46により
支持されている。サセプタ支持台44は例えば導電性部
材であるアルミニウム部材を、相互に面接触となるよう
に複数個組み合わせて構成されており、全体として接地
ラインにおけるインピーダンスを可能な限り小さくして
接地を強力なものとしている。また、上記下部電極22
内には、その周方向に沿って冷媒を流すための下部冷却
ジャケット48が形成されており、このジャケット48
には、下方より冷却用の冷媒を導入及び排出するための
冷媒導入通路50及び冷媒排出通路(図示せず)が接続
されている。
A metal susceptor support 44 is provided below the lower electrode 22, and the lower portion is supported by an annular chamber bottom ring 46 attached to the container. The susceptor support 44 is formed by combining a plurality of aluminum members, for example, conductive members so that they are in surface contact with each other. And Further, the lower electrode 22
Inside, a lower cooling jacket 48 for flowing the refrigerant along the circumferential direction is formed.
Is connected to a refrigerant introduction passage 50 and a refrigerant discharge passage (not shown) for introducing and discharging a cooling refrigerant from below.

【0014】一方、上部電極20は、例えばアモルファ
スカーボン或いはアルミニウム等により円板状に成形さ
れると共に、その上部には例えばアルミニウムよりなる
処理ガス供給ヘッダ51が設けられ、その側部には係合
凹部52が形成されている。そして、この上部電極20
及び供給ヘッダ51の側部全体は、例えばセラミック或
いは石英等よりなる電気的絶縁体54により被われてお
り、上部電極全体が処理容器18側に支持されている。
On the other hand, the upper electrode 20 is formed into a disk shape by using, for example, amorphous carbon or aluminum, and a processing gas supply header 51 made of, for example, aluminum is provided on the upper portion thereof. A recess 52 is formed. Then, the upper electrode 20
The entire side of the supply header 51 is covered with an electrical insulator 54 made of, for example, ceramic or quartz, and the entire upper electrode is supported on the processing container 18 side.

【0015】この上部電極20は、例えば可変コンデン
サ等を含むマッチングユニット56を介して例えば40
MHzの高周波を発生する第2の高周波電源58が接続
されており、上部電極20に対して高周波電力を印加す
るように構成されている。従って、本実施例にあっては
上部電極20と下部電極22の両方に高周波電力が供給
されて、いわゆるトップアンドボトム方式のプラズマ処
理装置として構成される。また、この上部電極20の側
部には、絶縁体54を介して例えばアルミニウム等より
なるリング状の接地強化用の第2の接地電極60が処理
容器18の側壁との間で設けられており、この接地電極
60は確実に接地されている。
The upper electrode 20 is connected to, for example, 40 through a matching unit 56 including a variable capacitor or the like.
A second high frequency power supply 58 for generating a high frequency of MHz is connected, and is configured to apply a high frequency power to the upper electrode 20. Therefore, in the present embodiment, high-frequency power is supplied to both the upper electrode 20 and the lower electrode 22 to constitute a so-called top-and-bottom type plasma processing apparatus. On the side of the upper electrode 20, a ring-shaped second ground electrode 60 made of, for example, aluminum is provided between the side walls of the processing chamber 18 via an insulator 54, for example. The ground electrode 60 is reliably grounded.

【0016】この第2の接地電極60の下面であって処
理空間Sに臨む面は、例えばアルマイト処理が施されて
おり、プラズマ放電によりこの接地電極60がダメージ
を受けることを防止するために、耐食性、絶縁性の良好
な例えばAl23 よりなる保護層62が形成されてい
る。そして、この接地電極60の下端部は、その内側に
位置する上部電極20の下端部と略同一平面となるよう
に設定されており、不要な付着物が発生することを防止
している。
The lower surface of the second ground electrode 60 facing the processing space S is, for example, subjected to an alumite treatment. In order to prevent the ground electrode 60 from being damaged by plasma discharge, A protective layer 62 made of, for example, Al 2 O 3 having good corrosion resistance and insulation properties is formed. The lower end of the ground electrode 60 is set so as to be substantially flush with the lower end of the upper electrode 20 located inside the ground electrode 60, thereby preventing generation of unnecessary deposits.

【0017】また、この第2の接地電極60は、処理容
器18の天井部18Aを取り外すことにより、上方向へ
挿脱可能になされており、必要時にはこの接地電極60
を容易に交換し得るように構成されている。また、前記
処理ガス供給ヘッダ51は、前記プラズマ処理空間Sへ
処理ガス(プロセスガス)を供給するためのものであ
り、これにはエッチングガス源66からエッチングガス
を導入するためのガス導入通路68が連結される。ま
た、このヘッダ51の先端には板状の上部電極20の広
がりに沿って水平方向へ拡大された中空のヘッダ室64
が形成されている。図2にも示すようにこのヘッダ室6
4の下端開口端には、上記板状の上部電極20が取り付
けられており、上部電極20に等ピッチで多数形成した
第1のガス孔70を介してヘッダ室64から処理空間S
に向けて流出するようになっている。
The second ground electrode 60 can be inserted and removed upward by removing the ceiling portion 18A of the processing vessel 18. When necessary, the second ground electrode 60 can be removed.
Are configured to be easily exchanged. The processing gas supply header 51 is for supplying a processing gas (process gas) to the plasma processing space S, and includes a gas introduction passage 68 for introducing an etching gas from an etching gas source 66. Are linked. At the tip of the header 51, a hollow header chamber 64 expanded in the horizontal direction along the extension of the plate-like upper electrode 20 is provided.
Are formed. As shown in FIG.
The plate-shaped upper electrode 20 is attached to the lower end opening of the lower electrode 4, and the processing space S is formed from the header chamber 64 through the first gas holes 70 formed in the upper electrode 20 at equal pitches.
It is designed to leak out.

【0018】本実施例においては、例えば16MDRA
Mを製造するために必要とされる超微細加工を行うため
に処理空間Sの雰囲気圧力を0.5Torr以下に設定
するが、この場合にヘッダ室64内の圧力低下を防止す
るために流出する処理ガスに抵抗を付与する本発明の特
長とする圧力差を与える手段としての抵抗付与手段72
が形成される。具体的にはこの抵抗付与手段72は、例
えばアルミニウム等よりなる板状の抵抗プレート74を
有し、このブレート74は上記ヘッダ室64の開口端縁
と上部電極20との間に介設されて、ボルト76等によ
り取り付け固定される。この抵抗プレート74には、上
記上部電極20に形成された第1のガス孔70と対応し
て連通するように等ピッチになされた多数の第2のガス
孔78が形成されている。この時の平面図は図3に示さ
れる。第1のガス孔70及び第2のガス孔78はそれぞ
れ上部電極20及び抵抗プレート74の略全面に渡って
等ピッチで形成されており、これを流通する処理ガスに
対する抵抗は、第1のガス孔70の直径D1、第2のガ
ス孔78及び抵抗プレート74の厚さH1等により決定
され、これらの値を適宜選択することにより処理空間S
のプロセス圧力よりもヘッダ室64内の圧力を所定の圧
力差だけ高く設定することができる。
In this embodiment, for example, 16 MDRA
Atmospheric pressure in the processing space S is set to 0.5 Torr or less in order to perform ultra-fine processing required for manufacturing M. In this case, the atmosphere flows out to prevent a pressure drop in the header chamber 64. Resistance applying means 72 as means for applying a pressure difference, which is a feature of the present invention for applying resistance to the processing gas.
Is formed. Specifically, the resistance applying means 72 has a plate-shaped resistance plate 74 made of, for example, aluminum or the like. The plate 74 is provided between the opening edge of the header chamber 64 and the upper electrode 20. , Bolts 76 and the like. The resistance plate 74 has a plurality of second gas holes 78 formed at an equal pitch so as to communicate with the first gas holes 70 formed in the upper electrode 20. A plan view at this time is shown in FIG. The first gas holes 70 and the second gas holes 78 are formed at substantially the same pitch over substantially the entire surface of the upper electrode 20 and the resistance plate 74, respectively. The processing space S is determined by the diameter D1 of the hole 70, the thickness H1 of the second gas hole 78 and the resistance plate 74, and by appropriately selecting these values.
Can be set higher than the process pressure by a predetermined pressure difference.

【0019】そして、この場合、ヘッダ室64内の雰囲
気状態は、プラズマ放電が生ずる限界を示すパッシェン
(Paschen)の法則を外れるような状態に設定さ
れる。このパッシェンの法則は、放電開始電圧Vと、放
電空間の圧力Pと電極から放電空間までの距離Lとの関
係を示すものであり、これらの関係で表される放電発生
領域外となるように上記パラメータを設定する。具体的
には、ヘッダ室64内の圧力を上昇させるために第1の
ガス孔70の直径D1は0.5mmに、第2のガス孔7
8の直径D2は2.0mm程度に設定されると共に第2
のガス孔78のピッチL1は6.35mm程度に設定さ
れ、また、上部電極20からの距離を決定する抵抗プレ
ート74の厚さH1は17mm程度に設定され、プラズ
マ放電が生じないように構成している。また、この抵抗
プレート74は、冷媒を流すために処理ガス供給ヘッダ
51に設けた上部冷却ジャケット80からの冷熱によ
り、上部電極20を冷却するためのクーリングプレート
としての機能も有するように構成されている。また、ヘ
ッダ室64内には、多数の流通孔82を有して水平方向
に配置された2枚のバッフル板84が上下に適宜離間さ
せて設けられており、ガス導入通路68より導入した処
理ガスをヘッダ室64内にて均一拡散するようになって
いる。
In this case, the atmosphere state in the header chamber 64 is set so as to deviate from Paschen's law indicating the limit of the occurrence of plasma discharge. This Paschen's law shows the relationship between the discharge starting voltage V, the pressure P in the discharge space, and the distance L from the electrode to the discharge space. Set the above parameters. Specifically, in order to increase the pressure in the header chamber 64, the diameter D1 of the first gas hole 70 is set to 0.5 mm,
8, the diameter D2 is set to about 2.0 mm and the second
The pitch L1 of the gas holes 78 is set to about 6.35 mm, and the thickness H1 of the resistance plate 74 that determines the distance from the upper electrode 20 is set to about 17 mm, so that plasma discharge does not occur. ing. Further, the resistance plate 74 is configured to also have a function as a cooling plate for cooling the upper electrode 20 by cooling heat from an upper cooling jacket 80 provided on the processing gas supply header 51 for flowing a refrigerant. I have. Further, in the header chamber 64, two baffle plates 84 having a large number of flow holes 82 and arranged horizontally are provided at appropriate intervals in the vertical direction. The gas is uniformly diffused in the header chamber 64.

【0020】そして、処理容器18の側壁の一部には、
容器内の雰囲気を排出するための排気通路86が接続さ
れている。また、この容器の他の側壁には、ゲート
8を介して内部に搬送アーム90を有するロードロック
室92が連通されており、上記処理容器18内の真空を
破ることなくウエハWの搬入及び搬出を行い得るように
構成されている。また、このロードロック室92の反対
側壁にもゲート94が設けられており、図示しないウ
エハ搬送系との間てウエハの受け渡しを行うようになっ
ている。
Then, on a part of the side wall of the processing vessel 18,
An exhaust passage 86 for exhausting the atmosphere in the container is connected. In addition, a gate valve 8 is provided on the other side wall of the container.
A load lock chamber 92 having a transfer arm 90 therein is communicated with the inside through 8, so that the wafer W can be loaded and unloaded without breaking the vacuum in the processing container 18. A gate valve 94 is also provided on the opposite side wall of the load lock chamber 92 to transfer a wafer to and from a wafer transfer system (not shown).

【0021】次に、以上のように構成された本実施例の
動作について説明する。まず、ロードロック室92内及
び処理容器18内はともに真空状態になされており、ロ
ードロック室92内の搬送アーム90に保持された未処
理のウエハWは、ゲート88を介して処理容器18内
に搬入され、このウエハWは下部電極22上にクランパ
部材24により確実に固定される。
Next, the operation of this embodiment configured as described above will be described. First, both the inside of the load lock chamber 92 and the inside of the processing chamber 18 are evacuated, and the unprocessed wafer W held by the transfer arm 90 in the load lock chamber 92 is transferred through the gate valve 88 to the processing chamber 18. The wafer W is securely fixed on the lower electrode 22 by the clamper member 24.

【0022】そして、ガス導入通路68へエッチングガ
スを送り込むことにより処理ガス供給ヘッダ51のヘッ
ダ室60へ供給された処理ガスを抵抗プレート74に設
けた第2のガス孔78及び上部電極20に設けた第1の
ガス孔70を介して処理容器18内へ導入させると共に
排気通路86を介して処理容器18内を真空引きしてこ
の容器18内を所定の低圧雰囲気に維持しつつ上部電極
20に第2の高周波電源58より例えば40MHzの高
周波を、下部電極22に第1の高周波電源32より、例
えば13.56MHzの高周波電力をそれぞれ印加す
る。これにより、上部電極20と下部電極22との間に
プラズマ放電が発生し、処理空間S内にエッチングガス
からプラズマが誘起され、生成したプラズマ中のラジカ
ルをウエハWの表面に付着させて化学的反応によるエッ
チングを行うと共にプラズマ中で分解したイオンを両電
極20、22間に形成される電界によって加速してウエ
ハWに衝突させ、例えばポリシリコン膜のエッチングを
行う。
Then, the processing gas supplied to the header chamber 60 of the processing gas supply header 51 by supplying the etching gas into the gas introduction passage 68 is provided to the second gas hole 78 provided in the resistance plate 74 and the upper electrode 20. The gas is introduced into the processing vessel 18 through the first gas hole 70 and the inside of the processing vessel 18 is evacuated through the exhaust passage 86 to maintain the inside of the vessel 18 at a predetermined low-pressure atmosphere while the upper electrode 20 A high frequency of, for example, 40 MHz is applied from the second high frequency power supply 58, and a high frequency power of, for example, 13.56 MHz is applied to the lower electrode 22 from the first high frequency power supply 32. As a result, a plasma discharge is generated between the upper electrode 20 and the lower electrode 22, plasma is induced from the etching gas in the processing space S, and radicals in the generated plasma adhere to the surface of the wafer W to chemically react. Etching by reaction is performed, and ions decomposed in the plasma are accelerated by an electric field formed between the electrodes 20 and 22 to collide with the wafer W, for example, to etch a polysilicon film.

【0023】ところで、上部及び下部電極20、22間
に放電を生ぜしめてプラズマを立ち上げるときには、各
高周波電源32、58の有するインピーダンスと放電
系、例えば上部及び下部電極間で形成される容量性のイ
ンピーダンス、上部電極20とこの外周側に配置した第
2の接地電極60との間に形成される容量性のインピー
ダンス、下部電極32とこの外周側に配置した第1の接
地電極36との間に形成される容量性のインピーダンス
或いは更に遠い所に位置する容器壁との間に形成される
容量性のインピーダンス等の結合インピーダンスが等し
くなければ安定して放電が生じないので、放電開始時に
おいては各高周波電源32、58に直列接続した例えば
可変コンデンサよりなるマッチングユニット30、56
を適宜調整し、インピーダンスのマッチングが行われ
る。この場合、メインの放電は従来装置と同様に上部及
び下部電極20、22間において生ずるが、避けること
のできない好ましくない不要な放電は、上部電極20と
容器壁よりも近くに設けられた第2の接地電極60との
間及び下部電極22と容器壁よりも近くに設けられた第
1の接地電極36との間でそれぞれ生じることになる。
When a plasma is generated by generating a discharge between the upper and lower electrodes 20 and 22, the impedance of each of the high-frequency power supplies 32 and 58 and the discharge system, for example, the capacitance formed between the upper and lower electrodes are reduced. Impedance, capacitive impedance formed between the upper electrode 20 and the second ground electrode 60 disposed on the outer peripheral side, between the lower electrode 32 and the first ground electrode 36 disposed on the outer peripheral side. If the coupling impedance such as the formed capacitive impedance or the capacitive impedance formed between the container and the container located farther away is not equal, stable discharge does not occur. Matching units 30 and 56 composed of, for example, variable capacitors connected in series to the high-frequency power supplies 32 and 58.
Is appropriately adjusted, and impedance matching is performed. In this case, the main discharge occurs between the upper and lower electrodes 20 and 22 as in the conventional device, but the undesirable and unnecessary discharge that cannot be avoided is caused by the second electrode provided closer to the upper electrode 20 and the vessel wall. And between the lower electrode 22 and the first ground electrode 36 provided closer to the container wall.

【0024】このように、上部電極20及び下部電極2
2の近傍に容器内壁よりも近い所にそれぞれ第1及び第
2の接地電極36、60を配置して接地を強化すること
により、これらの間に不要な放電を発生させるようにし
たので、放電開始時におけるマッチングのリターン効率
が良好となり、インピーダンスが変動することなくこれ
を迅速に安定化させることが可能となる。従って、マッ
チングユニット30、56によるインピーダンス調整を
従来装置の場合と比較して迅速に行うことができ、直ち
にエッチング処理に移行することができるので、エッチ
ング処理効率を大幅に向上させることができる。
As described above, the upper electrode 20 and the lower electrode 2
Since the first and second ground electrodes 36 and 60 are arranged closer to the inner wall 2 and closer to the inner wall of the container to strengthen the ground, an unnecessary discharge is generated between them. The return efficiency of the matching at the start is improved, and the impedance can be quickly stabilized without fluctuation. Accordingly, the impedance adjustment by the matching units 30 and 56 can be performed more quickly than in the case of the conventional apparatus, and the process can immediately proceed to the etching process, so that the etching process efficiency can be greatly improved.

【0025】また、下部電極22に関しては、上述のよ
うに絶縁体34を介してその下部を第1の接地電極36
で被ってグランドを強化しているので、下部電極22の
不要な電荷が所定の誘電率を有するセラミック製の絶縁
体34を介して或いは不要な放電として両部材間に直接
放電が生じることにより第1の接地電極36から逃げる
ことになる。従って、インピーダンス調整を迅速に行う
ことができるのみならず、安定したプラズマ放電を維持
することが可能となる。また、絶縁体34とこの下部を
被う第1の接地電極36との間隙S1には、例えば1気
圧の気体(空気)を充填した絶縁気体層42を介在させ
たのでこれらの間の絶縁性を一層良好にすることが可能
となる。
As described above, the lower electrode 22 is connected to the first ground electrode 36 via the insulator 34 as described above.
And the ground is strengthened, unnecessary electric charges of the lower electrode 22 are generated via the ceramic insulator 34 having a predetermined dielectric constant or directly between the two members as unnecessary electric discharge. This escapes from one ground electrode 36. Therefore, not only can impedance adjustment be performed quickly, but also stable plasma discharge can be maintained. Further, an insulating gas layer 42 filled with, for example, a gas (air) of 1 atm is interposed in a gap S1 between the insulator 34 and the first ground electrode 36 covering the lower portion thereof, so that an insulating property between them is provided. Can be further improved.

【0026】更に、本実施例にあっては、例えば16M
DRAMを製造するために必要とされる超微細加工を行
うために処理空間Sの雰囲気圧力を、従来のプロセスの
場合よりも低い例えば0.5Torr以下に設定する
が、このため第1及び第2のガス孔70、78を介して
連通するヘッダ室64内もそれに対応してプロセス圧力
よりも僅かに高い圧力ではあるが減圧状態となり、この
ヘッダ室64内でもプラズマ微小放電が生ずる恐れが発
生する。しかしながら、本実施例においては、上部電極
20に形成した第1のガス孔70や抵抗プレート74に
形成した第2のガス孔78の直径D1、D2、これらガ
ス孔70、78のピッチL1、抵抗プレート74の厚さ
H1等の値を適宜選択することにより、ガス流に抵抗を
付与してヘッダ室64内の雰囲気圧を僅かに高め、しか
もこのガス状態をパッシェンの法則によるプラズマ放電
領域から外れた所に位置させている。これにより、ヘッ
ダ室64内にてプラズマ微小放電が生ずることがなく、
従って従来装置によればヘッダ室内のプラズマ放電に起
因して発生していた容器内壁材料であるアルミニウムの
パーティクルも発生することはなく、製品の歩留まりも
向上させることができる。
Further, in this embodiment, for example, 16M
The atmospheric pressure in the processing space S is set to, for example, 0.5 Torr or less, which is lower than that of the conventional process, in order to perform ultra-fine processing required for manufacturing a DRAM. The inside of the header chamber 64 communicating through the gas holes 70, 78 is also depressurized at a correspondingly slightly higher pressure than the process pressure, and a plasma micro-discharge may occur in the header chamber 64. . However, in this embodiment, the diameters D1 and D2 of the first gas holes 70 formed in the upper electrode 20 and the second gas holes 78 formed in the resistance plate 74, the pitch L1 of these gas holes 70 and 78, the resistance By appropriately selecting the value of the thickness H1 of the plate 74 or the like, the resistance to the gas flow is imparted to slightly increase the atmospheric pressure in the header chamber 64, and the gas state deviates from the plasma discharge region according to Paschen's law. It is located in the place. As a result, plasma micro-discharge does not occur in the header chamber 64,
Therefore, according to the conventional apparatus, there is no generation of particles of aluminum, which is a material of the inner wall of the container, which is generated due to the plasma discharge in the header chamber, and the yield of the product can be improved.

【0027】ここで、プロセス圧力(処理空間Sの圧
力)を0.57Torrに設定した場合における、従来
装置と本実施例の装置のヘッダ室内の圧力をシュミレー
トした時の結果を記す。設定条件は、従来装置におい
て、上部電極の板厚、ガス孔の直径はそれぞれ3mm、
0.8mm、抵抗プレートの板厚、ガス孔の直径はそれ
ぞれ7mm、1.8mmになされ、本実施例において
は、上部電極の板厚、ガス孔の直径はそれぞれ3mm、
0.5mm、抵抗プレートの板厚、ガス孔の直径はそれ
ぞれ17mm、2.0mmになされた。ガス流量を50
〜600SCCMまで種々変化させたところ、下記の表
1に示すような結果を得た。
Here, the results when the pressures in the header chambers of the conventional apparatus and the apparatus of the present embodiment are simulated when the process pressure (the pressure in the processing space S) is set to 0.57 Torr will be described. The setting conditions were as follows: in the conventional apparatus, the plate thickness of the upper electrode and the diameter of the gas hole were 3 mm, respectively.
0.8 mm, the thickness of the resistance plate, and the diameter of the gas hole are 7 mm and 1.8 mm, respectively. In this embodiment, the thickness of the upper electrode and the diameter of the gas hole are 3 mm, respectively.
0.5 mm, the thickness of the resistance plate, and the diameter of the gas hole were 17 mm and 2.0 mm, respectively. Gas flow rate 50
When variously changed to 600600 SCCM, the results shown in Table 1 below were obtained.

【0028】[0028]

【表1】 [Table 1]

【0029】表1においてカッコ内は、プロセス圧力に
対する昇圧値(差圧)を示す。これによれば、本実施例
は各流量において、プロセス圧力に対するヘッダ室内の
差圧は、従来装置の場合よりも全てにおいてかなり高く
なっており、プラズマ微小放電が発生し難い状態となっ
ているのが判明する。
In Table 1, the value in parentheses indicates the pressure increase value (differential pressure) with respect to the process pressure. According to this example, in this embodiment, at each flow rate, the differential pressure in the header chamber with respect to the process pressure is considerably higher in all cases than in the case of the conventional apparatus, so that the plasma micro-discharge is hardly generated. Turns out.

【0030】また、実際に従来装置と本実施例の装置を
用いて試験をしたところ、以下に示す結果を得た。試験
条件は、従来装置の場合は、ガス孔の直径を120m
m、ガス孔のピッチを3.175mm、抵抗プレートの
厚さを11mmとし、本実施例の装置の場合は、ガス孔
の直径を従来装置と同じ120mm、ガス孔のピッチを
2倍の6.35mm、抵抗プレートの厚さを僅かに大き
くして17mmにそれぞれ設定した。そして、プロセス
圧力を0.25Torrに設定し、処理ガスとしてCH
3 を20SCCM、CF4 を20SCCM、Arを4
00SCCMそれぞれ流し、ウエハギャップを0.9c
mにして800Wの高周波電力で3分間プラズマ処理を
行った。
Further, when a test was actually performed using the conventional apparatus and the apparatus of this embodiment, the following results were obtained. The test conditions were as follows: In the case of the conventional device, the diameter of the gas hole was 120 m.
m, the pitch of the gas holes is 3.175 mm, and the thickness of the resistance plate is 11 mm. In the case of the apparatus of this embodiment, the diameter of the gas holes is 120 mm, which is the same as the conventional apparatus, and the pitch of the gas holes is doubled. The thickness was set to 35 mm, and the thickness of the resistance plate was slightly increased to 17 mm. Then, the process pressure was set to 0.25 Torr, and CH gas was used as the processing gas.
The F 3 20 SCCM, a CF 4 20 SCCM, the Ar 4
00SCCM each, wafer gap 0.9c
m, and plasma treatment was performed for 3 minutes at a high frequency power of 800 W.

【0031】この結果、アルミニウムの不純物濃度は、
従来装置の場合は1350×1010atoms/cm2
であったが、本実施例の場合は62×1010atoms
/cm2 であった。この結果、本実施例の汚染量は従来
装置と比較して2桁下がっており、プラズマの微小放電
が抑制された結果、パーティクル発生の抑制効果が顕著
に表れたことが判明した。上記実施例においては、各ガ
ス孔の直径、これらガス孔のピッチ、抵抗プレートの厚
さ等は単に一例を示したにすぎず、ヘッダ室内にプラズ
マ微小放電が生じないような数値を種々選択できるのは
勿論である。
As a result, the impurity concentration of aluminum becomes
1350 × 10 10 atoms / cm 2 in the case of the conventional device
However, in the case of this embodiment, 62 × 10 10 atoms
/ Cm 2 . As a result, the contamination amount of the present example was two orders of magnitude lower than that of the conventional apparatus, and it was found that as a result of suppressing the plasma micro-discharge, the effect of suppressing the generation of particles was remarkably exhibited. In the above embodiment, the diameter of each gas hole, the pitch of these gas holes, the thickness of the resistance plate, etc. are merely examples, and various values can be selected so as to prevent plasma micro-discharge in the header chamber. Of course.

【0032】また、上記実施例にあっては、上部電極2
0に40MHzの高周波を、下部電極22に13.56
MHzの高周波をそれぞれ印加したが、これらの周波数
の組は限定されず、例えば、上部電極の周波数と下部電
極の周波数の組をそれぞれ、例えば1MHzと380K
Hz、13.56MHzと13.56MHz、380K
Hzと380KHz等のように種々変更することができ
る。
In the above embodiment, the upper electrode 2
0 to the lower electrode 22 and 13.56 to the lower electrode 22.
Although a high frequency of MHz was applied, the set of these frequencies is not limited. For example, the set of the frequency of the upper electrode and the set of the frequency of the lower electrode are respectively 1 MHz and 380 K, for example.
Hz, 13.56MHz and 13.56MHz, 380K
Hz and 380 KHz can be variously changed.

【0033】更に、上記実施例にあっては、上部電極2
0と下部電極22の相方に高周波電源を印加する、いわ
ゆるトップアンドボトム方式の場合について説明した
が、これに限定されず、例えば下部電極22のみに高周
波電源を印加して上部電極20は接地する、いわゆるボ
トムオンリー方式や、この逆に上部電極20のみに高周
波電源を印加して下部電極22は接地する、いわゆるト
ップオンリー方式にも適用し得るのは勿論である。
Further, in the above embodiment, the upper electrode 2
The case of applying a high-frequency power to the direction between 0 and the lower electrode 22, that is, a so-called top-and-bottom method has been described. However, the present invention is not limited to this. Needless to say, the present invention can be applied to a so-called bottom-only system or a so-called top-only system in which high-frequency power is applied only to the upper electrode 20 and the lower electrode 22 is grounded.

【0034】尚、上記実施例においては、プラズマ処理
装置としてプラズマエッチング装置を例にとって説明し
たが、本発明はこれに限定されず、他のプラズマ処理装
置、例えばプラズマアッシング装置、プラズマCVD装
置、プラズマスパッタ装置等にも適用し得るのは勿論で
ある。
In the above embodiment, a plasma etching apparatus has been described as an example of a plasma processing apparatus. However, the present invention is not limited to this, and other plasma processing apparatuses such as a plasma ashing apparatus, a plasma CVD apparatus, and a plasma etching apparatus may be used. Of course, the present invention can be applied to a sputtering apparatus or the like.

【0035】[0035]

【発明の効果】以上説明したように、本発明のプラズマ
処理装置によれば次のような優れた作用効果を発揮させ
ることができる。抵抗付与手段を設けて供給ヘッダ内の
圧力を処理空間よりも高くしたので、処理空間の圧力を
0.5Torr以下に設定しても供給ヘッダ内にプラズ
マ微小放電が生ずることを抑制することができ、パーテ
ィクルの発生を大幅に抑制することができる。
As described above, according to the plasma processing apparatus of the present invention, the following excellent functions and effects can be exhibited. Since the pressure in the supply header is made higher than that in the processing space by providing the resistance applying means, even if the pressure in the processing space is set to 0.5 Torr or less, it is possible to suppress the occurrence of plasma micro-discharge in the supply header. In addition, generation of particles can be significantly suppressed.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明に係るプラズマ処理装置の一実施例を示
す断面図である。
FIG. 1 is a sectional view showing one embodiment of a plasma processing apparatus according to the present invention.

【図2】図1に示す装置の上部電極の近傍を示す拡大断
面図である。
FIG. 2 is an enlarged sectional view showing the vicinity of an upper electrode of the device shown in FIG.

【図3】図2に示す抵抗付与手段を示す平面図である。FIG. 3 is a plan view showing a resistance applying unit shown in FIG. 2;

【図4】従来のプラズマ処理装置を示す概略断面図であ
る。
FIG. 4 is a schematic sectional view showing a conventional plasma processing apparatus.

【符号の説明】[Explanation of symbols]

18 処理容器 20 上部電極 22 下部電極 32 第1の高周波電源 51 処理ガス供給ヘッダ 58 第2の高周波電源 64 ヘッダ室 66 エッチングガス源 68 ガス導入通路 70 第1のガス孔 72 抵抗付与手段 74 抵抗プレート 78 第2のガス孔 82 バッフル板 S 処理空間 W 被処理体(半導体ウエハ) Reference Signs List 18 processing container 20 upper electrode 22 lower electrode 32 first high-frequency power supply 51 processing gas supply header 58 second high-frequency power supply 64 header chamber 66 etching gas source 68 gas introduction passage 70 first gas hole 72 resistance applying means 74 resistance plate 78 Second gas hole 82 Baffle plate S Processing space W Workpiece (semiconductor wafer)

───────────────────────────────────────────────────── フロントページの続き (72)発明者 細田 正蔵 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (56)参考文献 特開 昭61−15974(JP,A) 特開 平1−17429(JP,A) 特開 昭62−172716(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 H01L 21/205 H01L 21/31 C23C 14/34 C23C 16/50 - 16/517 C23F 4/00 ────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Shozo Hosoda 2381, Kita-Shimojo, Fujii-machi, Nirasaki-shi, Yamanashi Pref. Tokyo Electron Yamanashi Co., Ltd. Hei 1-17429 (JP, A) JP-A-62-172716 (JP, A) (58) Fields investigated (Int. Cl. 7 , DB name) H01L 21/3065 H01L 21/205 H01L 21/31 C23C 14 / 34 C23C 16/50-16/517 C23F 4/00

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 処理ガス供給ヘッダを有する電極に形成
した第1のガス孔を介して処理空間に処理ガスを供給し
つつ対向する電極に保持した被処理体に対してプラズマ
処理を施すプラズマ処理装置において、前記処理ガス供
給ヘッダ内にプラズマ放電が生じないように、前記処理
ガス供給ヘッダ内の圧力と前記処理空間の処理圧力との
間に圧力差を与える手段を、前記処理ガス供給ヘッダ内
に設けるように構成したことを特徴とするプラズマ処理
装置。
1. A plasma processing for supplying a processing gas to a processing space via a first gas hole formed in an electrode having a processing gas supply header, and performing a plasma processing on an object to be processed held on an opposite electrode. In the apparatus, the processing is performed so that plasma discharge does not occur in the processing gas supply header .
Of the pressure in the gas supply header and the processing pressure in the processing space.
Means for providing a pressure difference between the processing gas supply headers.
The plasma processing apparatus characterized by configured as provided.
【請求項2】 前記圧力差を与える手段は、第2のガス
孔を有するプレートであって、このプレートは前記第1
のガス孔よりガス流れ方向において上流側に位置されて
いることを特徴とする請求項1記載のプラズマ処理装
置。
2. The means for providing a pressure difference is a plate having a second gas hole, the plate being provided with the first gas hole .
Located upstream of the gas hole in the gas flow direction
The plasma processing apparatus according to claim 1, wherein the are.
【請求項3】 前記処理空間の処理圧力は、0.5To
rr以下であることを特徴とする請求項1又は2記載の
プラズマ処理装置
3. The processing pressure in the processing space is 0.5 To
rr or less.
Plasma processing equipment .
【請求項4】 前記圧力差を与える手段は、少なくとも
前記抵抗プレートの厚さ、前記第1または第2のガス孔
のピッチ及び前記第1または第2のガス孔の穴径を変化
させることにより前記流通する処理ガスに対する抵抗を
変化させるように構成したことを特徴とする請求項
たは請求項記載のプラズマ処理装置。
4. The means for providing a pressure difference comprises changing at least a thickness of the resistance plate, a pitch of the first or second gas holes, and a hole diameter of the first or second gas holes. the plasma processing apparatus according to claim 2 or claim 3, wherein the configured to vary the resistance to process gas the flow.
JP05140031A 1993-03-31 1993-05-19 Plasma processing equipment Expired - Lifetime JP3086362B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP05140031A JP3086362B2 (en) 1993-05-19 1993-05-19 Plasma processing equipment
KR1019940006705A KR100324792B1 (en) 1993-03-31 1994-03-31 Plasma processing apparatus
US08/843,129 US6110287A (en) 1993-03-31 1997-04-28 Plasma processing method and plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP05140031A JP3086362B2 (en) 1993-05-19 1993-05-19 Plasma processing equipment

Publications (2)

Publication Number Publication Date
JPH06333850A JPH06333850A (en) 1994-12-02
JP3086362B2 true JP3086362B2 (en) 2000-09-11

Family

ID=15259352

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05140031A Expired - Lifetime JP3086362B2 (en) 1993-03-31 1993-05-19 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP3086362B2 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136388A (en) * 1997-12-01 2000-10-24 Applied Materials, Inc. Substrate processing chamber with tunable impedance
JP3654142B2 (en) * 2000-01-20 2005-06-02 住友電気工業株式会社 Gas shower for semiconductor manufacturing equipment
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
JP4578694B2 (en) * 2001-02-09 2010-11-10 株式会社カネカ Plasma CVD apparatus and silicon-based film manufacturing method using plasma CVD apparatus
US20050092245A1 (en) * 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
JP5188781B2 (en) * 2007-11-13 2013-04-24 月島機械株式会社 Plasma processing apparatus and plastic surface protective film forming method
JP5188782B2 (en) * 2007-11-13 2013-04-24 月島機械株式会社 Plasma CVD apparatus and method for forming plastic surface protective film
JP5082967B2 (en) * 2008-03-21 2012-11-28 株式会社島津製作所 Plasma electrode and plasma chemical vapor deposition apparatus
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP5132670B2 (en) * 2009-12-24 2013-01-30 三菱電機株式会社 Plasma CVD equipment
JP2013247150A (en) * 2012-05-23 2013-12-09 Ulvac Japan Ltd Plasma processing apparatus

Also Published As

Publication number Publication date
JPH06333850A (en) 1994-12-02

Similar Documents

Publication Publication Date Title
KR100900595B1 (en) Method and apparatus to confine plasma and to enhance flow conductance
JP4831853B2 (en) Capacitively coupled parallel plate plasma etching apparatus and plasma etching method using the same
JP5600644B2 (en) Workpiece manufacturing equipment
TWI460786B (en) A plasma processing apparatus, a plasma processing method, and a memory medium
US5494522A (en) Plasma process system and method
US5897713A (en) Plasma generating apparatus
US6423242B1 (en) Etching method
US20060169673A1 (en) Plasma processing method and apparatus
JP3086362B2 (en) Plasma processing equipment
JPH09283459A (en) Substrate processing method and apparatus
JPH08236513A (en) Method of etching substrate in plasma
US6020570A (en) Plasma processing apparatus
JP3181473B2 (en) Plasma processing equipment
JP2005085917A (en) Plasma treatment apparatus
TW202109603A (en) Plasma processing apparatus and plasma processing method
KR20200062031A (en) Etching method and substrate processing device
JP4467667B2 (en) Plasma processing equipment
JPH08255782A (en) Plasma surface treating apparatus
KR100262883B1 (en) Plasma cleaning method
KR20070096850A (en) Plasma processing apparatus
US6319856B1 (en) Methods of forming dielectric layers and methods of forming capacitors
JP3247491B2 (en) Plasma processing equipment
JP3362093B2 (en) How to remove etching damage
KR20070095830A (en) Plasma processing apparatus
JPH09306896A (en) Plasma processor and plasma processing method

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000627

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120707

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130707

Year of fee payment: 13

EXPY Cancellation because of completion of term