JP2972719B2 - Semiconductor integrated circuit device and arrangement method thereof - Google Patents

Semiconductor integrated circuit device and arrangement method thereof

Info

Publication number
JP2972719B2
JP2972719B2 JP10131121A JP13112198A JP2972719B2 JP 2972719 B2 JP2972719 B2 JP 2972719B2 JP 10131121 A JP10131121 A JP 10131121A JP 13112198 A JP13112198 A JP 13112198A JP 2972719 B2 JP2972719 B2 JP 2972719B2
Authority
JP
Japan
Prior art keywords
flip
flop
macro
flops
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP10131121A
Other languages
Japanese (ja)
Other versions
JPH11307728A (en
Inventor
雅博 涌島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NIPPON DENKI AISHII MAIKON SHISUTEMU KK
Original Assignee
NIPPON DENKI AISHII MAIKON SHISUTEMU KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NIPPON DENKI AISHII MAIKON SHISUTEMU KK filed Critical NIPPON DENKI AISHII MAIKON SHISUTEMU KK
Priority to JP10131121A priority Critical patent/JP2972719B2/en
Publication of JPH11307728A publication Critical patent/JPH11307728A/en
Application granted granted Critical
Publication of JP2972719B2 publication Critical patent/JP2972719B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路装
置の設計方式に関し、特に、フリップ・フロップのホー
ルド・タイムを確保して設計容易化を図る設計方法及び
半導体集積回路装置を提供することにある。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of designing a semiconductor integrated circuit device, and more particularly, to a design method and a semiconductor integrated circuit device for securing a flip-flop hold time and facilitating design. is there.

【0002】[0002]

【従来の技術】図6に、従来の一相同期回路の設計フロ
ーを示す。図6を参照して、従来の設計フローを説明す
る。
2. Description of the Related Art FIG. 6 shows a design flow of a conventional one-phase synchronous circuit. A conventional design flow will be described with reference to FIG.

【0003】回路設計後、ステップ202で回路接続情
報201から、仮配線遅延見積もりを行う。
After circuit design, in step 202, a temporary wiring delay is estimated from the circuit connection information 201.

【0004】フリップ・フロップ間の遅延が不足し、ホ
ールド・タイムが満足できない場合には、ステップ20
4で遅延素子挿入を行う。また、他のタイミング違反に
対しても対策を行う。
If the delay between flip-flops is insufficient and the hold time cannot be satisfied, step 20 is executed.
At 4, the delay element is inserted. Also, countermeasures are taken against other timing violations.

【0005】ステップ203で配置を実行する。At step 203, the arrangement is executed.

【0006】配置後、ステップ205で実配線遅延での
検証を行う。
After placement, verification is performed in step 205 with the actual wiring delay.

【0007】フリップ・フロップ間の遅延が不足しホー
ルド・タイムが満足できない場合は、ステップ204で
遅延素子挿入を行いステップ203の配線処理に戻る
か、もしくは、ステップ206でホールド・タイムが満
足できないフリップ・フロップ間の配線長を増大させ、
配線遅延を増やしてホールド・タイムを確保する。ま
た、他のタイミング違反に対しても対策を行う。
If the delay between flip-flops is insufficient and the hold time cannot be satisfied, a delay element is inserted in step 204 and the process returns to the wiring process in step 203, or the flip-flop in which the hold time is not satisfied is determined in step 206.・ Increase the wiring length between flops,
Increase the wiring delay to secure the hold time. Also, countermeasures are taken against other timing violations.

【0008】上記したように、設計者はタイミング等を
配慮しながら、タイミング違反が収束するまで、上記フ
ローを繰り返し行っており、開発時間が増大している。
As described above, the designer repeats the above flow while considering the timing and the like until the timing violation converges, and the development time is increased.

【0009】なお例えば特開平5-175467号公報には、多
ビットデータ格納用のレジスタ部と、該レジスタ部から
出力されるデータを処理するランダムロジック部とを含
み、該レジスタ部が半導体チップの一部に集中して配置
されたフリップフロップアレイから構成されるASIC
(特定用途向けIC)デバイスが提案されている。同公
報には、フリップ・フロップを集中配置する方法につい
ても記載されているものの、これは、クロックの信号遅
延の偏りを、フリップフロップアレイを一角に集中配置
する事で小さくするというものであり、フリップ・フロ
ップのホールド・タイムの確保を確実とする手段を提供
するものではない。
[0009] For example, Japanese Patent Application Laid-Open No. 5-175467 includes a register unit for storing multi-bit data and a random logic unit for processing data output from the register unit. ASIC composed of flip-flop arrays concentrated in a part
(Application specific IC) devices have been proposed. The same publication also describes a method of arranging flip-flops, but this is to reduce the bias of clock signal delay by intensively arranging flip-flop arrays in one corner, It does not provide a means for ensuring the hold time of the flip-flop.

【0010】また例えば特開平5-250891号公報には、配
線遅延によるクロックの遅れによってシフトレジスタ回
路の後段が誤動作することを防止するシフトレジスタに
おいて、補償遅延用素子を不要とし、クロック配線を一
本で済ませることを可能とし、十分なホールド・タイム
を保証するシフトレジスタ回路が提案されているが、こ
の回路方式は、クロックに配線遅延を持たせるものであ
り、大規模な一相同期回路には適しない。
[0010] For example, Japanese Patent Application Laid-Open No. H5-250891 discloses a shift register that prevents a subsequent stage of a shift register circuit from malfunctioning due to a clock delay due to a wiring delay. A shift register circuit has been proposed that can be completed with a book and guarantees a sufficient hold time.However, this circuit method adds a wiring delay to the clock, and is used for large-scale one-phase synchronous circuits. Is not suitable.

【0011】[0011]

【発明が解決しようとする課題】上記したように、従来
の設計方式においては、配置後、実配線検証によりフリ
ップ・フロップ間の遅延時間を求め、不足する分につい
ては遅延素子を挿入するか、若しくは配線長を増大させ
配線容量を増加することによりホールド・タイムの確保
を行っていた。
As described above, in the conventional design method, after placement, the delay time between flip-flops is determined by actual wiring verification, and if there is a shortage, a delay element is inserted. Alternatively, the hold time is ensured by increasing the wiring length and the wiring capacity.

【0012】しかし、遅延素子の挿入は、その手間が掛
かると共に、トランジスタ数の増大を招く。
However, the insertion of the delay element takes a lot of trouble and increases the number of transistors.

【0013】また、配線長を増大させる方法において
は、配線が人手作業となるため、該当箇所が多い場合に
は膨大な作業量となっていた。
In addition, in the method of increasing the wiring length, since the wiring is performed manually, the amount of work is enormous when there are many corresponding locations.

【0014】したがって、本発明は、上記問題点に鑑み
てなされたものであって、その目的は、フリップ・フロ
ップのホールド・タイムを設計者が意識することなく、
十分なホールド・タイムを確保可能とする半導体集積回
路装置及びその配置方法を提供することにある。
Accordingly, the present invention has been made in view of the above-mentioned problems, and has as its object the purpose of making the hold time of the flip-flop transparent to the designer.
An object of the present invention is to provide a semiconductor integrated circuit device capable of securing a sufficient hold time and a method of arranging the same.

【0015】[0015]

【課題を解決するための手段】前記目的を達成するた
め、本発明の配置方法は、一相同期回路をLSIチップ
上に配置するに際して、(a)回路接続情報より、クロ
ックを共通入力とする複数のフリップフロップを抽出
し、前記複数のフリップ・フロップを平面形状が略矩形
型のマクロとして、集中配置し、(b)前記マクロ内の
前記複数のフリップ・フロップの各フリップ・フロップ
のデータ入力端子とデータ出力端子とにそれぞれ対応さ
せた端子群を、入力端子と出力端子に分けて前記矩形型
マクロ外周部の対辺に配置し、(c)前記端子群を対応
する前記フリップ・フロップの前記データ入力端子及び
前記データ出力端子と直接結線し、(d)前記複数のフ
リップ・フロップのうち任意のフリップ・フロップのデ
ータ出力端子に対応する前記マクロ外周部の出力端子か
ら一旦前記マクロ外部に引き出し、次段のフリップ・フ
ロップのデータ入力端子に対応する前記マクロ外周部の
入力端子にまで配線することで、少なくとも前記矩形一
辺分の配線遅延を付加し、後段のフリップ・フロップの
ホールドタイムを遅延素子の挿入無しに保証するように
したものである。
In order to achieve the above object, according to the arrangement method of the present invention, when a one-phase synchronous circuit is arranged on an LSI chip, (a) a clock is used as a common input from circuit connection information. Extracting a plurality of flip-flops, arranging the plurality of flip-flops as macros having a substantially rectangular planar shape, and (b) inputting data of each of the plurality of flip-flops in the macro A terminal group respectively corresponding to a terminal and a data output terminal is divided into an input terminal and an output terminal and arranged on the opposite side of the outer periphery of the rectangular macro, and (c) the terminal group corresponds to the flip-flop of the flip-flop. (D) corresponding to a data output terminal of an arbitrary one of the plurality of flip-flops; By temporarily pulling out from the output terminal of the macro outer peripheral portion to the outside of the macro and wiring to the input terminal of the macro outer peripheral portion corresponding to the data input terminal of the next flip-flop, a wiring delay of at least one side of the rectangle is provided. Is added to guarantee the hold time of the flip-flop in the subsequent stage without inserting a delay element.

【0016】また、本発明の半導体集積回路装置は、共
通クロックで駆動される複数のフリップ・フロップを含
む一相同期型半導体集積回路装置において、前記複数の
フリップ・フロップが、平面形状が略矩形型のマクロと
して、チップ上の一部に集中配置され、前記矩形型マク
ロ外周部には、前記マクロ内の前記複数のフリップ・フ
ロップの各フリップ・フロップのデータ入力端子とデー
タ出力端子とにそれぞれ対応させた端子群を入力端子と
出力端子に分けて前記矩形型マクロ外周部の対辺に備
え、前記データ入力端子及び前記データ出力端子と直接
結線することにより、前記複数のフリップ・フロップの
うち任意のフリップ・フロップの出力と次段のフリップ
・フロップとの入力との間に、少なくとも前記矩形一辺
分の配線遅延が付加されてなるものである。
Further, according to the semiconductor integrated circuit device of the present invention, in the one-phase synchronous semiconductor integrated circuit device including a plurality of flip-flops driven by a common clock, the plurality of flip-flops have a substantially rectangular planar shape. As a macro of a type, it is concentratedly arranged on a part on a chip, and the outer periphery of the rectangular macro is respectively connected to a data input terminal and a data output terminal of each flip-flop of the plurality of flip-flops in the macro. The corresponding terminal group is divided into an input terminal and an output terminal and provided on the opposite side of the outer periphery of the rectangular macro, and is directly connected to the data input terminal and the data output terminal, so that any of the plurality of flip-flops is provided. A wiring delay of at least one side of the rectangle is added between the output of the flip-flop and the input of the flip-flop of the next stage. It is made of is.

【0017】[0017]

【発明の実施の形態】本発明の実施の形態について以下
に説明する。本発明の半導体集積回路装置の配置方法
は、その好ましい実施の形態において、一相同期回路の
配置方法において、回路接続情報より、フリップフロッ
プを抽出し、これをチップ上にフリップ・フロップ・マ
クロとして端子を持たせて集中配置し、これら端子を入
力・出力に分けて、それぞれフリップ・フロップ・マク
ロ外周の対辺に配置することにより、フリップ・フロッ
プのデータ間に配線遅延を付加し、後段のフリップ・フ
ロップのホールドタイムを遅延素子の挿入無しに保証す
るようにしたものである。
Embodiments of the present invention will be described below. In a preferred embodiment of the method of arranging a semiconductor integrated circuit device according to the present invention, in the method of arranging a one-phase synchronous circuit, a flip-flop is extracted from circuit connection information and is extracted as a flip-flop macro on a chip. Terminals are concentrated and arranged, and these terminals are divided into input and output, and each is arranged on the opposite side of the outer periphery of the flip-flop and macro, so that a wiring delay is added between the data of the flip-flop and the subsequent flip-flop The flop hold time is guaranteed without inserting a delay element.

【0018】より詳細には、(a)回路接続情報(図4
の101)より、クロックを共通入力とする複数のフリ
ップフロップを抽出し(図4の102)、前記複数のフ
リップ・フロップを平面形状が略矩形型のマクロとし
て、集中配置し(図4の105)、(b)その際、前記
マクロ内の前記複数のフリップ・フロップの各フリップ
・フロップのデータ入力端子とデータ出力端子とにそれ
ぞれ対応させた端子群を、入力端子と出力端子に分けて
前記矩形型マクロ外周部の対辺に配置し(図1(b)の
D1〜D4、Q1〜Q4)、(c)前記端子群を対応す
る前記フリップ・フロップの前記データ入力端子及び前
記データ出力端子と直接結線し、(d)さらに前記複数
のフリップ・フロップのうち任意のフリップ・フロップ
のデータ出力端子に対応するマクロ外周部の端子(図1
(b)のQ1)から一旦前記マクロ外部に引き出し、次
段のフリップ・フロップのデータ入力端子に対応する前
記マクロ外周部の端子(図1(b)のD2)にまで配線
する。
More specifically, (a) circuit connection information (FIG. 4)
101), a plurality of flip-flops having a clock as a common input are extracted (102 in FIG. 4), and the plurality of flip-flops are concentratedly arranged as macros having a substantially rectangular planar shape (105 in FIG. 4). (B) At this time, a terminal group corresponding to a data input terminal and a data output terminal of each flip-flop of the plurality of flip-flops in the macro is divided into an input terminal and an output terminal. (D1 to D4, Q1 to Q4 in FIG. 1 (b)) are disposed on opposite sides of the outer periphery of the rectangular macro, and (c) the terminal group corresponds to the data input terminal and the data output terminal of the flip-flop. (D) a terminal at the outer peripheral portion of the macro corresponding to a data output terminal of an arbitrary one of the plurality of flip-flops (FIG. 1)
From (Q) of (b), it is once pulled out of the macro, and wired to a terminal (D2 in FIG. 1 (b)) on the outer periphery of the macro corresponding to the data input terminal of the flip-flop of the next stage.

【0019】これにより少なくとも前記マクロ矩形一辺
分の配線遅延を付加し、後段のフリップ・フロップのホ
ールドタイムを遅延素子の挿入無しに保証している。
With this, a wiring delay of at least one side of the macro rectangle is added, and the hold time of the flip-flop in the subsequent stage is guaranteed without inserting a delay element.

【0020】なお、本発明の実施の形態において、上記
(a)〜(d)の処理は、自動配置配線又は対話型配置
配線等を行うCADシステムを構成するコンピュータ上
で実行されるプログラム制御によって実現するようにし
てもよいことは勿論である。
In the embodiment of the present invention, the processes (a) to (d) are controlled by a program executed on a computer constituting a CAD system for performing automatic placement and routing or interactive placement and routing. Of course, it may be realized.

【0021】図1は、本発明の半導体集積回路装置の好
ましい実施の形態を説明するための図である。すなわ
ち、図1(a)は一相同期回路の回路構成の一例を示す
図であり、図1(b)は、図1(a)のフリップ・フロ
ップを本発明に従って集中配置し、マクロ化した図であ
る。
FIG. 1 is a diagram for explaining a preferred embodiment of the semiconductor integrated circuit device of the present invention. That is, FIG. 1A is a diagram showing an example of a circuit configuration of a one-phase synchronous circuit, and FIG. 1B is a diagram illustrating a flip-flop of FIG. FIG.

【0022】図1(a)を参照すると、フリップ・フロ
ップFF1〜FF4は共通クロックCLOCKをクロッ
ク端子に入力し、A端子をデータ入力に入力するFF1
の出力QはFF2のデータ入力に接続しその出力は端子
Dに接続し、B端子をデータ入力に入力するFF3の出
力Qは組合せ回路1を介してFF4のデータ入力に接続
しその出力は端子Eに接続し端子Cからの入力は組合せ
回路2を介して端子Fに接続している。図1(b)を参
照すると、フリップ・フロップFF1〜FF4が集中配
置されている。
Referring to FIG. 1A, flip-flops FF1 to FF4 input a common clock CLOCK to a clock terminal and input an A terminal to a data input.
Is connected to the data input of FF2, its output is connected to terminal D, and the output Q of FF3, which inputs the terminal B to the data input, is connected to the data input of FF4 via the combinational circuit 1 and its output is connected to the terminal The input from the terminal C is connected to the terminal F through the combinational circuit 2. Referring to FIG. 1B, flip-flops FF1 to FF4 are arranged in a concentrated manner.

【0023】図2は、図1(a)に示した回路の動作タ
イミングを示す図である。図2において、Aは図1の端
子Aに入力されるデータ入力信号、CLOCKはクロッ
ク信号、FF1:Qはフリップ・フロップFF1のデー
タ出力端子Qの信号波形、FF2:Dはフリップ・フロ
ップFF2のデータ入力端子Dの信号波形、Dは端子D
の信号波形である。図2において、フリップ・フロップ
FF1のデータ出力端子Qから次段のフリップ・フロッ
プFF2のデータ入力端子Dまでの遅延時間△が小さい
と、クロック信号の立ち上がりエッジに対するホールド
タイム(クロック遷移後データを一定論理値に保持する
時間)を満足しない。そこで、本発明の実施の形態にお
いては、フリップ・フロップFF1のデータ出力端子Q
から次段のフリップ・フロップFF2のデータ入力端子
Dまでの間に、マクロ外周の少なくとも矩形一辺分の配
線遅延を持たせることで、ホールドタイムの条件を満足
するようにしている。
FIG. 2 is a diagram showing the operation timing of the circuit shown in FIG. 2, A is a data input signal input to the terminal A of FIG. 1, CLOCK is a clock signal, FF1: Q is a signal waveform of the data output terminal Q of the flip-flop FF1, and FF2: D is a signal of the flip-flop FF2. The signal waveform at the data input terminal D, where D is the terminal D
FIG. In FIG. 2, if the delay time ま で from the data output terminal Q of the flip-flop FF1 to the data input terminal D of the next-stage flip-flop FF2 is small, the hold time with respect to the rising edge of the clock signal (data after clock transition is constant) (Time to hold the logical value). Therefore, in the embodiment of the present invention, the data output terminal Q of the flip-flop FF1 is
Is provided with a wiring delay of at least one side of the outer periphery of the macro from the first stage to the data input terminal D of the next flip-flop FF2, thereby satisfying the condition of the hold time.

【0024】本発明の実施の形態においては、同一クロ
ックで動作するフリップ・フロップFF1〜FF4は,
データ信号の接続とは無関係にマクロ(フリップ・フロ
ップ・マクロ)として構成する。
In the embodiment of the present invention, flip-flops FF1 to FF4 operating on the same clock are:
It is configured as a macro (flip-flop macro) irrespective of the connection of the data signal.

【0025】その際、本発明の実施の形態においては、
フリップ・フロップ・マクロ内部の全てのフリップ・フ
ロップFF1〜FF4のデータ入力Dと、出力Qは、そ
れぞれフリップ・フロップ・マクロ外周に設けた互いに
異なる端子に、1対1に直接接続する。
At this time, in the embodiment of the present invention,
Data inputs D and outputs Q of all flip-flops FF1 to FF4 inside the flip-flop macro are directly connected to different terminals provided on the outer periphery of the flip-flop macro in a one-to-one correspondence.

【0026】また、フリップ・フロップ・マクロ外周に
設けた互いに異なる端子について、フリップ・フロップ
のデータ入力Dに直接接続するデータ入力端子と、出力
Qが直接接続するデータ出力端子は、互いに、フリップ
・フロップ・マクロ外周の対辺に配置し、配線遅延の最
小値を、フリップ・フロップ・マクロ辺1辺長分の配線
の遅延とする。
Further, with respect to different terminals provided on the outer periphery of the flip-flop macro, a data input terminal directly connected to the data input D of the flip-flop and a data output terminal directly connected to the output Q are connected to the flip-flop. It is arranged on the opposite side of the outer periphery of the flop / macro, and the minimum value of the wiring delay is the wiring delay of one flip side of the flip-flop / macro side.

【0027】図1において、紙面上下方向の配線遅延
は、クロック信号にも同様に付く為、紙面左右方向の配
線遅延について保証する。
In FIG. 1, since the wiring delay in the vertical direction on the paper is similarly applied to the clock signal, the wiring delay in the horizontal direction on the paper is guaranteed.

【0028】クロック(CLOCK)は、フリップ・フ
ロップ・マクロ中央に端子(CLK)を配置するか、若
しくはフリップ・フロップ・マクロ中央からクロック信
号を分配し、クロックの配線による遅延のばらつきをフ
リップ・フロップ・マクロ辺2分の1辺長分に抑える
(後述する図3参照)。
As for the clock (CLOCK), a terminal (CLK) is arranged at the center of the flip-flop macro, or a clock signal is distributed from the center of the flip-flop macro, and variations in delay due to clock wiring are removed. -The length is reduced to half the length of the macro side (see FIG. 3 described later).

【0029】上記の配線遅延差によりフリップ・フロッ
プのホールド・タイムを確保する。
The hold time of the flip-flop is ensured by the above-described wiring delay difference.

【0030】従来方式では、配置後、バックアノテーシ
ョンにより、フリップ・フロップ間の遅延時間を求め、
不足する分については遅延素子挿入、若しくは配線長を
増大させ配線容量を増加する事によりホールド・タイム
の確保を行っていたが、本発明の実施の形態によれば、
回路接続情報(ネットリスト)上でフリップ・フロップ
・マクロの階層情報を備えた時点で、配置・配線工程に
おいて、設計者はホールド・タイムを意識することな
く、ホールド・タイムを確実に確保した配置・配線を行
う事が出来る。
In the conventional method, after arrangement, the delay time between flip-flops is obtained by back annotation,
For the shortage, a delay element is inserted, or the hold time is ensured by increasing the wiring length and increasing the wiring capacity. However, according to the embodiment of the present invention,
When the flip-flop macro hierarchy information is provided on the circuit connection information (net list), the placement and routing process ensures the designer to secure the hold time without being aware of the hold time・ Wiring can be performed.

【0031】[0031]

【実施例】本発明の実施例について図面を参照して以下
に説明する。図3は、本発明の一実施例を説明するため
の図であり、本発明を適用したフリップ・フロップ・マ
クロの一例を示す図である。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the present invention will be described below with reference to the drawings. FIG. 3 is a diagram for explaining one embodiment of the present invention, and is a diagram showing an example of a flip-flop macro to which the present invention is applied.

【0032】一相同期回路において、フリップ・フロッ
プの使用数が16個であったとする。この場合、16個
のフリップ・フロップで構成されるマクロを作る。
It is assumed that 16 flip-flops are used in the one-phase synchronous circuit. In this case, a macro composed of 16 flip-flops is created.

【0033】図3において、矩形型フリップ・フロップ
・マクロ外周部左辺側の端子(D1〜D16)はそれぞ
れフリップ・フロップ・マクロ内部のフリップ・フロッ
プのデータ入力端子Dに直接接続され、右辺側の端子
(Q1〜Q16)はそれぞれフリップ・フロップ・マク
ロ内部のフリップ・フロップのデータ出力端子Qに直接
接続される。
In FIG. 3, the terminals (D1 to D16) on the left side of the outer periphery of the rectangular flip-flop macro are directly connected to the data input terminals D of the flip-flop inside the flip-flop macro, respectively. Each of the terminals (Q1 to Q16) is directly connected to the data output terminal Q of the flip-flop inside the flip-flop macro.

【0034】データ入力端子数及びデータ出力端子数は
フリップ・フロップ・マクロ内部のフリップ・フロップ
数分、すなわち図3に示す例では、入力端子が16個、
出力端子が16個設けられる。
The number of data input terminals and the number of data output terminals are equal to the number of flip-flops inside the flip-flop macro, that is, in the example shown in FIG.
Sixteen output terminals are provided.

【0035】クロック入力(CLK)のフリップ・フロ
ップ・マクロ内で分岐点部は、フリップ・フロップ・マ
クロ中央に配置し、ばらつき(クロックスキュー)が最
小になるように配線する。
The branch point in the flip-flop macro of the clock input (CLK) is arranged at the center of the flip-flop macro, and wired so as to minimize the variation (clock skew).

【0036】本発明の一実施例の動作について図3を参
照して説明する。
The operation of one embodiment of the present invention will be described with reference to FIG.

【0037】あるフリップ・フロップのデータ出力Qが
次段のフリップ・フロップのデータ入力Dに直接接続さ
れている場合、まず図3の右辺にあるフリップ・フロッ
プマクロの出力端子から、フリップ・フロップ・マクロ
の外部へ出力する。
When the data output Q of a certain flip-flop is directly connected to the data input D of the next flip-flop, first, the flip-flop macro is output from the output terminal of the flip-flop macro on the right side of FIG. Output outside the macro.

【0038】ここで、次段のフリップ・フロップのデー
タ入力Dは、図3の左辺にあるフリップ・フロップ・マ
クロの入力端子より入力されるので、最短距離でもフリ
ップ・フロップ・マクロの幅(端子辺間)の分の配線が
必ず発生する。
Here, the data input D of the next stage flip-flop is input from the input terminal of the flip-flop macro on the left side of FIG. 3, so that the width (terminal) of the flip-flop macro even at the shortest distance Wiring for the distance between sides always occurs.

【0039】これにより、次段のフリップ・フロップの
ホールド・タイムを確保できる。
As a result, the hold time of the next stage flip-flop can be secured.

【0040】本発明の他の実施例について説明する。以
下では、本発明の第二の実施例として、大規模な回路に
本発明を適用した例について説明する。図5は、本発明
の第二の実施例を説明するためのチップレイアウトを示
す図である。
Another embodiment of the present invention will be described. Hereinafter, an example in which the present invention is applied to a large-scale circuit will be described as a second embodiment of the present invention. FIG. 5 is a diagram showing a chip layout for explaining a second embodiment of the present invention.

【0041】一相同期回路では、大規模になる程、フリ
ップ・フロップの同時動作により電圧が不安定になると
いう問題を内包している。ここで、チップ全体にフリッ
プ・フロップが散在している場合、電源の追加等の措置
は、チップ全体に対して行わなければならない。
The one-phase synchronous circuit has a problem that the voltage becomes unstable due to the simultaneous operation of flip-flops as the scale increases. Here, when flip-flops are scattered throughout the chip, measures such as adding a power supply must be performed on the entire chip.

【0042】これに対して、本発明の一実施例によれ
ば、大規模のフリップ・フロップ・マクロを構成する場
合は、最も電流が流れるフリップ・フロップ・マクロの
み電源強化を行えば電源対策を行うことが出来る。図5
では、フリップ・フロップ・マクロの外周に周回電源配
線を設けることで電源強化策が施されており、無駄な追
加電源領域がなくなる。これによりLSIの大きさを小
さくする事が出来る。
On the other hand, according to one embodiment of the present invention, when configuring a large-scale flip-flop macro, it is necessary to take measures against the power supply by strengthening the power supply only for the flip-flop macro through which the most current flows. You can do it. FIG.
In this case, a power supply strengthening measure is taken by providing a peripheral power supply wiring on the outer periphery of the flip-flop macro, and a useless additional power supply area is eliminated. As a result, the size of the LSI can be reduced.

【0043】[0043]

【発明の効果】以上説明したように、本発明によれば、
回路接続情報上でフリップ・フロップ・マクロの階層情
報を備えた時点で、配置・配線工程において、設計者は
ホールド・タイムを意識することなく、ホールド・タイ
ムを確実に確保した配置・配線を行う事が出来るという
効果を奏する。
As described above, according to the present invention,
At the point when the flip-flop macro hierarchy information is provided on the circuit connection information, in the placement / wiring process, the designer performs placement / wiring while ensuring the hold time without being aware of the hold time. It has the effect of being able to do things.

【0044】その理由は、一相同期回路をLSIチップ
上に配置する配置方法において、チップ上に、複数のフ
リップ・フロップを、矩形型のフリップ・フロップ・マ
クロとして端子群を持たせて集中配置し、端子群を入力
端子と出力端子に分けて、それぞれ前記フリップ・フロ
ップ・マクロ外周の対辺に配置し、フリップ・フロップ
のデータ入力端子及びデータ出力端子をそれぞれ入力端
子及び出力端子に直接接続し、フリップ・フロップ・マ
クロ外周の端子群を配線することでフリップ・フロップ
間でのデータ出力と入力に配線遅延を付加し、後段のフ
リップ・フロップのホールドタイムを遅延素子の挿入無
しに保証するようにしたためである。
The reason is that, in the arrangement method of arranging a one-phase synchronous circuit on an LSI chip, a plurality of flip-flops are collectively arranged on a chip as a group of terminals as a rectangular flip-flop macro. Then, a terminal group is divided into an input terminal and an output terminal, and arranged on opposite sides of the outer periphery of the flip-flop macro, respectively, and the data input terminal and the data output terminal of the flip-flop are directly connected to the input terminal and the output terminal, respectively. By wiring the terminals around the flip-flop macro, a wiring delay is added to the data output and input between the flip-flops, and the hold time of the subsequent flip-flop is guaranteed without inserting a delay element. This is because

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態を説明するための図であ
り、本発明を適用した一相同期回路の一例を示す図であ
る。
FIG. 1 is a diagram for describing an embodiment of the present invention, and is a diagram illustrating an example of a one-phase synchronous circuit to which the present invention is applied.

【図2】本発明の実施の形態を説明するための図であ
り、図1の回路の動作タイミングを示す図である。
FIG. 2 is a diagram for explaining the embodiment of the present invention, and is a diagram showing operation timing of the circuit of FIG. 1;

【図3】本発明を適用したフリップ・フロップ・マクロ
の一実施例を示す図である。
FIG. 3 is a diagram showing one embodiment of a flip-flop macro to which the present invention is applied.

【図4】本発明による設計フローの一実施例を示す流れ
図である。
FIG. 4 is a flowchart showing one embodiment of a design flow according to the present invention.

【図5】本発明の第二の実施例を説明するための図であ
FIG. 5 is a diagram for explaining a second embodiment of the present invention.

【図6】従来の設計フローの一例を示す流れ図である。FIG. 6 is a flowchart showing an example of a conventional design flow.

【符号の説明】[Explanation of symbols]

1 LSIチップ 10 フリップ・フロップ・マクロ 11 周回電源 12 電源パッド 101 回路接続情報 102 フリップ・フロップ抽出処理 103 フリップ・フロップ無し回路接続情報 104 フリップ・フロップリスト 105 マクロ化処理 106 フリップ・フロップ・マクロ 107 合成処理 108 フリップ・フロップ・マクロ階層化回路接続情
報 109 配線処理 FF1〜FF4 フリップ・フロップ CLOCK クロック信号
DESCRIPTION OF SYMBOLS 1 LSI chip 10 Flip-flop macro 11 Loop power supply 12 Power supply pad 101 Circuit connection information 102 Flip-flop extraction processing 103 Flip-flop-less circuit connection information 104 Flip-flop list 105 Macro conversion processing 106 Flip-flop macro 107 Synthesis Processing 108 Flip-flop macro hierarchical circuit connection information 109 Wiring processing FF1 to FF4 Flip-flop CLOCK Clock signal

───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平8−320893(JP,A) 特開 平8−83299(JP,A) 特開 平10−154793(JP,A) 特開 平10−22789(JP,A) 特開 平9−54796(JP,A) 特開 平7−192031(JP,A) (58)調査した分野(Int.Cl.6,DB名) H01L 27/04 G06F 17/50 H01L 21/82 H01L 21/822 ──────────────────────────────────────────────────続 き Continuation of the front page (56) References JP-A-8-320893 (JP, A) JP-A-8-83299 (JP, A) JP-A-10-154793 (JP, A) JP-A-10-108 22789 (JP, A) JP-A-9-54796 (JP, A) JP-A-7-192031 (JP, A) (58) Fields investigated (Int. Cl. 6 , DB name) H01L 27/04 G06F 17 / 50 H01L 21/82 H01L 21/822

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】一相同期回路をLSIチップ上に配置する
に際して、 (a)回路接続情報より、クロックを共通入力とする複
数のフリップフロップを抽出し、前記複数のフリップ・
フロップを、平面形状が略矩形型のマクロとして、集中
配置し、 (b)前記マクロ内の前記複数のフリップ・フロップの
各フリップ・フロップのデータ入力端子とデータ出力端
子とにそれぞれ一対一対応させた端子群を、入力端子と
出力端子に分けて前記矩形型マクロ外周部の対辺に配置
し、 (c)前記端子群を対応する前記フリップ・フロップの
前記データ入力端子及び前記データ出力端子と直接結線
し、 (d)前記複数のフリップ・フロップのうち任意のフリ
ップ・フロップのデータ出力端子に対応する前記マクロ
外周部の出力端子から一旦前記マクロ外部に引き出し、
次段のフリップ・フロップのデータ入力端子に対応する
前記マクロ外周部の入力端子にまで配線することで、少
なくとも前記矩形一辺分の配線遅延を付加し、 後段のフリップ・フロップのホールドタイムを遅延素子
の挿入無しに保証するようにしたことを特徴とする半導
体集積回路装置の配置方法。
When arranging a one-phase synchronous circuit on an LSI chip, (a) extracting a plurality of flip-flops having a clock as a common input from circuit connection information;
The flops are arranged in a concentrated manner as macros having a substantially rectangular planar shape, and (b) one-to-one correspondence is made between the data input terminals and the data output terminals of each of the plurality of flip-flops in the macro. (C) directing the terminal group directly with the data input terminal and the data output terminal of the flip-flop corresponding to the input terminal and the output terminal; (D) once leading out of the macro from an output terminal of the outer peripheral portion of the macro corresponding to a data output terminal of an arbitrary flip-flop among the plurality of flip-flops;
By wiring to the input terminal on the outer periphery of the macro corresponding to the data input terminal of the flip-flop in the next stage, a wiring delay of at least one side of the rectangle is added, and the hold time of the flip-flop in the subsequent stage is delayed A method for arranging a semiconductor integrated circuit device, wherein the method is guaranteed without insertion of a semiconductor integrated circuit device.
【請求項2】共通クロックで駆動される複数のフリップ
・フロップを含む一相同期型半導体集積回路装置におい
て、 前記複数のフリップ・フロップが、平面形状が略矩形型
のマクロとして、チップ上の少なくとも一カ所に集中配
置され、 前記矩形型マクロ外周部には、前記マクロ内の前記複数
のフリップ・フロップの各フリップ・フロップのデータ
入力端子とデータ出力端子とにそれぞれ対応させた端子
群を入力端子と出力端子に分けて前記矩形型マクロ外周
部の対辺に備え、 前記入力端子及び出力端子を前記フリップ・フロップの
データ入力端子及び前記データ出力端子と直接結線する
ことにより、前記複数のフリップ・フロップのうち任意
のフリップ・フロップの出力と次段のフリップ・フロッ
プとの入力との間に、少なくとも前記矩形一辺分の配線
遅延が付加されてなることを特徴とする半導体集積回路
装置。
2. A one-phase synchronous semiconductor integrated circuit device including a plurality of flip-flops driven by a common clock, wherein the plurality of flip-flops are at least on a chip as macros having a substantially rectangular planar shape. A group of terminals arranged in a centralized manner, and a set of terminals corresponding to the data input terminal and the data output terminal of each flip-flop of the plurality of flip-flops in the macro, A plurality of flip-flops by directly connecting the input terminal and the output terminal to the data input terminal and the data output terminal of the flip-flop. Between the output of any flip-flop and the input of the next stage flip-flop. The semiconductor integrated circuit device, characterized in that one side portion of the wiring delay becomes is added.
【請求項3】前記フリップ・フロップ・マクロの外周に
周回電源配線を設け電源強化を施したことを特徴とする
請求項記載の半導体集積回路装置。
3. The semiconductor integrated circuit device according to claim 2 , wherein a peripheral power supply wiring is provided on an outer periphery of said flip-flop macro to enhance power supply.
【請求項4】一相同期回路をLSIチップ上に配置・配
線するCADシステムにおいて、 (a)回路接続情報より、クロックを共通入力とする複
数のフリップフロップを抽出し、前記複数のフリップ・
フロップを、平面形状が略矩形型のマクロとして、集中
配置する処理、 (b)前記マクロ内の前記複数のフリップ・フロップの
各フリップ・フロップのデータ入力端子とデータ出力端
子とにそれぞれ一対一対応させた端子群を、入力端子と
出力端子に分けて前記矩形型マクロ外周部の対辺に配置
する処理、 (c)前記端子群を対応する前記フリップ・フロップの
前記データ入力端子及び前記データ出力端子と直接結線
する処理、 (d)前記複数のフリップ・フロップのうち任意のフリ
ップ・フロップのデータ出力端子に対応する前記マクロ
外周部の出力端子から一旦前記マクロ外部に引き出し、
次段のフリップ・フロップのデータ入力端子に対応する
前記マクロ外周部の入力端子にまで配線する処理、 の上記各処理をコンピュータ上で機能させるためのプロ
グラムを記録した記録媒体。
4. A CAD system for arranging and wiring a one-phase synchronous circuit on an LSI chip, comprising: (a) extracting a plurality of flip-flops having a clock as a common input from circuit connection information;
(B) one-to-one correspondence between a data input terminal and a data output terminal of each flip-flop of the plurality of flip-flops in the macro Processing of dividing the group of terminals into input terminals and output terminals and arranging them on opposite sides of the outer periphery of the rectangular macro, (c) the data input terminal and the data output terminal of the flip-flop corresponding to the terminal group (D) once leading out of the macro from an output terminal of the outer periphery of the macro corresponding to a data output terminal of an arbitrary flip-flop among the plurality of flip-flops;
A recording medium for recording a program for causing the computer to execute the above-described processes of wiring the wires to the input terminals on the outer periphery of the macro corresponding to the data input terminals of the flip-flop in the next stage.
JP10131121A 1998-04-24 1998-04-24 Semiconductor integrated circuit device and arrangement method thereof Expired - Lifetime JP2972719B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10131121A JP2972719B2 (en) 1998-04-24 1998-04-24 Semiconductor integrated circuit device and arrangement method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10131121A JP2972719B2 (en) 1998-04-24 1998-04-24 Semiconductor integrated circuit device and arrangement method thereof

Publications (2)

Publication Number Publication Date
JPH11307728A JPH11307728A (en) 1999-11-05
JP2972719B2 true JP2972719B2 (en) 1999-11-08

Family

ID=15050485

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10131121A Expired - Lifetime JP2972719B2 (en) 1998-04-24 1998-04-24 Semiconductor integrated circuit device and arrangement method thereof

Country Status (1)

Country Link
JP (1) JP2972719B2 (en)

Also Published As

Publication number Publication date
JPH11307728A (en) 1999-11-05

Similar Documents

Publication Publication Date Title
JP2877303B2 (en) Automatic design equipment for integrated circuits
JPS64821B2 (en)
JP2001357090A (en) Method and device for synthesizing logic
JP2003092352A (en) Clock signal distributing circuit for semiconductor integrated circuit device
US7100142B2 (en) Method and apparatus for creating a mask-programmable architecture from standard cells
JP3412745B2 (en) Clock supply device for semiconductor circuit and design method thereof
JP2972719B2 (en) Semiconductor integrated circuit device and arrangement method thereof
US6598216B2 (en) Method for enhancing a power bus in I/O regions of an ASIC device
JPH10133768A (en) Clock system and semiconductor device, and method for testing semiconductor device, and cad device
US6532584B1 (en) Circuit synthesis method
US7260807B2 (en) Method and apparatus for designing an integrated circuit using a mask-programmable fabric
JP2003173361A (en) Layout design method and device for semiconductor integrated circuit
JPH113945A (en) Clock tree design method of semiconductor integrated circuit and semiconductor integrated circuit by the same
JP2954194B1 (en) Clock skew reduction method and system
JPH10154793A (en) Method for designing layout of semiconductor integrated circuit
JP2923914B2 (en) CAD system for integrated circuits
JP2850945B2 (en) Semiconductor integrated circuit and layout method thereof
JP3028938B2 (en) Layout method of semiconductor integrated circuit
JP3214447B2 (en) IO buffer circuit with clock skew compensation function and semiconductor integrated circuit using the same
JP2000029562A (en) Semiconductor integrated circuit and method for designing clock supply circuit
JPH1074840A (en) Auto placement and routing device
JPH11307642A (en) Design device and method for semiconductor integrated circuit
JPH08278992A (en) Designing method for semiconductor integrated circuit device
JP2786017B2 (en) Method for manufacturing semiconductor integrated circuit
JP3052847B2 (en) LSI layout method

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990803