JP2866390B2 - Method for manufacturing semiconductor integrated circuit device - Google Patents

Method for manufacturing semiconductor integrated circuit device

Info

Publication number
JP2866390B2
JP2866390B2 JP1065848A JP6584889A JP2866390B2 JP 2866390 B2 JP2866390 B2 JP 2866390B2 JP 1065848 A JP1065848 A JP 1065848A JP 6584889 A JP6584889 A JP 6584889A JP 2866390 B2 JP2866390 B2 JP 2866390B2
Authority
JP
Japan
Prior art keywords
film
memory cell
etching
region
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP1065848A
Other languages
Japanese (ja)
Other versions
JPH02246150A (en
Inventor
純 村田
芳隆 只木
宏子 兼子
敏宏 関口
博之 内山
尚 中村
敏夫 前田
修 笠原
弘充 榎並
淳史 荻島
真樹 長尾
倫正 舟橋
保雄 木口
雅之 児島
淳義 小池
弘幸 宮沢
征人 定岡
和也 門田
正 千川原
一男 野尻
裕 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Japan Display Inc
Original Assignee
Hitachi Device Engineering Co Ltd
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Device Engineering Co Ltd, Hitachi Ltd filed Critical Hitachi Device Engineering Co Ltd
Priority to JP1065848A priority Critical patent/JP2866390B2/en
Priority to JP1069069A priority patent/JPH02248048A/en
Priority to KR1019900002582A priority patent/KR100235529B1/en
Publication of JPH02246150A publication Critical patent/JPH02246150A/en
Priority to US07/881,314 priority patent/US5264712A/en
Priority to KR1019950002873A priority patent/KR100191021B1/en
Priority to US08/674,185 priority patent/US5734188A/en
Priority to US08/979,543 priority patent/US5917211A/en
Application granted granted Critical
Publication of JP2866390B2 publication Critical patent/JP2866390B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

〔産業上の利用分野〕 本発明は、半導体技術に関し、特に、DRAM(Dynamic
Random Access Memory)を有する半導体集積回路装置及
びその形成技術に適用して有効な技術に関するものであ
る。 〔従来の技術〕 DRAMの1[bit]の情報を保特するメモリセルはメモ
リセル選択用MISFETと情報蓄積用容量素子との直列回路
で構成されている。前記メモリセル選択用MISFFTのゲー
ト電極は行方向に延在するワード線に接続されている。
メモリセル選択用MISFFTの一方の半導体領域は相補性デ
ータ線に接続されている。他方の半導体領域は前記情報
蓄積用容量素子の一方の電極に接続されている。情報蓄
積用容量素子の他方の電極には所定電位が印加されてい
る。 この種のDRAMは大容量化のために集積化され、メモリ
セルのサイズが縮小される傾向にある。メモリセルのサ
イズが縮小された場合、情報蓄積用容量素子のサイズも
縮小されるので、情報となる電荷蓄積量が低下する。電
荷蓄積量の低下はα線ソフトエラー耐圧を低下させ、特
に1[Mbit]以上の大容量のDRAMはα線ソフトエラー耐
圧の向上が重要な技術的課題の一つとなっている。 このような技術的課題に基づき、DRAMのメモリセルの
情報蓄積用容量素子にスタックド構造(STC構造)が採
用される傾向にある。このスタックド構造の情報蓄積用
容量素子は、下層電極層、誘電体膜、上層電極層の夫々
を順次積層し構成されている。下層電極層は、メモリセ
ル選択用MISFETの他方の半導体領域に一部が接続され、
他の領域がゲート電極上まで引き伸ばされている。下層
電極層は、CVD法で堆積した多結晶珪素膜にフォトリソ
グラフィ技術及びエッチング技術を施し、所定平面形状
を有するようにパターンニングされている。誘電体膜は
前記下層電極層の上面及び側面に沿って設けられてい
る。上層電極層は前記誘電体膜の表面上に設けられてい
る。上層電極層は、隣接する他のメモリセルのスタック
ド構造の情報蓄積用容量素子の上層電極層と一体に構成
され、共通プレート電極として使用されている。上層電
極層は前記下層電極層と同様に多結晶珪素膜で形成され
ている。 なお、スタックド構造の情報蓄積用容量素子でメモリ
セルを構成するDRAMについては例えば特願昭62-235906
号に記載されている。 〔発明が解決しようとする課題〕 本発明者は4[Mbit]の大容量を有するDRAMの開発中
に以下に記載する問題点を見出した。 前記本発明者が開発中のDRAMはフォールデッドビット
ライン方式(2交点方式)を採用している。この種のDR
AMは相補性データ線の延在する方向に交互に反転パター
ンでメモリセルを配置している。前記メモリセルのスタ
ックド構造の情報蓄積用容量素子の下層電極層は平面形
状が方形状で形成されている。隣接するメモリセルのス
タックド構造の情報蓄積用容量素子の下層電極層間隔
は、メモリセル選択用MISFTの一方の半導体領域と相補
性データ線との接続領域を大きく、それ以外は小さく設
定されている。つまり、前記接続領域において、下層電
極層間は上層電極層、接続孔等との製造工程での合せ余
裕寸法や絶縁分離のための寸法が加算されているので間
隔が大きい。一方、前記接続領域以外において、下層電
極層間は最小加工寸法又はそれに近い寸法で加工されて
いるので間隔が小さい。このため、製造工程において、
フォトリソグラフィ技術を使用して下層電極層を加工す
るエッチングマスクを形成する露光工程時に、回折現象
によりエッチングマスクの前記接続領域側が特に過剰に
露光される。さらに、ゲート電極層の段差からの反射光
により前記接続領域側が過剰に露光される。つまり、前
記エッチングマスクを使用して加工(エッチング)され
た下層電極層は設計されたサイズに比べてかなり小さい
サイズになり、スタックド構造の情報蓄積用容量素子の
電荷蓄積量が低下する。この電荷蓄積量の低下は、α線
ソフトエラー耐圧を劣化させ、DRAMの誤動作を誘発する
ばかりか、情報蓄積用容量素子のサイズを大きくする必
要があるので、DRAMの集積度を低下させる。 本発明の目的は下記のとおりである。 (1) 記憶機能を有する半導体集積回路装置におい
て、集積度を向上することが可能な技術を提供すること
にある。 (2) 前記半導体集穫回路装置において、ソフトエラ
ー耐圧を向上することが可能な技術を提供することにあ
る。 本発明の前記ならびにその他の目的と新規な特徴は、
本明細書の記述及び添付図面によって明らかになるであ
ろう。 〔課題を解決するための手段〕 本願において開示される発明のうち、代表的なものの
概要を簡単に説明すれば、下記のとおりである。 (1) メモリセル選択用MISFETとスタックド構造の情
報蓄積用容量素子との直列回路でメモリセルを構成する
DRAMを有する半導体集積回路装置において、前記DRAMの
メモリセルのスタックド構造の情報蓄積用容量素子の下
層電極層にその表面々積を増加させる補正パターンを構
成する。 〔作用〕 上述した手段(1)によれば、隣接する下層電極層の
間隔の大きい領域(データ線側)において、フォトリソ
グラフィ技術の露光時の回折現象及び下地段差からの反
射光によって、前記下層電極層を加工するエッチングマ
スクのサイズが縮小されることを低減できる(予じめサ
イズが縮小される分補正している)ので、下層電極層の
表面々積を確保し、スタックド構造の情報蓄積用容量素
子の電荷蓄積量を増加することができる。この結果、α
線ソフトエラー耐圧を向上し、メモリセル面積を縮小で
きるので、DRAMの集積度を向上することができる。 以下、本発明の構成について、メモリセル選択用MISF
ETとスタックド構造の情報蓄積用容量素子との直列回路
でメモリセルを構成するDRAMに本発明を適用した一実施
例とともに説明する。 なお、実施例を説明するための全図において、同一機
能を有するものは同一符号を付け、その繰り返しの説明
は省略する。 〔発明の実施例〕 (実施例I) 本発明の実施例IであるDRAMを封止する樹脂封止型半
導体装置を第2図(部分断面平面図)で示す。 第2図に示すように、DRAM(半導体ペレット)1はSO
J(Small Out−line J−bend)型の樹脂封止型半導体装
置2で封止されている。DRAM1は樹脂封止型半導体装置
2のタブ3Aの表面上に接着剤を介在させて塔載されてい
る。 前記DRAM1は4[Mbit]の大容量で構成される。このD
RAM1は350[mil]の樹脂封止型半導体装置2に封止され
ている。DRAM1の主面には1[bit]の情報を記憶するメ
モリセル(記憶素子)が行列状に複数配置されたメモリ
セルアレイが配置されている。メモリセルアレイ以外に
おいて、DRAM1の主面には直接周辺回路及び間接周辺回
路が配置されている。直接周辺回路は、メモリセルの情
報書込み動作や情報読出し動作を直接制御する回路であ
り、ロウアドレスデコーダ回路、カラムアドレスデコー
ダ回路、センスアンプ回路等が含まれる。間接周辺回路
は、前記直接周辺回路の動作を間接的に制御する回路で
あり、クロック信号発生回路、バッファ回路等が含まれ
る。 前記DRAM1の最も周辺部において、DRAM1の短辺側、長
辺側の中央部分の夫々には外部端子(ボンディングパッ
ド)BPが配列されている。 この外部端子BPはボンディングワイヤ4を介在させて
インナーリード3Bに接続されている。ボンディングワイ
ヤ4はアルミニウム(Al)ワイヤを使用する。また、ボ
ンディングワイヤ4としては、金(Au)ワイヤ、銅(C
u)ワイヤ、金属ワイヤの表面に絶縁性樹脂を被覆した
被覆ワイヤ等を使用してもよい。ボンディングワイヤ4
は熱圧着に超音波振動を併用したボンディング法により
ボンディングされている。 前記インナーリード3Bはアウターリード3Cに一体に構
成されている。このインナーリード3B、アウターリード
3C、前記タブ3Aの夫々はリードフレームから切断されか
つ成型されている。リードフレームは例えばCu、Fe−Ni
(例えばNi含有率42[%])合金等で形成されている。
前記タブ3Aには短辺側、長辺側の夫々においてタブ吊り
リード3Dが連結されている。 前記アウターリード3Cは、標準規格に基づき、夫々に
印加される信号が規定され、番号が付されている。同第
2図中、左上端は1番端子、左下端は10番端子、右下端
は11番端子、右上端は20番端子である。このアウターリ
ード3Cに印加される信号については、前述の外部端子BP
との兼ね合いがあるので後述する。 前記DRAM1、タブ3A、ボンディングワイヤ4、インナ
ーリード3B及びタブ吊りリード3Dは樹脂封止部5で封止
されている。樹脂封止部5は、低応力化を図るために、
フェノール系硬化剤、シリコーンゴム及びフィラーが添
加されたエポキシ系樹脂を使用している。シリコーンゴ
ムはエポキシ系樹脂の熱膨張率を低下させる作用があ
る。フィラーは球形の酸化珪素粒で形成されており、同
様に熱膨張率を低下させる作用がある。 次に、前記樹脂封止型半導体装置1に封止されたDRAM
1の概略構成を第3図(チップレイアウト図)に示す。 第3図に示すように、DRAM1の中央部の表面上にはメ
モリセルアレイ(MA)11が配置されている。本実施例の
DRAM1は、これに限定されないが、メモリセルアレイ11
は大きく4個のメモリセルアレイ11Aに分割され、マッ
ト構成が採用されている。つまり、同第3図中、DRAM1
の上側に2個のメモリセルアレイ11Aが配置され、下側
に2個のメモリセルアレイ11Aが配置されている。この
4個に分割されたメモリセルアレイ11Aの夫々はさらに
4個のメモリセルアレイ11Bに細分化されている。つま
り、DRAM1は16個のメモリセルアレイ11Bが配置されてい
る。16個に細分化された1個のメモリセルアレイ11Bは2
56[Kbit]の容量で構成されている。 前記16個に細分化されたうちの2個のメモリセルアレ
イ11Bの間には夫々カラムアドレスデコーダ回路(YDE
C)12及びセンスアンプ回路(SA)13の一部が配置され
ている。センスアンプ回路13は相補型MISFFT(CMOS)で
構成され、センスアンプ回路13の一部はnチャネルMISF
ETで構成されている。センスアンプ回路13の他部である
pチャネルMISFETは前記一部と対向した位置においてメ
モリセルアレイ11Bの端部に配置されている。センスア
ンプ回路13の一端側からは相補性データ線(2本のデー
タ線)がメモリセルアレイ11B上に延在しており、本実
施例のDRAM1はフォールデッドビットライン方式(2交
点方式)を採用している。 前記16個に細分化されたメモリセルアレイ11Bの夫々
の中央側の一端にはロウアドレスデコーダ回路(XDEC)
14及びワードドライバ回路(WD)15が配置されている。 これらメモリセルアレイ11の周辺に配置された回路12
〜16はDRAM1の直接周辺回路として構成されている。 前記DRAM1の上辺には上辺周辺回路16、下辺には下辺
周辺回路17が配置されている。DRAM1の上側に配置され
た2個のメモリセルアレイ11Aと下側に配置された2個
のメモリセルアレイ11Aとの間には中辺周辺回路18が配
置されている。また、DRAM1の上側に配置された2個の
メモリセルアレイ11A間、下側に配置された2個のメモ
リセルアレイ11A間の夫々には中央周辺回路19が配置さ
れている。これらの周辺回路16〜19はDRAM1の間接周辺
回路として構成されている。 次に、前述したDRAM1の外部端子BPの具体的な機能及
び前記間接周辺回路の具体的な回路配置について、第4
図(要部拡大レイアウト図)を用いて簡単に説明する。 まず、DRAM1の周辺に配置された外部端子BPのうち、A
0〜A9はアドレス信号用の外部端子BPである。I/O1〜I/O
4は入出力信号用の外部端子BPである。RASはロウアドレ
スストローブ信号用の外部端子BP、CASはカラムアドレ
スストローブ信号用の外部端子BPである。WEはライトイ
ネーブル信号用の外部端子BP、OEはアウトプットイネー
ブル信号用の外部端子BPである。Vssは基準電位例えば
回路の接地電位0[V]用の外部端子BP、Vccは電源電
位例えば回路の動作電位5[V]用の外部端子BPであ
る。図示しないが、特に入力信号用の外部端子BPの近傍
には入力保護回路(静電気破壊防止回路)が配置されて
いる。 前記間接周辺回路の上辺周辺回路16の夫々の回路は基
本的には各信号が印加される外部端子BPの近傍に配置さ
れている。1601はライト系回路、1602はRAS系コントロ
ール回路である。1603は基板電位VBB発生回路であり、
例えば−2.5〜3.5[V]の電位を生成する回路である。
1604はデータ出力バッファ回路、1605は入出力データ回
路、1606はデータ出力コントロール回路である。1607は
CAS系コントロール回路、1608はリード・ライトコント
ロール回路、1609はテストモードコントロール回路、16
10はメインアンプコントロール回路である。1611はIOセ
レクト回路、1612はマット選択及びコモンソース駆動回
路である。1614はボンディングマスタ制御回路、1616は
ATD回路、1617はXアドレスバッファ回路、1619はYア
ドレスバッファ回路である。1620はメインアンプ回路、
1621はニブルカウンタ回路、1622はテスト論理回路であ
る。 中辺周辺回路18において、1801はYアドレスバッファ
回路、1802はATD回路、1803はマット選択回路である。1
804はX系プリデコーダ回路、1805はX系冗長回路、180
6はリフレッシュカウンタ回路、1807はカラム系イコラ
イズ回路である。1808はデコーダモニタ回路、1809はX
アドレスバッファ回路、1810はコモンI/Oイコライズ制
御回路、1812はXアドレスラッチ回路、1813はリフレッ
シュコントロール回路である。 下辺周辺回路17において、1701はマット選択回路及び
コモンソース駆動回路、1702はYプリデコーダ回路であ
る。1703はXアドレスバッファ回路、1704はYアドレス
バッファ回路である。1705はATD回路、1706はY系冗長
回路、1707はXプリデコーダ回路である。 次に、前記DRAM1の細分化されたメモリセルアレイ11B
の要部及びその周辺回路の要部について、第5図(要部
等価回路図)を用いて説明する。 第5図に示すように、フォールデッドビットライン方
式を採用するDRAM1はメモリセルアレイ(MA)11Bにおい
て相補性データ線DL,▲▼を列方向に延在させてい
る。この相補性データ線DLは行方向に複数組配置されて
いる。相補性データ線DLはセンスアンプ回路(SA)13に
接続されている。 前記メモリセルアレイ11Bにおいて、ワード線WLは相
補性データ線DLと交差する行方向に延在させている。ワ
ード線WLは列方向に複数本配置されている。図示してい
ないが、夫々のワード線WLはロウアドレスバッファ回路
(XDFC)14に接続され選択されるように構成されてい
る。 相補性データ線DLの夫々とワード線WLとの交差部には
1[bit]の情報を記憶するメモリセル(記憶素子)M
が配置されている。メモリセルMはメモリセル選択用n
チャネルMISFETQsと情報蓄積用容量素子Cとの直列回路
で構成されている。 メモリセルMのメモリセル選択用MISFETQsは一方の半
導体領域を相補性データ線DLに接続している。他方の半
導体領域は情報蓄積用容量素子Cの一方の電極に接続さ
れている。ゲート電極はワード線WLに接続されている。
情報蓄積用容量素子Cの他方の電極は電源電圧1/2Vccに
接続されている。電源電圧1/2Vccは前記基準電圧Vssと
電源電圧Vccとの中間電位例えば約2.5[V]である。電
源電圧1/2Vccは、情報蓄積用容量素子Cの電極間に加わ
る電界強度を低減し、誘電体膜の絶縁耐圧の劣化を低減
することができる。 前記センスアンプ回路13は前記相補性データ線DLで伝
達されるメモリセルMの情報を増幅するように構成され
ている。センスアンプ回路13で増幅された情報はカラム
スイッチ用nチャネルMISFFTQyを通してコモンデータ線
I/O、▲▼の夫々に出力される。カラムスイッチ
用MISFETQyはカラムアドレスデコーダ回路(YDFC)12で
制御される。 前記コモンデータ線I/Oはメインアンプ回路(MAP)16
20に接続されている。メインアンプ回路1620はスイッチ
用MISFET(符号は付けない)、出力信号線DOL、▲
▼、データ出力バッファ回路(DoB)1604の夫々を通
して出力信号用外部端子(Dout)BPに接続されている。
つまり、メインアンプ回路1620でさらに増幅されたメモ
リセルMの情報は出力信号線DOL、データ出力バッファ
回路1604、外部端子BPの夫々を通してDRAM1の外部に出
力される。 次に、前記DRAM1のメモリセルM及び周辺回路(セン
スアンプ回路やデコーダ回路等)を構成する素子の具体
的な構造について説明する。メモリセルアレイ11Bの平
面構造は第6図(要部平面図)で示す。メモリセルアレ
イ11Bの断面構造及び周辺回路の素子の断面構造は第1
図(要部断面図)で示す。なお、第1図の左側に示すメ
モリセルMの断面構造は第6図のI−I切断線で切った
部分の断面構造を示している。また、第1図の右側は周
辺回路を構成するCMOSの断面構造を示している。 第1図及び第6図に示すように、DRAM1は単結晶珪素
からなるp-型半導体基板20で構成されている。半導体基
板20は、(100)結晶面を素子形成面として使用し、例
えば10[Ω−cm]程度の抵抗値で形成されている。半導
体基板20の一部の主面はイオン打込法による約015[ato
ms/cm2]以上の不純物の導入が行われていない。一部の
領域とは少なくともメモリセルアレイ11Bの領域であ
る。前記不純物の導入は結晶欠陥を多量に発生させ、情
報となる電荷をリークさせてしまうので、不純物の導入
の領域が部分的に制限されている。 したがって、Na等の重金属による汚染を低減するため
に、本実施例のDRAM1は半導体基板20の深い領域にゲッ
タリング層を有したものが使用されている。ゲッタリン
グ層は半導体基板20の主面から約10[μm]より深い領
域(ウエル領域21、22の夫々よりも深い領域)に形成さ
れている。 前記半導体基板20のメモリセルM(メモリセルアレイ
11)、nチャネルMISFETQnの夫々の形成領域の主面部に
はp-型ウエル領域22が設けられている。半導体基板20の
pチャネルMISFETQpの形成領域の主面部にはn-型ウエル
領域21が設けられている。つまり、本実施例のDRAM1は
ツインウエル構造で構成されている。 ウエル領域21、22の夫々の半導体素子形成領域間の主
面上には素子間分離用絶縁膜(フイールド絶縁膜)23が
設けられている。p-型ウエル領域22の主面部において、
素子間分離用絶縁膜23下にはp型チャネルストッパ領域
24Aが設けられている。素子間分離用絶縁膜23をゲート
絶縁膜とする寄生MOSはn型反転し易いので、チャネル
ストッパ領域24Aは少なくともp-型ウエル領域22の主面
部に設けられている。 メモリセルアレイ11のメモリセルMの形成領域におい
て、p-型ウエル領域22の主面部にはp型半導体領域24B
が設けられている。p型半導体領域24Bは実質的にメモ
リセルアレイ11の全面に設けられている。p型半導体領
域24Bは、前記P型チャネルストッパ領域24Aと同一製造
工程、同一製造マスクで形成され、p型チャネルストッ
パ領域24Aを形成するp型不純物(B)の横方向の拡散
により形成されている。周辺回路を構成するnチャネル
MISFFTQnに比べて、メモリセルMのメモリセル選択用MI
SFETQsのゲート幅寸法は小さく構成されている。つま
り、前記p型不純物の横方向の拡散により、メモリセル
Mの実質的に全面に前記p型半導体領域24Bが形成され
るようになっている。このp型半導体領域24Bはp-型半
導体基板20に比べて不純物濃度が高いp-型ウエル領域22
よりもさらに高い不純物濃度で形成されている。p型半
導体領域24Bは、メモリセル選択用MISFETQsのしきい値
電圧を高めることができ、又情報蓄積用容量素子Cの電
荷蓄積量を高めることができる。また、p型半導体領域
24Bは少数キャリアに対するポテンシャルバリア領域と
しても作用している。 メモリセルMのメモリセル選択用MISFETQsは第1図、
第6図及び第7図(所定の製造工程における要部平面
図)に示すようにp-型ウエル領域22(実際にはp型半導
体領域24B)の主面部に構成されている。メモリセル選
択用MISFETQsは素子間分離用絶縁膜23及びP型チャネル
ストッパ領域24Aで規定された領域内に構成されてい
る。メモリセル選択用MISFFTQsは主にp-型ウエル領域2
2、ゲート絶縁膜25、ゲート電極26、ソース領域又はド
レイン領域である一対のn型半導体領域28で構成されて
いる。 前記p-型ウエル領域22はチャネル形成領域として使用
されている。ゲート絶縁膜25はp-型ウエル領域22の主面
を酸化して形成した酸化珪素膜で形成されている。 ゲート電極26はゲート絶縁膜6の上部に設けられてい
る。ゲート電極26は、例えば、CVD法で堆積した多結晶
珪素膜で形成し、200〜300[nm]程度の膜厚で形成され
ている。この多結晶珪素膜は抵抗値を低減するn型不純
物(P或はAs)を導入している。また、ゲート電極26
は、高融点金属(Mo,Ti,Ta,W)膜や高融点金属シリサイ
ド(MoSi2,TiSi2,TaSi2,WSi2)膜の単層で構成して
もよい。また、ゲート電極26は、多結晶珪素膜上に前記
高融点金属膜や高融点金属シリサイド膜を積層した複合
膜で構成してもよい。 ゲート電極26は、第6図及び第7図に示すように、行
方向に延在するワード線(WL)26と一体に構成されてい
る。つまり、ゲート電極26、ワード線26の夫々は同一導
電層で形成されている。ワード線26は行方向に配置され
た複数のメモリセルMのメモリセル選択用MISFETQsの夫
々のゲート電極26を接続するように構成されている。 第7図に示すように、メモリセル選択用MISFETQsのゲ
ート電極26のゲート長寸法はワード線26の幅寸法に比べ
て太く構成されている。例えば、ゲート電極26のゲート
長寸法は1.0[μm]に対してワード線の幅寸法は0.6
[μm]で構成されている。なお、本実施例のDRAM1
は、前記ワード線26の配線間寸法0.6[μm]を除き、
最小加工寸法を0.8[μm]とする所謂0.8[μm]製造
プロセスを採用している。 第6図及び第7図に示すように、メモリセルMは相補
性データ線(50)の一方のデータ線DLとワード線26との
第1の交差部、前記相補性データ線の他方のデータ線▲
▼と前記ワード線26の列方向に隣接する他のワード
線26との第2の交差部の夫々に配置されている。前記夫
々のワード線26は実質的に同一幅寸法で所定隔離寸法を
保持した状態で平行に行方向に延在させている。この2
本のワード線26は、相補性データ線の夫々のデータ線D
L、データ線▲▼毎に互いに反対方向に突出するよ
うに、ジグザグに行方向に延在させている。前記第1の
交差部において、ワード線26はそれに隣接する他のワー
ド線26側にメモリセルMの形状に沿って突出部26Aが設
けられている。同様に、第2の交差部において、他のワ
ード線26の前記ワード線26側にメモリセルMの形状に沿
って突出部26Aが設けられている。この突出部26Aは、実
質的にメモリセル選択用MISFETQsのゲート電極26として
使用され、ワード線26の配線幅寸法に比べてゲート長寸
法を長くするようになっている。しかも、突出部26A
は、メモリセルMの周囲を規定する素子間分離用絶縁膜
23と少なくとも製造工程における合せ余裕寸法を有する
程度に重ね合せるだけで、前述のようにメモリセルMの
形状に沿って(メモリセル選択用MISFETQsのゲート幅寸
法と同程度で)突出させている。つまり、第7図に示す
ように、メモリセル選択用MISFETQsのゲート長寸法で単
純にワード線26の配線幅寸法を規定した場合のワード線
26間の離隔寸法Aに比べて、素子間分離用絶縁膜23上で
の離隔寸法を充分に確保することができるので、ワード
線26の延在方向のメモリセルM間隔を縮小することがで
きる。 このように、(請求項24−手段14)メモリセル選択用
MISFETQsと情報蓄積用容量素子Cとの直列回路で形成さ
れたメモリセルMを配置する、フォールデットビットラ
イン方式のDRAM1において、相補性データ線(50)の一
方の第1データ線DLと第1ワード線26との第1交差部、
前記相補性データ線の他方の第2データ線▲▼と前
記第1ワード線26の列方向に隣接する他の第2ワード線
26との第2交差部の夫々に前記メモリセルMを配置し、
前記第1ワード線26、第2ワード線26の夫々を実質的に
同一幅寸法で所定隔離寸法を保持した状態で平行に行方
向に延在させると共に、前記第1データ線DL、第2デー
タ線▲▼の夫々毎に互いに反対方向に突出するよう
に第1ワード線26、第2ワード線26の夫々をジグザグに
延在させ、前記第1交差部の第1ワード線26の第2ワー
ド線26側をメモリセルMの形状に沿って突出させる(突
出部26Aを設ける)と共に、第2交差部の第2ワード線2
6の第1ワード線26側をメモリセルMの形状に沿って突
出させる。この構成により、前記第1ワード線26及びそ
の突出部26Aは第1交差部においてメモリセル選択用MIS
FFTQsのゲート電極26として、第2ワード線26及びその
突出部26Aは第2交差部においてメモリセル選択用MISFE
TQsのゲート電極26として夫々使用され、前記突出させ
た分、メモリセル選択用MISFETQsのゲート長を確保する
ことができるので、短チャネル効果を低減することがで
きると共に、前記第1交差部、第2交差部の夫々に配置
されたメモリセルM間隔を縮小することができる。この
結果、メモリセルMの占有面積を縮小し、かつメモリセ
ルM間の分離領域の占有面積を縮小することができるの
で、DRAM1の集積度を向上することができる。 前記n型半導体領域28は、周辺回路を構成するMISFET
Qnのn+型半導体領域(37)に比べて、少なくとも情報蓄
積用容量素子Cを接続する側を低不純物濃度で形成して
いる。具体的に、n型半導体領域28は1×1014[atoms/
cm2]未満の低不純物濃度のイオン打込法で構成されて
いる。つまり、n型半導体領域28は、不純物の導入に起
因する結晶欠陥の発生を低減し、しかも不純物の導入後
の熱処理によって結晶欠陥を充分に回復できるように形
成されている。したがって、n型半導体領域28は、p-
ウエル領域22とのpn接合部においてリーク電流量が少な
いので、情報蓄積用容量素子Cに蓄積された情報となる
電荷を安定に保持することができる。 前記n型半導体領域28は、ゲート電極26に対して自己
整合で形成され、チャネル形成領域側が低不純物濃度で
構成されているので、LDD(Lightly Doped Drain)構造
のメモリセル選択用MISFETQsを構成する。 また、前記メモリセル選択用MISFETQsの一方(相補性
データ線の接続側)のn型半導体領域28はn+半導体領域
41と一体に構成されている。他方(情報蓄積用容量素子
Cの接続側)のn型半導体領域28はn+型半導体領域33A
と一体に構成されている。前記n+型半導体領域41は相補
性データ線(50)と一方のn型半導体領域28との接続を
行う接続孔40Aに規定された領域内において形成されて
いる。n+型半導体領域41は相補性データ線(50)とp-
ウエル領域22との短絡を防止するように構成されてい
る。前記n+型半導体領域33Aは、後述するスタックド構
造の情報蓄積用容量素子Cの下層電極層(33)と他のn
型半導体領域28との接続を行う接続孔32で規定された領
域内において形成されている。n+型半導体領域33Aは、
前記下層電極層33に導入されたn型不純物を拡散するこ
とにより形成されている。 前記メモリセル選択用MISFETQsのゲート電極26の上層
には絶縁膜27が設けられ、ゲート電極26、絶縁膜27の夫
々の側壁にはサイドウォールスペーサ29が設けられてい
る。絶縁膜27は主にゲート電極26、その上に形成される
情報蓄積用容量素子Cの各電極(特に33)の夫々を電気
的に分離するように構成されている。サイドウォールス
ペーサ29は主にLDD構造のメモリセル選択用MISFETQsを
構成するようになっている。前記絶縁膜27、サイドウォ
ールスペーサ29の夫々は、その製造方法については後述
するが、無機シランガス及び酸化窒素ガスをソースガス
とするCVD法で堆積された酸化珪素膜で形成されてい
る。この酸化珪素膜は、有機シランガスをソースガスと
するCVD法で堆積した酸化珪素膜に比べて、下地の段差
状でのステップカバレッジが高く、又膜の縮みが小さ
い。 このように、(10−6)メモリセル選択用MISFETQsの
ゲート電極26上に絶縁膜27を形成し、前記ゲート電極26
の側壁及びその上層の絶縁膜27の側壁にサイドウォール
スペーサ29を形成するDRAM1において、前記ゲート電極2
6上の絶縁膜26、サイドウォールスペーサ29の夫々を、
無機シランガス及び酸化窒素ガスをソースガスとするCV
D法で堆積した酸化珪素膜で構成する。この構成によ
り、前記ゲート電極26上の絶縁膜27、サイドウォールス
ペーサ29の夫々である酸化珪素膜は有機ンランをソース
ガスとするCVD法で堆積した酸化珪素膜に比べで膜の縮
みを低減することができるので、前記絶縁膜27とサイド
ウォールスペーサ29との間の剥離を低減し、前記ゲート
電極26とそれ以外の導電層(例えば下層電極層33)との
間のリークを防止し、電気的信頼性を向上することがで
きると共に、前記ゲート電極26上の絶縁膜27、サイドウ
ォールスペーサ29の夫々である酸化珪素膜のステップカ
バレッジが高いので、酸化珪素膜の膜厚の均一性を高
め、絶縁耐圧を向上することができる。また、ステップ
カバレッジが高いので、同一の側壁膜厚を得るのに必要
な堆積膜厚を薄膜化でき、段差を低減でき、前記下層電
極層33の加工が容易となる。 前記メモリセルMの情報蓄積用容量素子Cは、第1
図、第6図及び第8図(所定の製造工程における要部平
面図)に示すように、主に、下層電極層33、誘電体膜3
4、上層電極層35の夫々を順次積層して構成されてい
る。情報蓄積用容量素子Cは所謂スタックド構造(積層
型:STC)で構成されている。 このスタックド構造の情報蓄積用容量素子Cの下層電
極層33の一部(中央部分)はメモリセル選択用MISFETQs
の他方のn型半導体領域28に接続されている。この接続
は層間絶縁膜31に形成された接続孔31A及びサイドウォ
ールスペーサ29で規定された接続孔32を通して行われて
いる。接続孔32の列方向の開口サイズはメモリセル選択
用MISFETQsのゲート電極26、それに隣接するワード線26
の夫々の離隔寸法で規定されている。接続孔31Aの開口
サイズと接続孔32の開口サイズとの差は少なくとも製造
工程におけるマスク合せ余裕寸法に相当する分より大き
くなっている。下層電極層33の他部(周辺部分)はゲー
ト電極26、ワード線26の夫をの上部まで引き伸ばされて
いる。 前記層間絶縁膜31はその下層の絶縁膜27、サイドウォ
ールスペーサ29の夫々と同様の絶縁膜で形成されてい
る。つまり、無機シランガス及び酸化窒素ガスをソース
ガスとするCVD法で堆積した酸化珪素膜で形成されてい
る。 前記下層電極層33は例えばCVD法で堆積した多結晶珪
素膜で形成し、この多結晶珪素膜には抵抗値を低減する
n型不純物(As或はP)が高濃度に導入されている。下
層電極層33は、下地の段差形状を利用し、かつ側壁を利
用してスタックド構造の情報蓄積用容量素子Cの電荷蓄
積量を増加するために、例えば200〜400[nm]程度の比
較的厚い膜厚で形成されている。 前記下層電極層33の平面形状は、第6図及び第8図に
示すように、相補性データ線(50)が延在する列方向に
長い方形状で構成されている。第8図に示すように、ワ
ード線26が延在する行方向に配置された各下層電極層33
は製造工程における最小加寸法か又はそれに近い加工寸
法で形成されている。同様に、相補性データ線(50)が
延在する列方向に配置された各下層電極層33のうち、相
補性データ線の接続側でなく、素子間分離用絶縁膜23を
介在させる下層電極層33間は前記最小加寸法か又はそれ
に近い加工寸法で形成されている。これに対して、相補
性データ線の接続側において、下層電極層33間は、メモ
リセル選択用MISFETQsのn型半導体領域28と相補性デー
タ線(50)との接続領域、上層電極層35と相補性データ
線(50)との絶縁耐圧、下層電極層33と上層電極層35と
の重なり、及び下層電極層33と相補性データ線(50)と
の絶縁耐圧を確保する寸法に相当する分、離隔されてい
る。この下層電極層33には、前記n型半導体領域28と相
補性データ線(50)との接続側に平面方形状に形成され
た領域から平面方向に突出する補正パターン33Aが設け
られている。下層電極層33を加工するエッチングマスク
(フォトレジスト膜)は、前記接続領域において下層電
極層33間隔が広い領域で発生する回折現象及びワード線
26の段差からの反射光によりサイズが縮小されてしま
う。このため、下層電極層33のサイズが所定の設定値よ
りも小さくなるので、スタックド構造の情報蓄積用容量
素子Cの電荷蓄積量が低下する。そこで、補正パターン
33Aは、予じめサイズの縮小分を見込んで下層電極層33
のサイズを大きくするように構成されている。補正パタ
ーン33は、レイアウト的に下層電極層33間に余裕がある
前記接続側に配置されているが、これに限定されず、前
述の位置と反対側に配置してもよい。なお、現実の下層
電極層33の平面形状は方形状の角部分がかなり落ちるの
で、全体的にまるみを有するように形成される。 このように、(1−1)メモリセル選択用MISFETQsと
スタックド構造の情報蓄積用容量素子Cとの直列回路で
メモリセルを構成するDRAM1において、前記スタックド
構造の情報蓄積用容量素子Cの下層電極層33にその表面
々積を増加させる補正パターン33Aを構成する。これに
より、隣接する下層電極層33の間隔の大きい領域(相補
性データ線側)において、フォトリソグラフィ技術の露
光時の回折現象及びワード線26からの反射光によって、
前記下層電極層33を加工するエッチングマスクのサイズ
が縮小されることを低減できる(予じめサイズが縮小さ
れる分補正している)ので、下層電極層33の表面々積を
確保し、スタックド構造の情報蓄積用容量素子Cの電荷
蓄積量を増加することができる。この結果、α線ソフト
エラー耐圧を向上し、メモリセルM面積を縮小できるの
で、DRAM1の集積度を向上することができる。 誘電体膜34は、基本的には下層電極層(多結晶珪素
膜)33の上層(表面上)にCVD法で堆積させた窒化珪素
膜34A、この窒化珪素膜34Aを高圧で酸化した酸化珪素膜
34Bを積層した2層構造で構成されている。実際には、
誘電体膜34は、下層電極層33である多結晶珪素膜の表面
に自然酸化珪素膜(3[nm]未満の非常に薄い膜厚なの
で図示しない)が形成されるので、自然酸化珪素膜、窒
化珪素膜34A、酸化珪素膜34Bの夫々を順次積層した3層
構造で構成されている。前記誘電体膜34の窒化珪素膜34
Aは、CVD法で堆積されるので、下地の多結晶珪素膜(下
層電極層33)の結晶状態や段差形状に影響されず、下地
に対して独立なプロセス条件で形成することができる。
つまり、窒化珪素膜34Aは、多結晶珪素膜の表面を酸化
して形成した酸化珪素膜に比べて、絶縁耐圧が高く、単
位面積当りの欠陥数が少ないので、リーク電流が非常に
少ない。しかも、窒化珪素膜34Aは酸化珪素膜に比べて
誘電率が高い特徴がある。酸化珪素膜34Bは、非常に良
質な膜で形成することができるので、前記窒化珪素膜34
Aの前記特性をさらに向上させることができる。また、
後に詳述するが、酸化珪素膜34Bは、高圧酸化(1.5〜10
[気圧])で形成されるので、常圧酸化に比べて短い酸
化時間つまり熱処理時間で形成することができる。酸化
珪素膜34Bが薄く(例えば2[nm]以下)、常圧(1
[気圧])の酸化によっても熱処理時間が許容される範
囲であるときは、常圧酸化でも形成することができる。 誘電体膜34は、下層電極層33の上面及び側壁に沿って
設けられており、下層電極層33の側壁部分を利用して高
さ方向に面積を稼いでいる。誘電体膜34の面積の増加は
スタックド構造の情報蓄積用容量素子Cの電荷蓄積量を
向上することができる。この誘電体膜34の平面形状は上
層電極層35の平面形状で規定され、実質的に上層電極層
35と同一形状で構成されている。 前記上層電極層35は誘電体膜34を介在させて下層電極
層33を覆うようにその上部に設けられている。上層電極
層35は隣接する他のメモリセルMのスタックド構造の情
報蓄積用容量素子Cの上層電極層35と一体に構成されて
いる。上層電極層35には電源電圧1/2Vccが印加されてい
る。上層電極層35は例えばCVD法で堆積した多結晶珪素
膜で形成され、この多結晶珪素膜には抵抗値を低減する
n型不純物が導入されている。上層電極層35は例えば前
記下層電極層33と同等か同等以下の膜厚で形成されてい
る。 このように、(11−7)層間絶縁膜(下地絶縁膜)31
上に形成された下層電極層33、前記層間絶縁膜31上及び
下層電極層33の表面上に形成された誘電体膜34及びこの
誘電体膜34上に形成された上層電極層35で構成されたス
タックド構造の情報蓄積用容量素子Cを有するDRAM1に
おいて、前記スタックド構造の情報蓄積用容量素子Cの
誘電体膜34を窒化珪素膜34Aを有する複合膜で構成し、
前記層間絶縁膜31を無機シランガス及び酸化窒素ガスを
ソースガスとするCVD法で堆積した酸化珪素膜で構成す
る。この構成により、前記スタックド構造の情報蓄積用
容量素子Cの誘電体膜34に対する層間絶縁膜31の膜の縮
みを低減し、前記誘電体膜34と層間絶縁膜31との間に発
生するストレスを低減することができるので、誘電体膜
34の破壊を防止し、下層電極層33と上層電極層35との間
のリーク電流を防止し、電気的信頼性を向上することが
できると共に、前記層間絶縁膜31のステップカバレッジ
が高いので、層間絶縁膜31の膜厚の均一性を高め、層間
絶縁膜31上の下層電極層33とその下の導電層(例えばゲ
ート電極26やワード線26)との間の絶縁耐圧を高めるこ
とができる。 前記メモリセルMは第1図、第6図、第7図及び第8
図に示すように列方向に隣接する他の1個のメモリセル
Mと接続されている。つまり、列方向に隣接する2個の
メモリセルMは、夫々のメモリセル選択用MISFFTQsの一
方のn型半導体領域28を一体に構成し、その部分を中心
に反転パターンで構成されている。この2個のメモリセ
ルMは行方向に配置され、この2個のメモリセルMと行
方向に隣接する他の2個のメモリセルMとは列方向に2
分の1ピッチずれて配置されている。 メモリセルMのメモリセル選択用MISFETQsの一方のn
型半導体領域28には第1図及び第6図に示すように相補
性データ線(DL)50が接続されている。相補性データ線
50は層間絶縁膜36、39、40の夫々に形成された接続孔40
Aを通してn型半導体領域28に接続されている。相補性
データ線50とn型半導体領域28との接続にはn+型半導体
領域41を介在させて行われている。 前記層間絶縁膜36、39の夫々は例えばCVD法で堆積し
た酸化珪素膜で形成されている。層間絶縁膜40はフロー
による平坦化が可能なリン及びホウ素を含んだ酸化珪素
膜(BPSG)で構成されている。前記層間絶縁膜39は、絶
縁耐圧の確保及びその上層の層間絶縁膜40に導入されて
いるBやPが素子に漏れることを防止する目的で設けら
れている。 前記相補性データ線50は、遷移金属膜(バリアメタル
膜)50A、アルミニウム膜又はアルミニウム合金膜50B、
遷移金属膜(保護膜)50Cの夫々を順次積層した3層構
造で構成されている。 前記相補性データ線50のうちの下層の遷移金属膜50A
は、アルミニウム膜50Bとn型半導体領域28(実際にはn
+型半導体領域41)との接続部に単結晶珪素が析出し、
接続部の抵抗値が増加することを防止するように構成さ
れている。つまり、下層の遷移金属膜50Aは所謂バリア
メタル膜として使用されている。この下層の遷移金属膜
50Aは、その上層のアルミニウム膜50Bを形成する前に形
成することができるので、アルミニウム膜50Bの溶融温
度に近い又はそれ以上の温度のCVD法を使用することが
できる。具体的に、下層の遷移金属膜50AはCVD法で堆積
したWSi2膜を使用する。また、下層の遷移金属膜50Aは
例えばTaSi2膜やTiN膜で形成してもよい(つまり、本実
施例の遷移金属膜は、遷移金属膜、遷移金属珪化膜及び
遷移金属窒化膜を含む)。CVD法で堆積された下層の遷
移金属膜50Aは、下地の段差形状の大きい部分、特に、
相補性データ線50の接続部分でのステップカバレッジを
大幅に向上することができる。なお、下層の遷移金属膜
50Aは、低温度のスパッタ法で堆積した場合、抵抗値を
低減し安定化を図る目的で約900[℃]程度の高温度の
熱処理を施さなければならない。下層の遷移金属膜50A
はn型半導体領域28や周辺回路の領域においてp+型半導
体領域(38)に接続され、さらに層間絶縁膜40上に形成
されているので、前記高温度の熱処理は不純物の相互拡
散を生じ、各接続部分での抵抗値を増大させてしまう。
この点からも、前記下層の遷移金属膜50Aは低抵抗化の
ための熱処理が不要な650[℃]以上900[℃]以下のCV
D法で形成することが望ましい。 前記相補性データ線50の中層のアルミニウム膜50B
は、基本的に配線の主要部として使用され、抵抗値の低
い材料で形成されている。アルミニウム膜50Bとしてそ
の合金膜を使用する場合、アルミニウム膜にCuやCu及び
Siを添加する。Cuは、マイグレーション現象を低減する
ために添加され、例えば0.5[重量%]程度添加されて
いる。Siは、アロイスパイク現象を低減するために添加
され、例えば1〜1.5[重量%]程度添加されている。
アルミニウム膜50Bは例えばスパッタ法で堆積されてい
る。 相補性データ線50の上層の遷移金属膜50Cは主にアル
ミニウム膜50Bの表面に析出するアルミヒルロック現象
を低減する目的で形成されている。また、上層の遷移金
属膜50Cは、相補性データ線50の表面の反射率をアルミ
ニウム膜50Bの表面の場合に比べて低減し、相補性デー
タ線50を加工するエッチングマスクの露出時に回折現象
及び隣接する下地の段差からの反射光により前記エッチ
ングマスクのサイズが縮小されることを低減できるよう
に構成されている。上層の遷移金属膜50Cは、下層の遷
移金属膜50Aと異なり、アルミニウム膜50Bを形成した後
に堆積されるので、アルミニウム膜50Bが溶融しない低
温度のスパッタ法で堆積されている。上層の遷移金属膜
50Cは相補性データ線50の抵抗値を実質的に低下させる
必要がないので、スパッタ法で堆積した後に高温度の熱
処理を施す必要がない。この上層の遷移金属膜50CはMoS
i2膜で形成されている。また、上層の遷移金属膜50Cは
前記以外の遷移金属膜例えばWSi2,TaSi2,TiSi2膜等で
形成してもよい。 このように、(3−2)段差形状を有する下地表面
(40)上に相補性データ線(配線)50を延在させるDRAM
1において、前記相補性データ線50を、CVD法で堆積した
遷移金属膜50A、スパッタ法で堆積したアルミニウム膜
(又はその合金膜)50Bの夫々を順次積層した複合膜で
構成する。この構成により、前記アルミニウム膜50Bは
抵抗値が小さく、相補性データ線50の信号伝達速度を速
くすることができるので、情報書込み動作速度、情報読
出し動作速度の高速化を図ることができると共に、前記
遷移金属膜50Aは下地の段差部分でのステップカバレッ
ジが高く、相補性データ線50の断線不良を低減すること
ができるので、電気的信頼性を向上することができる。
また、前記相補性データ線50の下層の遷移金属膜50Aは
n型半導体領域28等のSiとの接続部においてSiの析出現
象を防止することができる。 また、(4−3)前記相補性データ線50は、CVD法で
堆積した遷移金属膜50A、スパッタ法で堆積したアルミ
ニウム膜50B、スパッタ法で堆積した遷移金属膜50Cの夫
々を順次積層した3層構造の複合膜で構成する。この構
成により、前記相補性データ線50の上層の遷移金属膜50
Cはアルミニウムヒルロックの発生を防止することがで
きる。また、相補性データ線50の上層の遷移金属膜50C
はアルミニウム膜50Bやその合金膜の表面の反射率を低
減し、相補性データ線50の加工を行うエッチングマスク
を形成する際の露光時の回折現象及び下地段差からの反
射光による過剰な露光を低減することができるので、相
補性データ線50の加工精度を向上することができる。ま
た、相補性データ線50の上層の遷移金属膜50Cは、その
下層のアルミニウム膜50Bの溶融点に比べて低い温度で
堆積することができるので、アルミニウム膜50Bを溶融
させることがない。 前記相補性データ線50は製造工程における第1層目の
配線形成工程により形成されている。この相補性データ
線50は、多層配線構造特有の段差形状を緩和するため
に、その上層の製造工程における第2層目の配線形成工
程で形成される配線(53)に比べて薄い膜厚で形成され
ている。なお、本実施例のDRAM1は2層配線構造(2層
アルミニウム配線構造)で構成されている。また、DRAM
1は3層ゲート配線構造(3層多結晶珪素膜構造)で構
成されている。 前記第1図及び第6図に示すように、相補性データ線
50の上層には層間絶縁膜51を介在させてシャント用ワー
ド線(WL)53が行方向に延在するように構成されてい
る。シャント用ワード線53は、図示しないが、数十〜数
百個のメモリセルM毎に相当する所定領域において、後
述するようにワード線(WL)26に接続されている。ワー
ド線26はメモリセルアレイ11Bにおいて延在方向に複数
個に分割されており、シャント用ワード線53は前記分割
された複数個の夫々のワード線26に接続されている。シ
ャント用ワード線53は、ワード線26の抵抗値を低減し、
情報書込み動作、情報読出し動作の夫々においてメモリ
セルMの選択速度を速くできるように構成されている。 前記層間絶縁膜51は、第1図に示すように、酸化珪素
膜(堆積型絶縁膜)51A、酸化珪素膜(塗布型絶縁膜)5
1B、酸化珪素膜(堆積型絶縁膜)51Cの夫々を順次積層
した複合膜で構成されている。 層間絶縁膜51の下層の酸化珪素膜51A、上層の酸化珪
素膜51Cの夫々はプラズマCVD法で堆積させた酸化珪素膜
で形成する。中層の酸化珪素膜51BはSOG(Spin On Glas
s)法で塗布した後ベーク処理を施した酸化珪素膜で形
成する。この中層の酸化珪素膜51Bは層間絶縁膜51の表
面を平坦化する目的で形成されている。中層の酸化珪素
膜51Bは、塗布した後ベーク処理を施し、さらに全表面
にエッチング処理を施して段差部の凹部のみに埋込むよ
うに形成されている。特に、中層の酸化珪素膜51Bは第
1層目の配線(50)と第2層目の配線(53)との接続部
分(接続孔52)において残存しないようにエッチング処
理により除去されている。つまり、中層の酸化珪素膜50
Bはそれに含まれる水分による前記配線(50、53の夫
々)のアルミニウム膜の腐食を低減できるように構成さ
れている。 前記シャント用ワード線53は、前記相補性データ線50
の断面構造と類似した構造で形成されており、遷移金属
膜53A、アルミニウム膜(アルミニウム合金膜)53B、遷
移金属膜53Cの夫々を順次積層した3層構造の複合膜で
構成されている。シヤント用ワード線53の下層の遷移金
属膜53A、上層の遷移金属膜53Cの夫々は、下層配線であ
る相補性データ線50でアルミニウム膜50Bを形成してい
るので、低温度で堆積できるスパッタ法で堆積されてい
る。下層の遷移金属膜53A、上層の遷移金属膜53Cの夫々
は例えばMoSi2膜で形成されている。下層の遷移金属膜5
3Aは主に下層の配線(50)との接続部分の抵抗値を低減
するために形成されている。上層の遷移金属膜53Cは主
にアルミヒルロックを低減するため及び反射率を下げ回
折現象を低滅するために形成されている。シャント用ワ
ード線53は、前述のように下層配線例えば相補性データ
線53の膜厚に比べて厚い膜厚で形成され、抵抗値を低減
するように構成されている。 前述のワード線26、シャント用ワード線53の夫々の接
続は第9図(接続領域の平面図)及び第10図(第9図の
II−II切断線で切った断面図)で示すように中間導電層
50Dを介在して行われている。すなわち、シャント用ワ
ード線53は接続孔52を通して一旦中間導電層50Dに引き
落される。前記接続孔52は異方性エッチングで形成され
た実質的に垂直な段差形状を有する下側接続孔52A及び
等方性エッチングで形成された緩い段差形状を有する上
側接続孔52Bで構成されている。つまり、接続孔52は、
シャント用ワード線53のステップカバレッジを向上し、
断線不良を低減できるように構成されている。そして、
前記中間導電層50Dは、シャント用ワード線53の延在方
向に引き伸ばされ、前記接続孔52と異なる位置に配置さ
れた接続孔40Aを通してワード線26に接続されている。
中間導電層50Dは相補性データ線50と同一導電層つまり
第1層目の配線形成工程で形成されている。この中間導
電層50Dは、シャント用ワード線53とワード線26とを接
続する際の段差形状を緩和し、シャント用ワード線53の
断線不良を防止するように構成されている。 前記中間導電層50D、ワード線26の夫々の接続部つま
り接続孔40Aの近傍の周囲には、スタックド構造の情報
蓄積用容量素子Cの上層電極層35がメモリセルアレイ11
Bから引き伸ばされている。中間導電層50Dとワード線26
とを接続する接続孔40Aは前記引き伸ばされた上層電極
層35に形成された開口35Aが設けられた領域内において
配置されている。前記メモリセルアレイ11Bはメモリセ
ル選択用MISFETQs、スタックド構造の情報蓄積用容量素
子Cの夫々を積層して段差形状を他の領域に比べて大き
く構成しているので、前述のように、シャント用ワード
線53、ワード線26の夫々の接続領域に上層電極層35を引
き伸している。つまり、この上層電極層35は、メモリセ
ルアレイ11B、前記接続領域の夫々の間において、第1
目の配線(例えば中間導電層50D)50、第2層目の配線
(例えばシャント用ワード線53)の夫々の下地層の表面
を平坦化できるように構成されている。 このように、(22−13)相補性データ線50とワード線
26との交差部に複数のメモリセルMが配置されメモリセ
ルアレイ11を構成し、前記ワード線26の上層に前記メモ
リセルアレイ11以外の領域(実際にはメモリセルアレイ
11内の所定の領域)で前記ワード線26と接続されたシャ
ント用ワード線53を配置するDRAM1において、前記ワー
ド線26とシャント用ワード線53との接続部分の周囲に段
差緩和層(引き出された上層電極層35)を設ける。この
構成により、前記ワード線26とシャント用ワード線53と
の接続部分の周囲と前記メモリセルアレイ11(実際には
メモリセルMが配置される領域)との間の段差部を緩和
し、夫々の領域上を延在するシャント用ワード線53等の
配線やその配線を接続する接続孔(40Aや52)を加工す
るフォトリソグラフィ技術の安定化を図ることができる
ので、前記配線の前記段差部での断線不良や導通不良を
低減し、製造上の歩留りを向上することができる。 また、前記段差緩和層(35)はメモリセルMのスタッ
クド構造の情報蓄積用容量素子Cの上層電極層35と同一
導電層で形成する。この構成により、前記段差緩和層を
上層電極層35で形成することができるので、段差緩和層
を形成する工程に相当する分、DRAM1の製造工程数を低
減することができる。 前記同第9図及び第10図に示すように、前記上層電極
層35は、前記メモリセルアレイ11B、シャント用ワード
線53とワード線26との接続領域の夫々の間において、電
源電圧1/2Vccが印加された電源配線50Eに接続されてい
る。 前記第6図及び第11図(第6図のIII−III切断線で切
った断面図、同図は配線50より上層を省略している)に
示すように、メモリセルアレイ11Bの周囲の端部にはガ
ードリング領域GLが設けられている。ガードリング領域
GLは、メモリセルアレイ11Bの周囲を取り囲み、主に基
板電位発生回路(VBBジェネレータ回路)1603から放出
される少数キャリアを捕獲するように構成されている。
ガードリング領域GLはメモリセルアレイ11Bと周辺回路
との間に配置されている。ガードリング領域GLは、素子
間分離用絶縁膜23及びp型チャネルストッパ領域24Aで
規定された領域内において、p-型ウエル領域22の主面部
に設けられたn型半導体領域28(及びn+型半導体領域33
A)で構成されている。つまり、ガードリング領域GL
は、メモリセルMの形状を利用し、メモリセルMの繰り
返しパターンを乱さないように、メモリセル選択用MISF
FTQsのゲート幅寸法と実質的に同一寸法で構成されてい
る。ガードリング領域GLには図示していないが電源配線
(50)を介在させて電源電位1/2Vccが印加されている。 メモリセルアレイ11B、前記ガードリング領域GLの夫
々の間には段差緩和層(33D、35D)が配置されている。
段差緩和層は本実施例において2段で配置されている。
つまり、段差緩和層は、メモリセルアレイ11B側からガ
ードリング領域GL側に向つて、第1段差緩和層(33D及
び35D)、第2段差緩和層(35D)の夫々が順次配置され
ている。第1段差緩和層(33D及び35D)は2段構造で構
成されている。第1段差緩和層(33D及び35D)の下層の
段差緩和層33Dはスタックド構造の情報蓄積用容量素子
Cの下層電極層33と同一導電層で構成され、上層の段差
緩和層35Dは上層電極層35と同一導電層で構成されてい
る。第2段差緩和層(35D又は33Dでもよい)は前記スタ
ックド構造の情報蓄積用容量素子Cの上層電極層35と同
一導電層で構成されている。つまり、段差緩和層(33
D、35D)はメモリセルアレイ11Bからガードリング領域G
Lに向って順次段差形状を小さくするように構成されて
いる。 このように、(18−10)メモリセル選択用MISFFTQsと
その上層に積層されたスタックド構造の情報蓄積用容量
素子Cとの直列回路からなるメモリセルMを行列状に配
置したメモリセルアレイ11Bを構成し、このメモリセル
アレイ11Bの周辺領域に周辺回路を配置したDRAM1におい
て、前記メモリセルアレイ11Bと周辺回路との間に前記
スタックド構造の情報蓄積用容量素子Cの下層電極層3
3、上層電極層35又は両者の層と同一導電層で形成され
た段差緩和層(33D、35D)を設ける。この構成により、
前記メモリセルアレイ11Bと周辺回路との間の段差部を
前記段差緩和層(33D、35D)で緩和し、夫々の領域上を
延在する配線(相補性データ線50やシャント用ワード線
53)を加工するフォトリソグラフィ技術の安定化を図る
ことができるので、前記配線の前記段差部での断線不良
を低減し、製造上の歩留りを向上することができる。 また、(19−11)前記メモリセルアレイ11Bと周辺回
路との間には、前者から後者に向って、前記スタックド
構造の情報蓄積用容量素子Cの下層電極層33及び上層電
極層35と同一導電層で形成された第1段差緩和層(33D
及び35D)、前記下層電極層33又は上層電極層35と同一
導電層で形成された第2段差緩和層(33D又35D)の夫々
を順次配置する。この構成により、前記メモリセルアレ
イ11Bと周辺回路との間の段差部を前記第1段差緩和層
(33D及び35D)、第2段差緩和層(33D又35D)の夫々で
段階的に緩和することができるので、より製造上の歩留
りを向上することができる。 また、(20−12)メモリセルアレイ11Bと周辺回路と
の間にはガードリング領域GLを配置し、前記段差緩和層
(33D、35D)は前記ガードリング領域GLに配置する。こ
の構成により、前記段差緩和層(33D、35D)の占有面積
の全部又は一部をガードリング領域GLの占有面積で兼用
することができるので、段差緩和層(33D、35D)の占有
面積を縮小し、集積度を向上することができる。 前記シャント用ワード線53の上層を含むDRAM1の実質
的に全面には前記第1図に示すようにパッシベーション
膜54が設けられている。第1図には細詳に図示していな
いが、パッシベーション膜54は、後述するように(第15
図参照)CVD法で堆積した酸化珪素膜(54A)、プラズマ
CVD法で堆積した窒化珪素膜(54B)、塗布された樹脂膜
(例えばポリイミド系樹脂膜54C)の夫々を順次積層し
た複合膜で構成されている。パッシベーション膜54の上
層の樹脂膜(54C)は主にメモリセルアレイ11B、直接周
辺回路の一部の夫々へのα線の入射を低減する目的で形
成されている。つまり、樹脂膜54Cはα線ソフトエラー
耐圧を向上するように構成されている。なお、樹脂膜54
Cは、DRAM1の周辺に配置された外部端子BPにボンディン
グワイヤ4を接続する領域においては除去されている。
この領域の詳細な説明については後述する。 前記DRAM1の周辺回路を構成するCMOSは前記第1図の
右側に示すように構成されている。CMOSのnチャネルMI
SFETQnは、素子間分離用絶縁膜23及びp型チャネルスト
ッパ領域24Aで周囲を囲まれた領域内において、p-型ウ
エル領域22の主面部に構成されている。nチャネルMISF
ETQnは、主に、p-型ウエル領域22、ゲート絶縁膜25、ゲ
ート電極26、ソース領域及びドレイン領域である一対の
n型半導体領域28及び一対のn+型半導体領域37で構成さ
れている。 p-型ウエル領域22、ゲート絶縁膜25、ゲート電極26及
びn型半導体領域28の夫々は、前記メモリセル選択用MI
SFETQsと同一製造工程で構成され、実質的に同様の機能
を有している。つまり、nチャネルMISFETQnはLDD構造
で構成されている。 高不純物濃度のn+型半導体領域37はソース領域、ドレ
イン領域の夫々の比抵抗値を低減するように構成されて
いる。n+型半導体領域37は、ゲート電極26の側壁に自己
整合で形成されたサイドウォールスペーサ29に規定され
て形成され、ゲート電極26に対して白己整合で形成され
る。 ソース領域として使用されるn+型半導体領域37には接
続孔40Aを通して基準電圧Vssが印加された配線50が接続
されている。ドレイン領域として使用されるn+型半導体
領域37には接続孔40Aを通して出力信号用の配線50が接
続されている。n+型半導体領域37と配線50とは接続孔40
Aで規定された領域内に形成されたn+型半導体領域41を
介在させて電気的に接続されている。配線50は前記相補
性データ線50と同一導電層で形成されている。 CMOSのpチャネルMISFETQpは、素子間分離用絶縁膜23
で周囲を囲まれた領域内において、n-型ウエル領域21の
主面部に構成されている。pチャネルMISFETQpは、主
に、n-型ウエル領域21、ゲート絶縁膜25、ゲート電極2
6、ソース領域及びドレイン領域である一対のp型半導
体領域30及び一対のp+型半導体領域38で構成されてい
る。 n-型ウエル領域21、ゲート絶縁膜25及びゲート電極26
の夫々は、前記メモリセル選択用MISFETQs、nチャネル
MISFETQnの夫々と実質的に同様の機能を有している。 低不純物濃度のp型半導体領域30はLDD構造のpチャ
ネルMISFETQpを構成する。ソース領域として使用される
高不純物濃度のp+型半導体領域38には接続孔40Aを通し
て電源電圧Vccが印加された配線50が接続されている。
ドレイン領域として使用されるp+型半導体領域38には接
続孔40Aを通して前記出力信号用の配線50と一体に構成
された出力信号用の配線50が接続されている。この出力
信号用の配線50には接続孔52を通してその上層の配線53
が接続されている。配線53は前記シャント用ワード線53
と同一導電層で形成されている。 前記DRAM1の出力段回路を含む断面構造を第12図(要
部断面図)に示す。同第12図中、左側には前記第1図と
同様にメモリセルアレイ11BのメモリセルMが示されて
いる。メモリセルMは前述のように基本的にp-型ウエル
領域22に設けられている。p-型ウエル領域22は、それに
比べて低不純物濃度で形成されたp-型半導体基板20との
間にポテンシャルバリア領域を形成し、α線ソフトエラ
ー耐圧を向上することができる。メモリセルMのメモリ
セル選択用MISFETQsは、前記p型チャネルストッパ領域
24Aの横方向の拡散で形成されたp型半導体領域24Bの主
面部に形成されているので、p-型ウエル領域22に比べて
不純物濃度が高い領域に形成されている。このp型半導
体領域24Aは、前述のようにp型チャネルストッパ領域2
4Aの横方向の拡散である程度不純物濃度が高くされてい
るが、必要に応じて新たにメモリセルアレイ11Bのみに
選択的にp型不純物(しきい値電圧調整用不純物)を導
入し、不純物濃度をより一層高くしてもよい。不純物の
導入は例えばイオン打込法で行う。p型半導体領域24B
はメモリセル選択用MISFETQsのしきい値電圧を高く設定
している。本実施例のDRAM1のメモリセル選択用MISFETQ
sはゲート長1.0[μm]の場合(実効チャネル長は0.7
〜0.8[μm])、しきい値電圧は約0.8[V]以上の高
い値に設定されている。前記メモリセルMのメモリセル
選択用MISFETQsは、電源配線(Vss又はVcc)と非選択状
態のワード線26又はシャント用ワード線53(Vss)との
交差部において、電源配線に発生したノイズに基づき、
前記ワード線26又は前記シャント用ワード線53の電位が
浮き、誤動作(誤導通)が発生するので、しきい値電圧
が高く設定されている。このような非選択状態のメモリ
セルMが誤動作する現象は高集積化に伴い顕著に生じ
る。 前記第12図中、右側には前記第1図と同様に周辺回路
のCMOSを示している。このCMOSのnチャネルMISFETQn、
pチャネルMISFETQpの夫々は、カラムアドレスデコーダ
回路12、センスアンプ回路13等の直接周辺回路やクロッ
ク系回路等の間接周辺回路で使用されている。nチャネ
ルMISFETQnは、高集積化に伴う短チャネル効果を低減す
るために、p-型半導体基板20に比べて不純物濃度が高い
p-型ウエル領域22に設けられている。また、nチャネル
MISFETQn特に直接周辺回路の一部(α線ソフトエラー耐
圧を確保したい回路)のnチャネルMISFETQnはメモリセ
ルMと同様にp-型ウエル領域22に設けられている。nチ
ャネルMISFETQnは、DRAM1において標準(基準)のMISFE
Tとして構成され、p-型ウエル領域22とその主面部に導
入されるしきい値電圧調整用不純物の濃度で一義的にし
きい値電圧が設定されている。nチャネルMISFETQnは、
使用される回路によりゲート長が異なるが、ゲート長1.
0[μm]で換算した場合(実効チャネル長は0.7〜0.8
[μm])、しきい値電圧は約0.3〜0.8[V]の範囲で
設定されている。つまり、nチャネルMISFETQnは、特に
高速動作性能を要求されるので、伝達コンダクタンスを
高くするように、しきい値電圧が設定されている。 前記第12図中、中央には出力段回路を構成するnチャ
ネルMISFETQoを示している。このnチャネルMISFETQo
は、基本的には前記周辺回路のnチャネルMISFETQnと同
様のLDD構造で構成されている。つまり、nチャネルMIS
FETQoは、p-型半導体基板20、ゲート絶縁膜25、ゲート
電極26、ソース領域及びドレイン領域である一対のn型
半導体領域28及び一対のn+型半導体領域37で構成されて
いる。p-型半導体基板20は、p-型ウエル領域22に比べて
低い不純物濃度で形成され、nチャネルMISFFTQoのチャ
ネル形成領域として使用されている。このnチャネルMI
SFETQoは例えばプヅシュプル型の出力段回路を構成して
いる。nチャネルMISFETQoは、使用される回路や要求さ
れる仕様形態によりゲート長が異なるが、ゲート長1.0
[μm]で換算した場合(実効チャネル長は0.7〜0.8
[μm])、しきい値電圧は約0.3[V]程度以下の低
い値に設定されている。つまり、nチャネルMISFETQo
は、基板効果定数を低減し、出力信号レベルを増加する
ように構成されている。また、前記p-型半導体基板20の
使用は、その表面の不純物濃度が低いので、特に製造プ
ロセス上、nチャネルMISFETQoのしきい値電圧を低く設
定し易い特徴がある。本来、ツインウエル方式を採用す
場合は、製造プロセスの増加を抑えるために、nチャネ
ルMISFETQn及びQoの形成領域の全べてにp-型ウエル領域
22を形成するが、本実施例のDRAM1は前述の理由に基づ
きp-型半導体基板20の一部の主面を使用している。 このように、(13−8)メモリセルMのメモリセル選
択用MISFETQs、周辺回路を構成するnチャネルMISFETQn
及び出力段回路を構成するnチャネルMISFETQoを有する
DRAM1において、夫々のチャネル型を同一n型としかつ
夫々のゲート長(実効チャネル長)サイズを実質的に同
一とした場合に、前記メモリセル選択用MISFETQs、nチ
ャネルMISFETQn、nチャネルMISFETQoの夫々のしきい値
電圧を順次低くする。この構成により、電源に発生する
ノイズに基づき、非選択状態のメモリセルMのメモリセ
ル選択用MISFETQsが誤導通することを防止することがで
きるので、情報書込み動作、情報読出し動作の夫々にお
いて電気的信頼性を向上することができ、前記出力段回
路のnチャネルMISFETQoの基板効果定数を低減すること
ができるので、出力信号レベルを高くし、外部装置の駆
動能力を向上することができ、さらに、前記メモリセル
Mのメモリセル選択用MISFETQsに比べて周辺回路のnチ
ャネルMISFETQnのしきい値電圧を低くしたので、伝達コ
ンダクタンスを向上し、動作速度の高速化を図ることが
できる。 また、(15−9)前記出力段回路のnチャネルMISFET
Qoをp-型半導体基板20の主面に構成し、前記メモリセル
Mのメモリセル選択用MISFETQs、周辺回路のnチャネル
MISFETQnの夫々を前記p-型半導体基板20の主面にそれに
比べて不純物濃度を高く形成したp-型ウエル領域22の主
面に構成する。この構成により前記出力段回路のnチャ
ネルMISFETQoは、p-型半導体基板20の不純物濃度が低い
ので、p-型半導体基板20の主面の不純物濃度又は若干の
不純物濃度の制御で簡単にしきい値電圧を低く設定する
ことができると共に、前記メモリセルMのメモリセル選
択用MISFETQs、周辺回路のnチャネルMISFETQnの夫々
は、p-型半導体基板20とp-型ウエル領域22との不純物濃
度の差によるポテンシャルバリア領域を形成することが
できるので、α線ソフトエラー耐圧を向上することがで
きる。α線ソフトエラー耐圧の向上は、DRAM1のメモリ
セルMの占有面積を縮小することができるので、集積度
を向上することができる。 前記DRAM1のワードドライバ回路(WL)15(第3図参
照)の入力信号のジェネレータ回路を第13図(等価回踏
図)で示す。同第13図中、▲▼はワードクリア信
号、WDはワードデコード信号、XIはワードブースト電
位、XPはセルフブーストノードプリチャージ信号であ
る。XIJLはワードブースト電位のディスチャージ信号で
ある。XIJ0、XIJ9、XNK、BXlI,BX2Iの夫々はジェネレー
タ回路のデコード信号である。ジェネレータ回路には破
線で囲まれた領域内に高耐圧カット用MISFETQc1、Qc2の
夫々が配置されている。高耐圧カット用MISFETQc1、Qc2
の夫々はnチャネルで構成されている。 前記ジェネレータ回路は、セルフブーストノードプリ
チャージ信号XP(=Low)によりプリチャージされる
と、ノードNが電源電位Vcc−しきい値電圧Vthまでプリ
チャージされる。次に、ワードブースト電位XIが電源電
位Vcc以上に立上がると、nチャネルMISFETQdのゲート
容量のカップリングにより、前記ノードNは浮遊容量に
よって決まる高電位(約10[V]以上)まで上昇する。
前記高耐圧カット用MISFETQc1、Qc2の夫々のドレイン領
域は前記高電位に上昇したノードNに接続されている。 前記ジェネレータ回路の高耐圧カット用MISFETQc1、Q
c2の夫々は第14図(要部平面図)に示すように構成され
ている。高耐圧カット用MISFETQc1、Qc2の夫々は、素子
間分離用絶縁膜23及びp型チャネルストッパ領域24Aで
周囲を囲まれた領域内において、p-型ウエル領域22の主
面に構成されている。つまり、高耐圧カット用MISFETQc
1、Qc2の夫々は、p-型ウエル領域22、ゲート絶縁膜25、
ゲート電極26、ソース領域及びドレイン領域である一対
のn型半導体領域28及び一対のn+型半導体領域37で構成
されている。 前記ゲート電極26は、前記素子間分離用絶縁膜23及び
p型チャネルストッパ領域24Aで囲まれた領域内におい
て、平面形状がリング状に構成されている。ゲート電極
26は、その一部にT字型の分岐部が設けられ、この分岐
された部分(26)は素子間分離用絶縁膜23上において信
号配線50に接続されている。ドレイン領域として使用さ
れる一方のn+型半導体領域37は前記リング形状のゲート
電極26で周囲を規定された領域内において設けられてい
る。ソース領域として使用される他方のn+型半導体領域
37は、前記素子間分離用絶縁膜23及びp型チャネルスト
ッパ領域24Aで周囲を囲まれた領域内において、前記リ
ング形状のゲート電極26の外周に設けられている。つま
り、高耐圧カット用MISFETQc1、Qc2の夫々は、一方のn+
型半導体領域37の周囲にチャネル形成領域を介在させて
他方のn+が型半導体領域37を設けて構成されている。前
記一方のn+型半導体領域37には高電位が印加されるよう
になっているが、一方のn+型半導体領域37は型pチャネ
ルストッパ領域24Aには接触しないレイアウトになって
いる。 前記高耐圧カット用MISFETQc1、Qc2の夫々のn+型半導
体領域37には接続孔40Aを通して信号配線50が接続され
ている。一方のn+型半導体領域37(高電圧側)に接続さ
れる信号配線50の外周端はゲート電極26上(又はソース
領域側)まで引き伸ばされている。前記リング形状のゲ
ート電極26は、その段差形状により、リング形状の中央
部分において、信号配線50の下地の層間絶縁膜40の表面
に凹部を形成する。この凹部は、信号配線50を加工する
エッチングマスク(フォトレジスト膜)の露光時に信号
配線50の表面の反射等に基づく回折現象により、前記エ
ッチングマスクのサイズを縮小させてしまう。したがっ
て、信号配線50(それを加工するエッチングマスク)は
前記回折現象が生じない領域で加工するようにしてい
る。 このように、(32−17)p型チャネルストッパ領域24
Aで周囲を囲まれた高耐圧カット用MISFETQcを有するDRA
M1において、前記高耐圧カット用MISFETQcが、高電圧が
印加される一方のn+型半導体領域37の周囲をチャネル形
成領域(p-型ウエル領域22)を介在させて低電圧が印加
される他方のn+型半導体領域37で取り囲み、前記チャネ
ル形成領域上にゲート絶縁膜25を介在させてゲート電極
26を配置して構成され、前記p型チャネルストッパ領域
24Aを前記他方のn+型半導体領域37の周囲を取り囲み構
成する。この構成により、前記高耐圧カット用MISFETQc
の一方のn+型半導体領域37がp型チャネルストッパ領域
24Aと接触しないので、一方のn+型半導体領域37のpn接
合耐圧を向上し、前記高耐圧カット用MISFETQcを高耐圧
化することができる。 また、(34−18)前記高耐圧カット用MISFETQcの一方
のn+型半導体領域37に接続される上層の信号配線50の外
周端を、前記ゲート電極26上に配置するか又は他方のn+
型半導体領域37上まで引き出して配置する。この構成に
より、前記一方のn+型半導体領域37と前記上層の信号配
線50との間の層間絶縁膜40等の表面にゲート電極26の段
差形状で凹状が形成され、この凹状に起因し上層の信号
配線50を加工するエッチングマスクがその露光時に上層
配線形成層(50)の表面に反射する光によりサイズが縮
小されることを低減することができるので、上層の信号
配線50の加工精度を向上することができる。 前記DRAM1の最も周辺に配置された外部端子(ボンデ
ィングパッド)BPの断面構造を第15図(要部拡大断面
図)で示す。同第15図に示すように、外部端子BPは製造
工程における第2層目の配線53で形成されている。DRAM
1の内部で使用される配線53は、前述のシャント用ワー
ド線53で説明したように、遷移金属膜53A、アルミニウ
ム膜53B、遷移金属膜53Cの夫々を積層した3層構造で構
成されている。これに対して、外部端子BPは、上層の遷
移金属膜53Cを除去した、下層の遷移金属膜53A、中層の
アルミニウム膜53Bの夫々を順次積層した2層構造で構
成されている。ボンディング装置は、外部端子BPの表
面、パッシベーション膜54の表面の夫々の反射率の差に
より、前記外部端子BPの表面にボンディングワイヤ4を
ボンディングする際の位置決めを行っている。配線53の
上層の遷移金属膜53Cは反射率が低く、パッシベーショ
ン膜54との間の反射率の差が小さいので、外部端子BPの
表面は前記上層の遷移金属膜53Cに比べて反射率が高い
アルミニウム膜53Bを露出させている。 前記外部端子BPのアルミニウム膜53Bの表面を露出さ
せる工程は上層のパッシベーション膜54の酸化珪素膜54
A及び窒化珪素膜54Bに形成されるボンディング開口55を
形成する工程と同一工程で行う(同一マスクを使用して
形成する)。パッシベーション膜54の上層の樹脂膜54C
には、前記ボンディング開口55上においてそれよりも大
きなサイズのボンディング開口56が設けられている。 このように、(7−4)パッシベーション膜54に形成
されたボンディング開口55(及び56)を通してボンディ
ングワイヤ4が接続される、内部配線53と同一導電層で
形成された外部端子BPを有するDRAM1において、前記内
部配線53をアルミニウム膜(又はその合金膜)53B、遷
移金属膜53Cの夫々を順次積層した複合膜で構成し、前
記外部端子BPを前記遷移金属膜53Cを除去したアルミニ
ウム膜53Bで構成する。この構成により、ボンディング
工程において、外部端子BPの表面の反射率を向上し、外
部端子BPとパッシベーション膜54との反射率差による外
部端子BPのボンディング位置の認識を確実に行うことが
できるので、ボンディング不良を低減し、DRAM1の組立
工程の歩留りを向上することができる。また、ボンディ
ングワイヤ4をアルミニウムワイヤで形成した場合、前
記外部端子BPの表面がアルミニウム膜53Bを露出させて
いるので、外部端子BPとボンディングワイヤ4とのボン
ダビリティを向上し、ボンディング不良を低減すること
ができる。この結果、DRAM1の組立工程の歩留りをより
向上することができる。 また、(8−5)前記外部端子BPのアルミニウム膜53
B上の遷移金属膜53Cは前記パッシベーション膜54に形成
されたボンディング開口55で規定される領域内において
除去する。この構成により、前記外部端子BPの表面の遷
移金属膜53Cを除去するエッチングマスクが前記パッシ
ベーション膜54にボンディング開口55を形成するエッチ
ングマスクと兼用することができるので、マスクを形成
する工程に相当する分、DRAM1の製造工程数を低減する
ことができる。 次に、前述のDRAM1の具体的な製造方法について、第1
6図乃至第33図(所定の製造工程毎に示す要部断面図)
を用いて簡単に説明する。
 The present invention relates to a semiconductor technology, and particularly to a DRAM (Dynamic
Semiconductor integrated circuit device having random access memory)
Technology that is effective when applied to
You. [Prior Art] Memory cells that store 1-bit information of DRAM
Series circuit of MISFET for recell selection and capacitive element for information storage
It is composed of The memory cell selection MISFFT gate
The gate electrode is connected to a word line extending in the row direction.
One semiconductor region of the memory cell selection MISFFT is complementary
Data line. The other semiconductor area contains the information
It is connected to one electrode of the storage capacitor. Information storage
A predetermined potential is applied to the other electrode of the storage capacitor.
You. This type of DRAM is integrated for large capacity,
The size of cells tends to be reduced. Memory cell support
When the size is reduced, the size of the information storage
Since the size is reduced, the amount of charge stored as information decreases. Electric
The decrease in the amount of accumulated load decreases the α-ray soft error withstand voltage,
In addition, large-capacity DRAM of 1 [Mbit] or more is resistant to α ray soft error
Increasing pressure is one of the important technical issues. Based on these technical issues, DRAM memory cells
Stacked structure (STC structure) is adopted for the information storage capacitor
Tend to be used. For information storage of this stacked structure
Capacitors consist of a lower electrode layer, a dielectric film, and an upper electrode layer, respectively.
Are sequentially laminated. The lower electrode layer is
Part is connected to the other semiconductor region of the MISFET for
Another region is extended to above the gate electrode. Underlayer
The electrode layer is formed by photolithography on a polycrystalline silicon film deposited by CVD.
Performs graphic technology and etching technology to achieve a predetermined planar shape
Is patterned. The dielectric film
Provided along the upper surface and side surfaces of the lower electrode layer
You. An upper electrode layer is provided on a surface of the dielectric film.
You. The upper electrode layer is a stack of other adjacent memory cells
Integrated with the upper electrode layer of the capacitor for information storage
And used as a common plate electrode. Upper layer electricity
The pole layer is formed of a polycrystalline silicon film as in the case of the lower electrode layer.
ing. It should be noted that the information storage capacitor of the stacked structure
For the DRAM constituting the cell, see, for example, Japanese Patent Application No. 62-235906.
No. [Problem to be Solved by the Invention] The inventor is developing a DRAM having a large capacity of 4 [Mbit].
Have found the following problems. The DRAM being developed by the inventor is a folded bit
The line system (two-intersection system) is adopted. This kind of DR
AM is an inverted pattern alternately in the direction in which the complementary data lines extend.
Memory cells are arranged in The memory cell stack
The lower electrode layer of the stacked information storage capacitor is planar
The shape is rectangular. The size of adjacent memory cells
Lower electrode layer spacing of tack-structured information storage capacitor
Is complementary to one semiconductor region of the memory cell selection MISFT.
The connection area with the data line is large,
Is defined. That is, in the connection area,
Extra space between the electrode layers in the manufacturing process with the upper electrode layer, connection holes, etc.
Because extra dimensions and dimensions for insulation separation are added
The gap is large. On the other hand, the lower layer
The interlayer is processed to the minimum processing size or a size close to it.
Space is small. For this reason, in the manufacturing process,
Processing the lower electrode layer using photolithography technology
Diffraction phenomenon during the exposure process to form an etching mask
The connection region side of the etching mask is particularly excessive due to
Exposed. Furthermore, the reflected light from the step of the gate electrode layer
Thus, the connection region side is excessively exposed. In other words, before
Processed (etched) using the etching mask
Lower electrode layer is considerably smaller than designed size
The size of the stacked information storage capacitor
The charge storage amount decreases. This decrease in charge accumulation is due to
Deteriorates soft error withstand voltage and induces malfunction of DRAM
In addition, it is necessary to increase the size of the information storage capacitor.
Therefore, the degree of integration of the DRAM is reduced. The objects of the present invention are as follows. (1) In a semiconductor integrated circuit device having a storage function
To provide technologies that can improve the degree of integration
It is in. (2) In the semiconductor harvesting circuit device, a soft error
ー To provide technology that can improve withstand voltage
You. The above and other objects and novel features of the present invention are as follows.
It will be apparent from the description of this specification and the accompanying drawings.
Would. [Means for Solving the Problems] Among the inventions disclosed in the present application, representative ones
The brief description is as follows. (1) Information on MISFET for memory cell selection and stacked structure
A memory cell is composed of a series circuit with an information storage capacitor
In a semiconductor integrated circuit device having a DRAM, the DRAM
Under the information storage capacitor with the stacked structure of memory cells
A correction pattern that increases the surface area is formed on the electrode layer.
To achieve. [Operation] According to the above-mentioned means (1), the adjacent lower electrode layer
In the area with a large space (data line side),
Diffraction phenomena during exposure of lithography technology
An etching mask for processing the lower electrode layer by irradiation of light.
It is possible to reduce the reduction of the disk size (
Is compensated by the reduction in size), so the lower electrode layer
Capacitance element for information storage with a stacked structure that secures surface area
It is possible to increase the amount of charge stored in the child. As a result, α
Line soft error voltage is improved and memory cell area is reduced.
Therefore, the degree of integration of the DRAM can be improved. Hereinafter, the configuration of the present invention will be described with respect to the memory cell selecting MISF.
Series circuit of ET and stacked information storage capacitor
Application of the present invention to a DRAM that constitutes a memory cell in the embodiment
This is explained with an example. In all the drawings for explaining the embodiments, the same device
Those having functions are given the same reference numerals, and the description of the repetition is given.
Is omitted. [Embodiment of the Invention] (Embodiment I) A resin-sealed mold half for encapsulating a DRAM which is Embodiment I of the present invention.
The conductor device is shown in FIG. 2 (partial sectional plan view). As shown in FIG. 2, the DRAM (semiconductor pellet) 1 has a SO
J (Small Out-line J-bend) type resin-encapsulated semiconductor device
2. DRAM1 is a resin-encapsulated semiconductor device
2 on the surface of the tab 3A with an adhesive
You. The DRAM 1 has a large capacity of 4 [Mbit]. This D
RAM1 is sealed in a 350 [mil] resin-sealed semiconductor device 2.
ing. The main surface of DRAM 1 stores 1 [bit] of information.
Memory with multiple memory cells (storage elements) arranged in rows and columns
A cell array is arranged. Other than memory cell array
In the main surface of DRAM1, direct peripheral circuits and indirect peripheral circuits
Road is located. Peripheral circuits directly store information about memory cells.
This circuit directly controls the information write operation and the information read operation.
Row address decoder circuit, column address decoder
And a sense amplifier circuit and the like. Indirect peripheral circuit
Is a circuit that indirectly controls the operation of the direct peripheral circuit.
Yes, includes clock signal generation circuit, buffer circuit, etc.
You. In the most peripheral part of the DRAM1, the short side of the DRAM1, the long side
External terminals (bonding pads)
C) BPs are arranged. This external terminal BP is connected with the bonding wire 4
Connected to inner lead 3B. Bonding Y
The wire 4 uses aluminum (Al) wire. Also,
Gold (Au) wire, copper (C)
u) Insulating resin coated on the surface of wire and metal wire
A covered wire or the like may be used. Bonding wire 4
Is based on a bonding method that uses ultrasonic vibration in combination with thermocompression bonding.
Bonded. The inner lead 3B is integrated with the outer lead 3C.
Has been established. This inner lead 3B, outer lead
3C, each of the tabs 3A is cut from the lead frame
Is molded. Lead frame is, for example, Cu, Fe-Ni
(For example, a Ni content of 42 [%]).
The tab 3A has a tab hanging on each of the short side and the long side.
Lead 3D is connected. The outer leads 3C are individually
The signals applied are defined and numbered. Same
2 In the figure, the upper left corner is terminal 1; the lower left corner is terminal 10; the lower right corner
Is the 11th terminal, and the upper right end is the 20th terminal. This outer
For the signal applied to the mode 3C, the external terminal BP
This will be described later. The DRAM1, the tab 3A, the bonding wire 4, the inner
-Lead 3B and tab suspension lead 3D are sealed with resin sealing part 5.
Have been. The resin sealing portion 5 is used to reduce the stress.
Adds phenolic curing agent, silicone rubber and filler
The added epoxy resin is used. Silicone goose
Has the effect of lowering the coefficient of thermal expansion of the epoxy resin.
You. The filler is formed of spherical silicon oxide particles.
In this way, it has the effect of lowering the coefficient of thermal expansion. Next, the DRAM encapsulated in the resin-encapsulated semiconductor device 1
FIG. 3 (chip layout diagram) shows a schematic configuration of 1. As shown in FIG. 3, the center of the DRAM 1
A memory cell array (MA) 11 is provided. Of this embodiment
The DRAM 1 includes, but is not limited to, a memory cell array 11
Is roughly divided into four memory cell arrays 11A,
Configuration is adopted. That is, in FIG.
The two memory cell arrays 11A are arranged on the upper side of the
Are provided with two memory cell arrays 11A. this
Each of the four divided memory cell arrays 11A further includes
It is subdivided into four memory cell arrays 11B. Toes
DRAM1 has 16 memory cell arrays 11B arranged.
You. One memory cell array 11B divided into 16 pieces is 2
It has a capacity of 56 [Kbit]. Two memory cell arrays of the 16 subdivided
Column address decoder circuits (YDE
C) 12 and part of the sense amplifier circuit (SA) 13
ing. The sense amplifier circuit 13 is a complementary type MISFFT (CMOS).
And a part of the sense amplifier circuit 13 is an n-channel MISF
Consists of ET. The other part of the sense amplifier circuit 13.
The p-channel MISFET has a
It is arranged at the end of the memory cell array 11B. Sensea
From one end of the amplifier circuit 13, complementary data lines (two data lines)
Line extends over the memory cell array 11B,
The DRAM1 of the embodiment uses a folded bit line method (two
Point method). Each of the memory cell array 11B subdivided into 16 pieces
Row address decoder circuit (XDEC)
14 and a word driver circuit (WD) 15 are provided. Circuits 12 arranged around these memory cell arrays 11
16 are configured as direct peripheral circuits of the DRAM1. The upper side peripheral circuit 16 on the upper side of the DRAM 1 and the lower side on the lower side
A peripheral circuit 17 is provided. Located above DRAM1
Two memory cell arrays 11A and two
Peripheral circuit 18 is arranged between the memory cell array 11A
Is placed. In addition, two of the two
Two memos arranged on the lower side between the memory cell arrays 11A
A central peripheral circuit 19 is arranged between each of the recell arrays 11A.
Have been. These peripheral circuits 16-19 are indirect peripheral of DRAM1
It is configured as a circuit. Next, the specific functions and functions of the external terminal BP of the DRAM 1 described above will be described.
Regarding the specific circuit arrangement of the indirect peripheral circuit and
This will be briefly described with reference to a drawing (an enlarged layout diagram of a main part). First, of the external terminals BP arranged around the DRAM1,
0~ A9Is an external terminal BP for an address signal. I / O1~ I / O
FourIs an external terminal BP for input / output signals. RAS is row address
External terminals BP and CAS for strobe signal are column address
External terminal BP for strobe signal. WE is light
External terminals BP and OE for enable signal are output enable.
This is an external terminal BP for a bull signal. Vss is the reference potential, for example
External terminals BP and Vcc for circuit ground potential 0 [V]
For example, an external terminal BP for the operating potential 5 [V] of the circuit.
You. Although not shown, especially near the external terminal BP for input signals
Is equipped with an input protection circuit (electrostatic destruction prevention circuit)
I have. Each circuit of the upper peripheral circuit 16 of the indirect peripheral circuit is a basic circuit.
Basically, it is placed near the external terminal BP to which each signal is applied.
Have been. 1601 is a light circuit, 1602 is a RAS control
Circuit. 1603 is the substrate potential VBBGenerating circuit,
For example, a circuit for generating a potential of -2.5 to 3.5 [V].
1604 is the data output buffer circuit, 1605 is the input / output data circuit
Reference numeral 1606 denotes a data output control circuit. 1607 is
CAS control circuit, 1608 read / write control
Roll circuit, 1609 is test mode control circuit, 16
10 is a main amplifier control circuit. 1611 is IO
Rect circuit, 1612 is mat selection and common source drive circuit
Road. 1614 is the bonding master control circuit, 1616 is
ATD circuit, 1617 is X address buffer circuit, 1619 is Y address
It is a dress buffer circuit. 1620 is the main amplifier circuit,
1621 is a nibble counter circuit, and 1622 is a test logic circuit.
You. In the middle side peripheral circuit 18, 1801 is a Y address buffer.
The circuit, 1802 is an ATD circuit, and 1803 is a mat selection circuit. 1
804 is an X-system predecoder circuit, 1805 is an X-system redundant circuit, 180
6 is a refresh counter circuit, 1807 is a column equalizer
Circuit. 1808 is a decoder monitor circuit, 1809 is X
Address buffer circuit, 1810 common I / O equalization system
Control circuit, 1812 is an X address latch circuit, 1813 is a refresh
Control circuit. In the lower peripheral circuit 17, 1701 is a mat selection circuit and
A common source drive circuit 1702 is a Y predecoder circuit.
You. 1703 is an X address buffer circuit, 1704 is a Y address
It is a buffer circuit. 1705 is ATD circuit, 1706 is Y system redundant
The circuit 1707 is an X predecoder circuit. Next, the subdivided memory cell array 11B of the DRAM 1
Fig. 5 (Main parts)
This will be described using an equivalent circuit diagram). As shown in FIG. 5, the folded bit line
DRAM1 adopting the formula is in memory cell array (MA) 11B
The complementary data lines DL and ▲ ▼ in the column direction.
You. A plurality of sets of the complementary data lines DL are arranged in the row direction.
I have. Complementary data line DL is connected to sense amplifier circuit (SA) 13
It is connected. In the memory cell array 11B, the word lines WL
It extends in the row direction crossing the complementary data line DL. Wa
A plurality of the word lines WL are arranged in the column direction. Illustrated
No, but each word line WL is a row address buffer circuit
(XDFC) connected to 14 and configured to be selected
You. At the intersection of each of the complementary data lines DL and the word line WL
Memory cell (storage element) M for storing 1 [bit] information
Is arranged. The memory cell M is a memory cell selecting n
Series circuit of channel MISFETQs and information storage capacitor C
It is composed of MISFETQs for memory cell selection of memory cell M is one half
The conductor region is connected to the complementary data line DL. The other half
The conductor region is connected to one electrode of the information storage capacitance element C.
Have been. The gate electrode is connected to a word line WL.
The other electrode of the information storage capacitor C is set to the power supply voltage of 1/2 Vcc.
It is connected. The power supply voltage 1 / 2Vcc is equal to the reference voltage Vss.
An intermediate potential with respect to the power supply voltage Vcc, for example, about 2.5 [V]. Electric
A source voltage of 1/2 Vcc is applied between the electrodes of the information storage capacitance element C.
Electric field strength and dielectric breakdown voltage of dielectric film
can do. The sense amplifier circuit 13 is transmitted on the complementary data line DL.
Configured to amplify the information of the memory cell M reached
ing. The information amplified by the sense amplifier circuit 13
Common data line through n-channel MISFFTQy for switch
Output to I / O and ▲ ▼ respectively. Column switch
MISFETQy for column address decoder circuit (YDFC) 12
Controlled. The common data line I / O is connected to the main amplifier circuit (MAP) 16
Connected to 20. Main amplifier circuit 1620 is a switch
MISFET (no symbol), output signal line DOL, ▲
▼, through each of the data output buffer circuits (DoB) 1604
And connected to the output signal external terminal (Dout) BP.
In other words, the memo further amplified by the main amplifier circuit 1620
Information of recell M is output signal line DOL, data output buffer
The circuit 1604 is output to the outside of DRAM1 through each of the external terminals BP.
Is forced. Next, the memory cell M of the DRAM 1 and a peripheral circuit (sensor)
Elements that make up the amplifier and decoder circuits)
A typical structure will be described. Memory cell array 11B
The surface structure is shown in FIG. 6 (plan view of a main part). Memory cell array
B. The cross-sectional structure of 11B and the cross-sectional structure of
FIG. The menu shown on the left side of FIG.
The cross-sectional structure of the molycell M was taken along the line II in FIG.
3 shows a cross-sectional structure of a portion. The right side of FIG.
3 shows a cross-sectional structure of a CMOS constituting a side circuit. As shown in FIGS. 1 and 6, DRAM 1 is made of single crystal silicon.
Consisting of p-It is composed of a mold semiconductor substrate 20. Semiconductor base
Plate 20 uses (100) crystal plane as the element formation surface, and
For example, it is formed with a resistance value of about 10 [Ω-cm]. Semiconduct
A part of the main surface of the body substrate 20 is approximately 0% by ion implantation.15[Ato
ms / cmTwo] The above impurities have not been introduced. Part of
The region is at least the region of the memory cell array 11B.
You. The introduction of the impurities causes a large amount of crystal defects,
Introduce impurities because they cause the charge to leak
Are partially restricted. Therefore, to reduce contamination by heavy metals such as Na
Next, the DRAM 1 of this embodiment is obtained in a deep region of the semiconductor substrate 20.
Those having a tarling layer are used. Getterin
The semiconductor layer is located at a depth of about 10 μm from the main surface of the semiconductor substrate 20.
Region (a region deeper than each of the well regions 21 and 22).
Have been. The memory cell M (memory cell array) of the semiconductor substrate 20
11) In the main surface of each forming region of n-channel MISFETQn
Is p-A mold well region 22 is provided. Semiconductor substrate 20
n is formed on the main surface of the p-channel MISFET Qp formation region.-Type well
An area 21 is provided. That is, the DRAM 1 of this embodiment is
It has a twin-well structure. The main portion between the respective semiconductor element formation regions of the well regions 21 and 22
On the surface, insulating film for field isolation (field insulating film) 23
Is provided. p-In the main surface portion of the mold well region 22,
A p-type channel stopper region is formed under the insulating film 23 for element isolation.
24A is provided. Gate insulating film 23 for element isolation
Since the parasitic MOS used as the insulating film is likely to be n-type inverted, the channel
The stopper area 24A is at least p-Main surface of mold well region 22
Section. In the formation area of the memory cell M of the memory cell array 11
And p-A p-type semiconductor region 24B
Is provided. The p-type semiconductor region 24B is substantially a memo
It is provided on the entire surface of the recell array 11. p-type semiconductor area
The region 24B is manufactured in the same manner as the P-type channel stopper region 24A.
Process, formed with the same manufacturing mask, p-type channel stop
Lateral diffusion of p-type impurity (B) forming aperture region 24A
Is formed. N-channel that constitutes peripheral circuit
Compared to MISFFTQn, MI for selecting memory cell of memory cell M
The gate width dimension of the SFETQs is configured to be small. Toes
The lateral diffusion of the p-type impurity causes the memory cell
The p-type semiconductor region 24B is formed on substantially the entire surface of M.
It has become so. This p-type semiconductor region 24B has p-Mold half
P with a higher impurity concentration than the conductor substrate 20-Mold well area 22
It is formed with an even higher impurity concentration. p-type half
The conductor region 24B is the threshold of the MISFETQs for memory cell selection.
Voltage can be increased, and the voltage of the information storage capacitive element C can be increased.
The load accumulation amount can be increased. Also, a p-type semiconductor region
24B is a potential barrier region for minority carriers
It still works. MISFETQs for selecting a memory cell of the memory cell M is shown in FIG.
6 and 7 (planes of essential parts in predetermined manufacturing process)
P) as shown in the figure)-Well region 22 (actually p-type semiconductor)
It is formed on the main surface of the body region 24B). Memory cell selection
MISFETQs for selection is insulating film 23 for isolation between elements and P-type channel
It is configured within the area defined by the stopper area 24A.
You. MISFFTQs for memory cell selection is mainly p-Mold well area 2
2, gate insulating film 25, gate electrode 26, source region or gate
It is composed of a pair of n-type semiconductor regions 28 that are rain regions.
I have. The p-Mold well region 22 is used as a channel formation region
Have been. Gate insulating film 25 is p-Main surface of mold well region 22
Formed of a silicon oxide film formed by oxidizing silicon. Gate electrode 26 is provided on gate insulating film 6.
You. The gate electrode 26 is, for example, a polycrystalline film deposited by a CVD method.
It is formed with a silicon film and has a thickness of about 200 to 300 [nm].
ing. This polycrystalline silicon film is an n-type impurity that reduces the resistance value.
(P or As) is introduced. Also, the gate electrode 26
Are high melting point metal (Mo, Ti, Ta, W)
(MoSiTwo, TiSiTwo, TaSiTwo, WSiTwo) Consisting of a single layer of membrane
Is also good. The gate electrode 26 is formed on the polycrystalline silicon film.
Composite of high melting point metal film and high melting point metal silicide film
It may be composed of a film. The gate electrode 26 is, as shown in FIGS.
It is integrated with the word line (WL) 26 extending in the direction
You. That is, each of the gate electrode 26 and the word line 26 has the same conductivity.
It is formed of an electric layer. Word lines 26 are arranged in the row direction.
Of MISFETQs for selecting memory cells of a plurality of memory cells M
It is configured to connect the respective gate electrodes 26. As shown in FIG. 7, the gate of the MISFETQs for memory cell selection is used.
The gate length of the gate electrode 26 is larger than the width of the word line 26.
It is thick and thick. For example, the gate of the gate electrode 26
The long dimension is 1.0 [μm] and the width of the word line is 0.6
[Μm]. Note that the DRAM 1 of the present embodiment
Except for the inter-wire dimension of the word line 26 of 0.6 [μm],
So-called 0.8 [μm] production with a minimum processing dimension of 0.8 [μm]
Employs a process. As shown in FIGS. 6 and 7, the memory cell M is complementary.
Between one data line DL of the sex data line (50) and the word line 26
A first intersection, the other data line of the complementary data line
▼ and another word adjacent to the word line 26 in the column direction
It is located at each of the second intersections with line 26. The husband
Each word line 26 has substantially the same width and a predetermined isolation size.
It is extended in the row direction in parallel while being held. This 2
The word lines 26 correspond to the respective data lines D of the complementary data lines.
L, each data line ▲ ▼ project in the opposite direction
As described above, they extend in the row direction in a zigzag manner. The first
At the intersection, word line 26 is
A protruding portion 26A is provided on the lead line 26 side along the shape of the memory cell M.
Have been killed. Similarly, at the second intersection, the other wires
On the word line 26 side of the memory cell line M along the shape of the memory cell M.
Accordingly, a protruding portion 26A is provided. This projection 26A is actually
Qualitatively as the gate electrode 26 of MISFETQs for memory cell selection
Used, the gate length is longer than the width of the word line 26
The law is being lengthened. Moreover, the protrusion 26A
Is an insulating film for element isolation defining the periphery of the memory cell M
23 and at least a margin in the manufacturing process
Just superimpose to the extent that the memory cell M
Along the shape (the gate width of MISFETQs for memory cell selection)
(To the same extent as the law). That is, as shown in FIG.
The MISFET Qs for memory cell selection
Word line when the width of the word line 26 is purely specified
Compared with the separation dimension A between 26, on the insulating film 23 for element isolation,
Words can be sufficiently secured.
The interval between the memory cells M in the extending direction of the line 26 can be reduced.
Wear. Thus, (claim 24-means 14) for selecting a memory cell
Formed by a series circuit of MISFETQs and capacitive element C for information storage
Folded bit line to place the memory cell M
In the in-type DRAM 1, one of the complementary data lines (50)
A first intersection between the first data line DL and the first word line 26,
The other of the complementary data lines and the second data line
Another second word line adjacent to the first word line 26 in the column direction.
26, the memory cell M is arranged at each of the second intersections with 26,
Each of the first word line 26 and the second word line 26 is substantially
Going in parallel with the same width and the specified separation
And the first data line DL and the second data line
Project in the opposite direction for each of the ▲ ▼
The first word line 26 and the second word line 26 are zigzag
Extending the second word line of the first word line 26 at the first intersection.
Line 26 is projected along the shape of the memory cell M (projection
And the second word line 2 at the second intersection.
6 projecting along the first word line 26 side along the shape of the memory cell M.
Let out. With this configuration, the first word line 26 and its
Of the memory cell selection MIS at the first intersection.
As the gate electrode 26 of the FFTQs, the second word line 26 and its
The protruding portion 26A has a MISFE for selecting a memory cell at the second intersection.
Each is used as a gate electrode 26 of TQs,
To secure the gate length of MISFETQs for memory cell selection
Can reduce short-channel effects.
And at each of the first intersection and the second intersection
Memory cell M intervals can be reduced. this
As a result, the area occupied by the memory cell M is reduced, and
The area occupied by the separation region between the lines M can be reduced.
Thus, the degree of integration of the DRAM 1 can be improved. The n-type semiconductor region 28 is a MISFET constituting a peripheral circuit.
Qn n+At least information storage compared to the
The side connecting the storage capacitor C is formed with a low impurity concentration.
I have. Specifically, the n-type semiconductor region 28 is 1 × 1014[Atoms /
cmTwo] With low impurity concentration ion implantation method
I have. That is, the n-type semiconductor region 28 is caused by the introduction of impurities.
To reduce the occurrence of crystal defects caused by
Shape so that crystal defects can be sufficiently recovered by heat treatment
Has been established. Therefore, the n-type semiconductor region 28-Type
Low leakage current at pn junction with well region 22
Therefore, the information is stored in the information storage capacitor C.
Charges can be stably held. The n-type semiconductor region 28 is self-
It is formed by matching, and the channel formation region side has a low impurity concentration
LDD (Lightly Doped Drain) structure
MISFETQs for selecting a memory cell. One of the MISFETQs for memory cell selection (complementary
The n-type semiconductor region 28 on the data line connection side) is n+Semiconductor area
It is configured integrally with 41. The other (capacitive element for information storage
The n-type semiconductor region 28 on the (connection side of C) is n+Type semiconductor region 33A
And is configured integrally. Said n+Type semiconductor region 41 is complementary
Connection between the conductive data line (50) and one n-type semiconductor region 28
Formed in the area defined by the connection hole 40A
I have. n+Type semiconductor region 41 is connected to complementary data line (50) and p-Type
It is configured to prevent a short circuit with the well region 22.
You. Said n+The semiconductor region 33A has a stacked structure described later.
The lower electrode layer (33) and the other n
Area defined by the connection hole 32 for connection to the die semiconductor region 28.
It is formed in the region. n+Type semiconductor region 33A
The n-type impurity introduced into the lower electrode layer 33 is diffused.
Are formed. Upper layer of the gate electrode 26 of the MISFETQs for memory cell selection
Is provided with an insulating film 27, and the gate electrode 26 and the insulating film 27
Side wall spacers 29 are provided on each side wall.
You. The insulating film 27 is mainly formed on the gate electrode 26, on which
Each electrode (especially 33) of the information storage capacitor C is electrically
It is constituted so that it may separate. Sidewalls
Pacer 29 mainly uses MISFETQs for selecting memory cells with LDD structure.
Configuration. The insulating film 27, side wall
Each of the spacer spacers 29 will be described later in a manufacturing method thereof.
The inorganic silane gas and nitric oxide gas
Formed of a silicon oxide film deposited by CVD method
You. This silicon oxide film uses an organic silane gas as a source gas.
Compared to silicon oxide film deposited by CVD method
High step coverage and small film shrinkage
No. Thus, the (10-6) MISFETQs for memory cell selection
An insulating film 27 is formed on the gate electrode 26, and the gate electrode 26
Side wall and the side wall of the insulating film 27 thereover.
In the DRAM 1 forming the spacer 29, the gate electrode 2
Each of the insulating film 26 and the sidewall spacer 29 on
CV using inorganic silane gas and nitric oxide gas as source gas
It is composed of a silicon oxide film deposited by the D method. With this configuration
The insulating film 27 on the gate electrode 26,
The silicon oxide film of each of the pacers 29 is sourced from organic lanthanum
Shrinkage of film compared to silicon oxide film deposited by CVD method using gas
Only the insulating film 27 and the side
The separation between the wall spacer 29 and the gate is reduced.
Between the electrode 26 and another conductive layer (for example, the lower electrode layer 33).
Prevents electrical leakage and improves electrical reliability.
As well as the insulating film 27 on the gate electrode 26,
Step spacers of the silicon oxide film
High coverage enables high uniformity of silicon oxide film thickness
Therefore, the withstand voltage can be improved. Also step
High coverage required to get the same sidewall thickness
Layer thickness can be reduced, the step can be reduced, and the lower layer
Processing of the pole layer 33 becomes easy. The information storage capacitance element C of the memory cell M is a first element.
FIGS. 6, 6 and 8 (plans of main parts in a predetermined manufacturing process).
As shown in the plan view), mainly the lower electrode layer 33, the dielectric film 3
4, each of the upper electrode layers 35 is sequentially laminated.
You. The information storage capacitor C has a so-called stacked structure (laminated structure).
Type: STC). The lower layer capacitor of the information storage capacitor C having the stacked structure
A part (central part) of the pole layer 33 is MISFETQs for memory cell selection.
Is connected to the other n-type semiconductor region 28. This connection
Are connection holes 31A formed in the interlayer insulating film 31 and side walls.
Through the connection hole 32 defined by the
I have. Select the memory cell for the opening size of the connection hole 32 in the column direction.
MISFETQs gate electrode 26, word line 26 adjacent to it
Are defined by the respective separation dimensions. Opening of connection hole 31A
The difference between the size and the opening size of the connection hole 32 is at least
Larger than the mask alignment margin in the process
It's getting worse. The other part (peripheral part) of the lower electrode layer 33 is
The electrode 26 and the word line 26
I have. The interlayer insulating film 31 is formed by a lower insulating film 27 and a side wall.
Formed of the same insulating film as each of the metal spacers 29.
You. In other words, the source of inorganic silane gas and nitric oxide gas
Formed of a silicon oxide film deposited by a CVD method using a gas
You. The lower electrode layer 33 is made of, for example, polycrystalline silicon deposited by a CVD method.
It is formed of an elemental film, and the resistance of this polycrystalline silicon film is reduced.
An n-type impurity (As or P) is introduced at a high concentration. under
The layer electrode layer 33 utilizes the step shape of the base and uses the side wall.
To store the charge in the stacked information storage capacitor C
To increase the volume, for example, a ratio of about 200 to 400 [nm]
It is formed with a relatively thick film thickness. The planar shape of the lower electrode layer 33 is shown in FIG. 6 and FIG.
As shown, in the column direction where the complementary data lines (50) extend
It has a long rectangular shape. As shown in FIG.
Each lower electrode layer 33 arranged in the row direction in which the lead line 26 extends
Is the processing dimension at or near the minimum added dimension in the manufacturing process
Formed by law. Similarly, the complementary data line (50)
Of the lower electrode layers 33 arranged in the extending column direction,
Instead of the complementary data line connection side,
The minimum additional dimension between the lower electrode layers 33 to be interposed is
It is formed with a processing size close to. In contrast, the complement
On the connection side of the conductive data line, between the lower electrode layers 33,
MISFET Qs for recell selection and n-type semiconductor region 28 and complementary data
Connection area with data line (50), upper electrode layer 35 and complementary data
Withstand voltage between the wire (50) and the lower electrode layer 33 and the upper electrode layer 35
And the lower electrode layer 33 and the complementary data line (50)
Are separated by an amount equivalent to the
You. The lower electrode layer 33 is in phase with the n-type semiconductor region 28.
It is formed in a planar square shape on the connection side with the complementary data line (50).
Correction pattern 33A that protrudes in the planar direction from the
Have been. Etching mask for processing lower electrode layer 33
(Photoresist film) in the connection region
Diffraction phenomena and word lines that occur in the region with a large gap between the polar layers
The size is reduced by the reflected light from 26 steps.
U. Therefore, the size of the lower electrode layer 33 is smaller than a predetermined set value.
Information storage capacity in a stacked structure
The charge storage amount of the element C decreases. Therefore, the correction pattern
33A is the lower electrode layer 33
Is configured to increase the size. Correction pattern
In the layout, there is a margin between the lower electrode layers 33 in the layout.
It is arranged on the connection side, but is not limited to this.
It may be arranged on the opposite side to the above-mentioned position. The lower layer of reality
In the planar shape of the electrode layer 33, the corners of the square shape drop considerably
, So as to have a roundness as a whole. Thus, (1-1) MISFETQs for memory cell selection and
A series circuit with a stacked information storage capacitor C
In the DRAM 1 forming the memory cell, the stacked
The surface of the lower electrode layer 33 of the information storage capacitor C having the structure
A correction pattern 33A for increasing the product is formed. to this
The region where the space between adjacent lower electrode layers 33 is large (complementary
Exposure of photolithography technology
Due to the diffraction phenomenon at the time of light and the reflected light from the word line 26,
The size of the etching mask for processing the lower electrode layer 33
Can be reduced (the size is reduced in advance).
The surface area of the lower electrode layer 33
Secure and charge of the stacked information storage capacitor C
The amount of accumulation can be increased. As a result, alpha ray software
The error withstand voltage can be improved and the area of the memory cell M can be reduced.
Thus, the degree of integration of the DRAM 1 can be improved. The dielectric film 34 basically has a lower electrode layer (polycrystalline silicon).
Silicon nitride deposited on the upper layer (on the surface) of film 33 by CVD
Film 34A, silicon oxide film obtained by oxidizing silicon nitride film 34A at high pressure
It has a two-layer structure in which 34B are laminated. actually,
The dielectric film 34 is formed on the surface of the polycrystalline silicon film as the lower electrode layer 33.
Natural silicon oxide film (very thin, less than 3 [nm])
(Not shown in the figure) is formed.
Three layers in which a silicon oxide film 34A and a silicon oxide film 34B are sequentially laminated
It has a structure. The silicon nitride film 34 of the dielectric film 34
Since A is deposited by the CVD method, the underlying polycrystalline silicon film
Regardless of the crystal state and step shape of the layer electrode layer 33).
Can be formed under independent process conditions.
That is, the silicon nitride film 34A oxidizes the surface of the polycrystalline silicon film.
Compared to a silicon oxide film formed by
Leakage current is very low because the number of defects per unit area is small.
Few. Moreover, the silicon nitride film 34A is compared with the silicon oxide film.
It has the characteristic of high dielectric constant. Silicon oxide film 34B is very good
The silicon nitride film 34 can be formed of a high quality film.
The characteristics of A can be further improved. Also,
As described later in detail, the silicon oxide film 34B is formed by high-pressure oxidation (1.5 to 10
[Atmospheric pressure]), so the acid is shorter than normal pressure oxidation.
Formation time, that is, heat treatment time. Oxidation
The silicon film 34B is thin (for example, 2 nm or less) and has a normal pressure (1
(Atmospheric pressure))
When it is within the range, it can also be formed by normal pressure oxidation. The dielectric film 34 extends along the upper surface and side walls of the lower electrode layer 33.
And is formed by utilizing the side wall of the lower electrode layer 33.
Earn area in the direction. The increase in the area of the dielectric film 34
The amount of charge stored in the stacked information storage capacitor C is
Can be improved. The planar shape of this dielectric film 34 is
The upper electrode layer is defined by the planar shape of the upper electrode layer 35 and substantially
It has the same shape as 35. The upper electrode layer 35 is provided with a lower electrode with a dielectric film 34 interposed therebetween.
It is provided on the layer 33 so as to cover it. Upper electrode
The layer 35 includes information on the stacked structure of another adjacent memory cell M.
The information storage capacitor C is formed integrally with the upper electrode layer 35.
I have. A power supply voltage of 1/2 Vcc is applied to the upper electrode layer 35.
You. The upper electrode layer 35 is, for example, polycrystalline silicon deposited by a CVD method.
The polycrystalline silicon film is formed of a film and has a reduced resistance value.
An n-type impurity has been introduced. The upper electrode layer 35 is, for example,
It is formed with a thickness equal to or less than the lower electrode layer 33.
You. Thus, the (11-7) interlayer insulating film (base insulating film) 31
A lower electrode layer 33 formed thereon, on the interlayer insulating film 31 and
The dielectric film 34 formed on the surface of the lower electrode
A switch composed of an upper electrode layer 35 formed on a dielectric film 34
DRAM1 having a capacitive element C for storing information with a tacked structure
Of the information storage capacitor C having the stacked structure.
The dielectric film 34 is composed of a composite film having a silicon nitride film 34A,
The interlayer insulating film 31 is coated with an inorganic silane gas and a nitrogen oxide gas.
It is composed of a silicon oxide film deposited by CVD as a source gas.
You. With this configuration, the stacked structure information storage
Shrinkage of the interlayer insulating film 31 with respect to the dielectric film 34 of the capacitive element C
Only between the dielectric film 34 and the interlayer insulating film 31.
Since the generated stress can be reduced, the dielectric film
34 between the lower electrode layer 33 and the upper electrode layer 35.
Leakage current and improve electrical reliability.
As well as step coverage of the interlayer insulating film 31
High uniformity of the film thickness of the interlayer insulating film 31,
The lower electrode layer 33 on the insulating film 31 and the conductive layer thereunder (for example,
To increase the dielectric strength between the gate electrode 26 and the word line 26).
Can be. The memory cell M is shown in FIG. 1, FIG. 6, FIG.
One other memory cell adjacent in the column direction as shown in the figure
M is connected. That is, two adjacent columns in the column direction
The memory cell M is one of the respective memory cell selecting MISFFTQs.
The other n-type semiconductor region 28 is integrally formed, and the portion is centered.
And a reverse pattern. These two memory cells
The memory cells M are arranged in the row direction.
The other two memory cells M adjacent to each other in the direction
They are displaced by one-half pitch. One n of MISFETQs for memory cell selection of memory cell M
The type semiconductor region 28 is complementary as shown in FIGS.
The sex data line (DL) 50 is connected. Complementary data line
Reference numeral 50 denotes a connection hole 40 formed in each of the interlayer insulating films 36, 39, and 40.
A is connected to the n-type semiconductor region 28 through A. Complementarity
The connection between the data line 50 and the n-type semiconductor region 28 is n+Type semiconductor
This is performed with the region 41 interposed. Each of the interlayer insulating films 36 and 39 is deposited by, for example, a CVD method.
It is formed of a silicon oxide film. Interlayer insulation film 40 flows
Oxide containing phosphorus and boron that can be planarized by sputtering
It is composed of a membrane (BPSG). The interlayer insulating film 39 is
Introduced into the inter-layer insulation film 40 to secure the edge withstand voltage and the upper layer
Provided to prevent the leakage of B or P into the device.
Have been. The complementary data line 50 is formed of a transition metal film (barrier metal film).
Film) 50A, aluminum film or aluminum alloy film 50B,
Transition metal film (protective film) 50C each three layers laminated sequentially
It is composed of The lower transition metal film 50A of the complementary data lines 50
Means that the aluminum film 50B and the n-type semiconductor region 28 (actually n
+Single-crystal silicon precipitates at the connection with the type semiconductor region 41),
It is configured to prevent the resistance of the connection from increasing.
Have been. That is, the lower transition metal film 50A is a so-called barrier
Used as a metal film. This lower transition metal film
50A is formed before the upper aluminum film 50B is formed.
The melting temperature of the aluminum film 50B.
It is possible to use a CVD method at a temperature close to or higher than
it can. Specifically, the lower transition metal film 50A is deposited by the CVD method.
WSiTwoUse a membrane. The lower transition metal film 50A is
For example, TaSiTwoFilm or TiN film (that is,
The transition metal film of the embodiment is a transition metal film, a transition metal silicide film and
Transition metal nitride film). Transition of lower layer deposited by CVD method
The transfer metal film 50A is a portion having a large step shape of the base, particularly,
Step coverage at the connection of the complementary data lines 50
It can be greatly improved. The lower transition metal film
50A has low resistance when deposited by low temperature sputtering.
For the purpose of reducing and stabilizing, a high temperature of about 900 [° C]
Heat treatment must be applied. Lower transition metal film 50A
Represents p in the n-type semiconductor region 28 and the peripheral circuit region.+Mold semiconductive
Connected to the body region (38) and formed on the interlayer insulating film 40
Therefore, the heat treatment at a high temperature causes mutual expansion of impurities.
This causes dispersion and increases the resistance value at each connection portion.
Also from this point, the lower transition metal film 50A has a low resistance.
CV not less than 650 ° C and not more than 900 ° C that does not require heat treatment
It is desirable to form by D method. The middle aluminum film 50B of the complementary data line 50
Is basically used as the main part of the wiring and has a low resistance value.
It is formed of a material. The aluminum film 50B
When using an alloy film of Cu, Cu, Cu and
Add Si. Cu reduces migration phenomena
For example, about 0.5% by weight
I have. Si is added to reduce alloy spike phenomenon
For example, about 1 to 1.5 [% by weight] is added.
The aluminum film 50B is deposited by, for example, a sputtering method.
You. The upper transition metal film 50C of the complementary data line 50 is mainly
Aluminum hillrock phenomenon deposited on the surface of the minium film 50B
It is formed for the purpose of reducing. Also, the upper transition gold
The metal film 50C sets the reflectance of the surface of the complementary data line 50 to aluminum.
Compared with the case of the surface of the
Diffraction phenomenon at the time of exposing the etching mask that processes the data line 50
And the reflected light from the step of the adjacent ground
The size of the mask is reduced.
Is configured. The upper transition metal film 50C is
Unlike the transfer metal film 50A, after forming the aluminum film 50B
The aluminum film 50B is not melted.
It is deposited by temperature sputtering. Upper transition metal film
50C substantially reduces the resistance of the complementary data line 50
It is not necessary to use high-temperature heat after deposition by sputtering.
No processing is required. The upper transition metal film 50C is made of MoS
iTwoIt is formed of a film. The upper transition metal film 50C is
Other transition metal films such as WSiTwo, TaSiTwo, TiSiTwoWith membrane etc.
It may be formed. As described above, (3-2) the base surface having the step shape
(40) DRAM with complementary data line (wiring) 50 extending on it
In 1, the complementary data lines 50 were deposited by a CVD method.
Transition metal film 50A, aluminum film deposited by sputtering
(Or its alloy film) A composite film in which each of 50B is sequentially laminated
Constitute. With this configuration, the aluminum film 50B
The resistance value is small, and the signal transmission speed of the complementary data line 50 is increased.
Information writing operation speed, information reading speed
It is possible to increase the speed of the feeding operation, and
The transition metal film 50A has a step coverage at the step portion of the base.
To reduce disconnection failure of the complementary data line 50
Therefore, electrical reliability can be improved.
Further, the lower transition metal film 50A of the complementary data line 50 is
Precipitation of Si at the junction with Si such as n-type semiconductor region 28
Elephants can be prevented. (4-3) The complementary data line 50 is formed by a CVD method.
Transition metal film 50A deposited, aluminum deposited by sputtering
Of the transition metal film 50C deposited by the sputtering method
Each is composed of a composite film having a three-layer structure in which layers are sequentially laminated. This structure
As a result, the upper transition metal film 50 of the complementary data line 50 is formed.
C can prevent the occurrence of aluminum hill rock
Wear. Also, the transition metal film 50C on the upper layer of the complementary data line 50
Reduces the reflectance of the surface of the aluminum film 50B or its alloy film.
Etching mask to reduce and process complementary data lines 50
Diffraction Phenomenon During Exposure During Forming
Excessive light exposure can be reduced,
The processing accuracy of the complementary data line 50 can be improved. Ma
The upper transition metal film 50C of the complementary data line 50 is
At a lower temperature than the melting point of the lower aluminum film 50B
The aluminum film 50B is melted because it can be deposited
I will not let you. The complementary data line 50 is the first layer in the manufacturing process.
It is formed by a wiring forming step. This complementarity data
The line 50 is used to reduce the step shape peculiar to the multilayer wiring structure.
The wiring forming process of the second layer in the manufacturing process of the upper layer
Formed with a smaller thickness than the wiring (53)
ing. The DRAM 1 of this embodiment has a two-layer wiring structure (two-layer wiring structure).
Aluminum wiring structure). Also, DRAM
1 is a three-layer gate wiring structure (three-layer polycrystalline silicon film structure).
Has been established. As shown in FIG. 1 and FIG.
An interlayer insulating film 51 is interposed in the upper layer of
The line (WL) 53 is configured to extend in the row direction.
You. Although not shown, the shunt word line 53 is
In a predetermined area corresponding to every 100 memory cells M,
As described above, it is connected to the word line (WL) 26. Wah
The plurality of gate lines 26 extend in the extending direction in the memory cell array 11B.
The shunt word line 53 is divided into
Are connected to each of the plurality of word lines 26. Shi
The word line 53 for shunt reduces the resistance value of the word line 26,
In each of the information writing operation and the information reading operation, the memory
The configuration is such that the selection speed of the cell M can be increased. As shown in FIG. 1, the interlayer insulating film 51 is made of silicon oxide.
Film (deposited insulating film) 51A, silicon oxide film (coated insulating film) 5
1B and silicon oxide film (deposited insulating film) 51C are sequentially laminated
It consists of a composite membrane. The lower silicon oxide film 51A of the interlayer insulating film 51 and the upper silicon oxide film
Each of the elemental films 51C is a silicon oxide film deposited by plasma CVD.
Formed. The middle silicon oxide film 51B is made of SOG (Spin On Glas
s) Formed with a silicon oxide film that has been applied and then baked
To achieve. This middle silicon oxide film 51B is the surface of the interlayer insulating film 51.
It is formed for the purpose of flattening the surface. Silicon oxide in the middle layer
The membrane 51B is applied, baked, and
Etching process and bury only in the recess at the step
It is formed as follows. In particular, the middle silicon oxide film 51B is
Connection between first layer wiring (50) and second layer wiring (53)
Etching process so that the remaining portion (connection hole 52) does not remain.
Has been removed. That is, the middle silicon oxide film 50
B is the wiring (50, 53 husband)
Each) to reduce corrosion of aluminum film
Have been. The shunt word line 53 is connected to the complementary data line 50.
Is formed with a structure similar to the cross-sectional structure of
Film 53A, aluminum film (aluminum alloy film) 53B, transition
A three-layer composite film in which each of the transfer metal films 53C is sequentially laminated.
It is configured. Transition gold under the word line 53 for shunt
Each of the metal film 53A and the upper transition metal film 53C is a lower wiring.
The complementary data lines 50 form an aluminum film 50B.
Therefore, it is deposited by a sputtering method that can be deposited at a low temperature.
You. Each of the lower transition metal film 53A and the upper transition metal film 53C
Is, for example, MoSiTwoIt is formed of a film. Lower transition metal film 5
3A mainly reduces the resistance at the connection with the lower wiring (50)
It is formed to be. The upper transition metal film 53C is mainly
To reduce aluminum hill rock and lower the reflectance
It is formed to reduce the folding phenomenon. Wafer for shunt
As described above, the lead line 53 is a lower layer wiring, for example, complementary data.
Formed to be thicker than the thickness of wire 53, reducing resistance
It is configured to be. The connection of the word lines 26 and the shunt word lines 53
FIGS. 9 (plan view of the connection region) and FIG. 10 (FIG. 9)
(A cross-sectional view taken along the section line II-II)
It is performed through 50D. That is, the shunt
The lead wire 53 is once drawn to the intermediate conductive layer 50D through the connection hole 52.
Dropped. The connection hole 52 is formed by anisotropic etching.
Lower connection hole 52A having a substantially vertical step shape and
With a loose step shape formed by isotropic etching
It is constituted by a side connection hole 52B. That is, the connection hole 52 is
Improve step coverage of shunt word line 53,
It is configured so that disconnection failure can be reduced. And
The intermediate conductive layer 50D is provided in a direction in which the shunt word line 53 extends.
In a different direction from the connection hole 52.
The connection hole 40A is connected to the word line 26.
The intermediate conductive layer 50D is the same conductive layer as the complementary data line 50,
It is formed in the first-layer wiring forming step. This middle guide
The electrical layer 50D connects the shunt word line 53 and the word line 26.
The step shape at the time of connection is reduced,
It is configured to prevent disconnection failure. Each of the connection portions of the intermediate conductive layer 50D and the word line 26
Around the connection hole 40A, the information of the stacked structure
The upper electrode layer 35 of the storage capacitor C is the memory cell array 11
Stretched from B. Intermediate conductive layer 50D and word line 26
The connection hole 40A for connecting the upper electrode
In the region where the opening 35A formed in the layer 35 is provided
Are located. The memory cell array 11B is a memory cell array.
MISFETQs for selecting a device and a capacitor for storing information in a stacked structure
Each of the daughters C is stacked so that the step shape is larger than other areas.
The shunt word, as described above.
An upper electrode layer 35 is provided in each connection region of the line 53 and the word line 26.
It is stretched. That is, the upper electrode layer 35
Between the array 11B and each of the connection areas,
Second wiring (eg, intermediate conductive layer 50D) 50, second wiring
(For example, shunt word line 53)
Is configured to be able to be flattened. Thus, the (22-13) complementary data line 50 and the word line
A plurality of memory cells M are arranged at the intersection with
The memory array 11 and the memory above the word line 26.
Areas other than recell array 11 (actually memory cell array
11 (a predetermined area in FIG. 11) connected to the word line 26.
In the DRAM 1 in which the word line 53 for
Around the connection between the shunt line 26 and the shunt word line 53.
A difference relaxation layer (the drawn-out upper electrode layer 35) is provided. this
According to the configuration, the word line 26 and the shunt word line 53
And the memory cell array 11 (actually,
The step between the memory cell M and the memory cell M is reduced.
And shunt word lines 53 extending over the respective regions.
Processing wiring and connection holes (40A and 52) for connecting the wiring
Photolithography technology can be stabilized
Therefore, disconnection failure and conduction failure at the step portion of the wiring
The yield can be reduced, and the production yield can be improved. Further, the step relaxation layer (35) is a stack of the memory cell M.
Same as the upper electrode layer 35 of the information storage capacitance element C having a dotted structure
It is formed using a conductive layer. With this configuration, the step relaxation layer is formed.
Since it can be formed by the upper electrode layer 35, the step reduction layer
DRAM1 manufacturing steps
Can be reduced. As shown in FIGS. 9 and 10, the upper electrode
The layer 35 includes the memory cell array 11B and the shunt word.
Between each of the connection areas between the line 53 and the word line 26.
It is connected to the power supply wiring 50E to which the source voltage 1/2 Vcc is applied.
You. 6 and 11 (cut along the line III-III in FIG. 6).
(The upper layer is omitted from the wiring 50)
As shown in the figure, the edge around the memory cell array 11B is
A driving area GL is provided. Guard ring area
GL surrounds the memory cell array 11B and is mainly
Plate potential generation circuit (VBBGenerator circuit) Released from 1603
It is configured to capture minority carriers.
The guard ring area GL is the memory cell array 11B and peripheral circuits
And is located between. Guard ring area GL
In the insulating film for isolation 23 and the p-type channel stopper region 24A
Within the defined area, p-Main surface of mold well region 22
N-type semiconductor region 28 (and n+Type semiconductor region 33
A). That is, the guard ring area GL
Uses the shape of the memory cell M and repeats the memory cell M
MISF for memory cell selection so as not to disturb the return pattern
FTQs have the same dimensions as the gate width.
You. Although not shown in the guard ring area GL, power supply wiring
A power supply potential of 1/2 Vcc is applied via (50). The husband of the memory cell array 11B and the guard ring region GL
The step reduction layers (33D, 35D) are arranged between them.
The step reducing layers are arranged in two steps in this embodiment.
In other words, the step reduction layer is formed from the memory cell array 11B side.
The first step alleviation layer (33D and
35D) and the second step reduction layer (35D) are sequentially arranged.
ing. The first step reduction layer (33D and 35D) has a two-step structure.
Has been established. The lower layer of the first step relief layer (33D and 35D)
The step reduction layer 33D is a stacked information storage capacitor.
C is composed of the same conductive layer as the lower electrode layer 33, and the upper step
The relaxation layer 35D is formed of the same conductive layer as the upper electrode layer 35.
You. The second step relief layer (35D or 33D may be used)
Same as the upper electrode layer 35 of the information storage capacitor C having the locked structure.
It is composed of one conductive layer. In other words, the step reduction layer (33
D, 35D) from the memory cell array 11B to the guard ring area G
It is configured to gradually reduce the step shape toward L
I have. Thus, the (18-10) MISFFTQs for memory cell selection
Stacked information storage capacity stacked on top of it
Memory cells M, which are composed of a series circuit with an element C, are arranged in a matrix.
The memory cell array 11B in which
DRAM1 with peripheral circuits arranged in the peripheral area of array 11B
Between the memory cell array 11B and peripheral circuits.
Lower electrode layer 3 of stacked storage capacitor C for information storage
3, formed of the same conductive layer as the upper electrode layer 35 or both layers
The step reduction layers (33D, 35D) are provided. With this configuration,
Steps between the memory cell array 11B and peripheral circuits
Relaxed by the step relaxation layers (33D, 35D),
Extending wiring (complementary data line 50 or shunt word line
53) Stabilize photolithography technology for processing
Disconnection failure at the step portion of the wiring
, And the production yield can be improved. (19-11) The memory cell array 11B and the peripheral circuit
Between the road and the former,
The lower electrode layer 33 and the upper layer
The first step reduction layer (33D) formed of the same conductive layer as the pole layer 35
And 35D), the same as the lower electrode layer 33 or the upper electrode layer 35
Each of the second step reduction layers (33D and 35D) formed of conductive layers
Are sequentially arranged. With this configuration, the memory cell array
A step between the peripheral circuit and the first step reducing layer;
(33D and 35D), the second step reduction layer (33D and 35D) respectively
More production yields because it can be relaxed in stages
Can be improved. The (20-12) memory cell array 11B and peripheral circuits
A guard ring region GL is arranged between
(33D, 35D) are arranged in the guard ring area GL. This
The area occupied by the step reduction layers (33D, 35D)
All or part of the area is occupied by the guard ring area GL
Occupation of the step reduction layer (33D, 35D)
The area can be reduced and the degree of integration can be improved. The substantial part of the DRAM 1 including the upper layer of the shunt word line 53
The entire surface is passivated as shown in FIG.
A membrane 54 is provided. FIG. 1 is not shown in detail.
However, as described later, the passivation film
See figure) Silicon oxide film (54A) deposited by CVD method, plasma
Silicon nitride film (54B) deposited by CVD method, applied resin film
(For example, polyimide resin film 54C).
It is composed of a composite membrane. On the passivation film 54
The resin layer (54C) is mainly used for the memory cell array 11B,
In order to reduce the incidence of α-rays on each part of the edge circuit
Has been established. In other words, the resin film 54C has an α-ray soft error
It is configured to improve the breakdown voltage. The resin film 54
C is bonded to the external terminal BP arranged around DRAM1.
The area where the wire 4 is connected is removed.
A detailed description of this area will be described later. The CMOS which constitutes the peripheral circuit of the DRAM 1 is shown in FIG.
It is configured as shown on the right. CMOS n-channel MI
SFETQn is composed of an insulating film 23 for element isolation and a p-type channel
In the region surrounded by the wrapper region 24A, p-Mold
It is formed on the main surface of the L region 22. n-channel MISF
ETQn is mainly-Type well region 22, gate insulating film 25,
A pair of gate electrodes 26, a source region and a drain region.
n-type semiconductor region 28 and a pair of n+Type semiconductor region 37
Have been. p-Mold well region 22, gate insulating film 25, gate electrode 26 and
And each of the n-type semiconductor regions 28 is a memory cell selecting MI.
Consists of the same manufacturing process as SFETQs, and has substantially the same function
have. In other words, the n-channel MISFETQn has the LDD structure
It is composed of High impurity concentration n+Type semiconductor region 37 is a source region and a drain region.
Are configured to reduce the specific resistance of each of the in-regions.
I have. n+The semiconductor region 37 is formed on the side wall of the gate electrode 26 by itself.
Specified by the sidewall spacer 29 formed by the alignment
Formed with self-alignment with the gate electrode 26
You. N used as source region+Type semiconductor region 37
Wiring 50 to which reference voltage Vss is applied is connected through connection hole 40A
Have been. N used as drain region+Type semiconductor
The output signal wiring 50 is connected to the area 37 through the connection hole 40A.
Has been continued. n+Type semiconductor region 37 and wiring 50 are connected to connection hole 40
N formed in the area specified by A+Type semiconductor region 41
They are electrically connected with each other. The wiring 50 is the complementary
The conductive data lines 50 are formed of the same conductive layer. CMOS p-channel MISFETQp is an insulating film 23 for element isolation.
N in the area surrounded by-Type well region 21
The main surface is configured. p-channel MISFETQp
Then n-Mold well region 21, gate insulating film 25, gate electrode 2
6. A pair of p-type semiconductors that are the source and drain regions
Body region 30 and a pair of p+Type semiconductor region 38
You. n-Type well region 21, gate insulating film 25 and gate electrode 26
Are MISFETQs for memory cell selection, n channel
It has substantially the same function as each of the MISFETQn. The low impurity concentration p-type semiconductor region 30 is a p-channel semiconductor having an LDD structure.
The flannel MISFETQp is formed. Used as source area
High impurity concentration p+Through the connection hole 40A
The wiring 50 to which the power supply voltage Vcc is applied is connected.
P used as drain region+Type semiconductor region 38
Integrated with the output signal wiring 50 through the connection hole 40A
The output signal wiring 50 is connected. This output
The wiring 50 for the signal passes through the connection hole 52 and the wiring 53 on the upper layer.
Is connected. The wiring 53 is the shunt word line 53
And the same conductive layer. FIG. 12 shows a cross-sectional structure of the DRAM 1 including an output stage circuit.
FIG. In FIG. 12, the left side of FIG.
Similarly, a memory cell M of the memory cell array 11B is shown.
I have. The memory cell M is basically p as described above.-Type well
The region 22 is provided. p-The mold well region 22 has
P formed with lower impurity concentration-Mold semiconductor substrate 20
A potential barrier region is formed between
-The breakdown voltage can be improved. Memory of memory cell M
The MISFETQs for cell selection is the p-type channel stopper region
The main part of the p-type semiconductor region 24B formed by the lateral diffusion of 24A
Since it is formed on the surface, p-Compared to the mold well region 22
It is formed in a region where the impurity concentration is high. This p-type semiconductor
The body region 24A is a p-type channel stopper region 2 as described above.
The impurity concentration is increased to some extent by the lateral diffusion of 4A.
However, if necessary, only the new memory cell array 11B
Selectively introduces p-type impurities (impurities for adjusting the threshold voltage)
And the impurity concentration may be further increased. Of impurities
The introduction is performed by, for example, an ion implantation method. p-type semiconductor region 24B
Sets high threshold voltage of MISFETQs for memory cell selection
doing. MISFETQ for memory cell selection of DRAM1 of this embodiment
s is for a gate length of 1.0 [μm] (effective channel length is 0.7
0.8 [μm]) and the threshold voltage is about 0.8 [V] or more.
Is set to a higher value. Memory cell of the memory cell M
MISFETQs for selection are not connected to power supply wiring (Vss or Vcc).
State word line 26 or shunt word line 53 (Vss)
At the intersection, based on the noise generated in the power supply wiring,
The potential of the word line 26 or the shunt word line 53 is
Floating and malfunction (erroneous conduction) occur, so the threshold voltage
Is set high. Such unselected memory
The phenomenon in which the cell M malfunctions occurs remarkably with high integration.
You. In the right side of FIG. 12, the peripheral circuits are the same as in FIG.
Shows CMOS. This CMOS n-channel MISFETQn,
Each of the p-channel MISFETQp is a column address decoder
Circuit 12, sense amplifier circuit 13, etc.
It is used in indirect peripheral circuits such as circuit circuits. n channel
MISFETQn reduces short channel effects associated with high integration
In order to p-Impurity concentration is higher than that of the semiconductor substrate 20
p-It is provided in the mold well region 22. Also, n channel
MISFETQn, especially part of the direct peripheral circuit (α-ray soft error
N-channel MISFETQn of the circuit to secure
P as well as-It is provided in the mold well region 22. n
The channel MISFETQn is a standard (standard) MISFE in DRAM1.
Configured as T, p-To the mold well region 22 and its main surface
The threshold voltage adjustment impurity concentration.
Threshold voltage is set. n-channel MISFETQn
The gate length differs depending on the circuit used, but the gate length is 1.
When converted by 0 [μm] (effective channel length is 0.7 to 0.8
[Μm]), and the threshold voltage is in the range of about 0.3 to 0.8 [V].
Is set. That is, the n-channel MISFETQn
Since high-speed operation performance is required, transfer conductance
The threshold voltage is set to be higher. In the center of FIG. 12, an n-channel constituting an output stage circuit is provided at the center.
This shows the flannel MISFETQo. This n-channel MISFETQo
Is basically the same as the n-channel MISFETQn of the peripheral circuit.
It has a similar LDD structure. That is, n-channel MIS
FETQo is p-Type semiconductor substrate 20, gate insulating film 25, gate
A pair of n-type electrodes 26, a source region and a drain region
Semiconductor region 28 and a pair of n+Type semiconductor region 37
I have. p-Type semiconductor substrate 20, p-Compared to the mold well region 22
N-channel MISFFTQo channel formed with low impurity concentration
It is used as a flannel formation region. This n-channel MI
SFETQo constitutes a push-pull type output stage circuit, for example.
I have. The n-channel MISFETQo depends on the circuit used and the requirements.
The gate length differs depending on the specification
When converted in [μm] (effective channel length is 0.7 to 0.8
[Μm]) and the threshold voltage is as low as about 0.3 [V] or less.
Is set to a higher value. That is, n-channel MISFETQo
Reduces the body effect constant and increases the output signal level
It is configured as follows. Also, the p-Type semiconductor substrate 20
Use is especially recommended for manufacturing processes due to the low impurity concentration on the surface.
Process, set the threshold voltage of n-channel MISFETQo low.
There are features that are easy to determine. Originally adopts twin well method
If you want to reduce the number of manufacturing processes,
MISFETs Qn and Qo have p-Type well area
22 are formed, but the DRAM 1 of this embodiment is based on the above-described reason.
Come p-Part of the main surface of the mold semiconductor substrate 20 is used. As described above, the memory cell selection of the (13-8) memory cell M is performed.
Selective MISFETQs, n-channel MISFETQn constituting peripheral circuits
And an n-channel MISFETQo forming an output stage circuit
In DRAM1, each channel type is set to the same n-type, and
Each gate length (effective channel length) size is substantially the same.
MISFETQs for memory cell selection, n channels
Respective thresholds of channel MISFETQn and n-channel MISFETQo
Reduce the voltage sequentially. With this configuration, the power is generated
Based on the noise, the memory cell of the non-selected memory cell M
MISFETQs for device selection can be prevented from erroneously conducting.
Therefore, each of the information writing operation and the information reading operation
And the electrical reliability can be improved.
The substrate effect constant of n-channel MISFETQo in a circuit
The output signal level and drive external devices.
Dynamic capacity can be improved, and further, the memory cell
M chips for peripheral circuits compared to M memory cell selection MISFETQs
Since the threshold voltage of channel MISFETQn has been lowered,
Improving the conductance and increasing the operating speed
it can. (15-9) The n-channel MISFET of the output stage circuit
Qo to p-The memory cell formed on the main surface of the semiconductor substrate 20
MISFETQs for memory cell selection of M, n-channel of peripheral circuit
Each of the MISFETs Qn is-On the main surface of the semiconductor substrate 20
P with higher impurity concentration-Lord of mold well area 22
Make up the surface. With this configuration, n channels of the output stage circuit are provided.
The flannel MISFETQo is p-Type semiconductor substrate 20 has low impurity concentration
So p-Impurity concentration on the main surface of the
Easily lower threshold voltage by controlling impurity concentration
And a memory cell selection of the memory cell M.
MISFETQs for selection and n-channel MISFETQn for peripheral circuits
Is p-Type semiconductor substrate 20 and p-Impurity concentration with the type well region 22
It is possible to form a potential barrier region due to the difference in power
It is possible to improve the α-ray soft error withstand voltage.
Wear. Improvement of α-ray soft error withstand voltage
Since the area occupied by the cell M can be reduced, the degree of integration
Can be improved. The word driver circuit (WL) 15 of the DRAM 1 (see FIG. 3)
Figure 13 (Equivalent circuit)
Figure). In Fig. 13, ▲ ▼ indicates a word clear message.
No., WD is the word decode signal, XI is the word boost signal
, XP is the self-boost node precharge signal.
You. XIJL is the discharge signal of the word boost potential
is there. XIJ0, XIJ9, XNK, BXlI, BX2I
This is a decode signal of the data circuit. Break in generator circuit
The MISFETs Qc1 and Qc2 for high withstand voltage cut
Each is arranged. MISFET Qc1, Qc2 for high withstand voltage cut
Are composed of n channels. The generator circuit includes a self-boost node
Precharged by charge signal XP (= Low)
And the node N is pre-set to the power supply potential Vcc-threshold voltage Vth.
Charged. Next, the word boost potential XI is
When the voltage rises above Vcc, the gate of the n-channel MISFET Qd
Due to the coupling of the capacitance, the node N becomes a stray capacitance.
It rises to a high potential (about 10 [V] or more) determined by this.
The drain region of each of the high breakdown voltage cut MISFETs Qc1 and Qc2
The region is connected to the node N which has risen to the high potential. MISFETs Qc1 and Q for high withstand voltage cut of the generator circuit
Each of c2 is configured as shown in Fig. 14 (plan view of the main part).
ing. Each of the high-breakdown voltage MISFETs Qc1 and Qc2 is an element
In the insulating film for isolation 23 and the p-type channel stopper region 24A
In the enclosed area, p-Lord of mold well area 22
The surface is configured. In other words, MISFETQc for high withstand voltage cut
1, each of Qc2 is p-Mold well region 22, gate insulating film 25,
A pair of a gate electrode 26, a source region and a drain region
N-type semiconductor region 28 and a pair of n+Composed of semiconductor region 37
Have been. The gate electrode 26 includes the inter-element isolation insulating film 23 and
In the region surrounded by the p-type channel stopper region 24A
Thus, the planar shape is formed in a ring shape. Gate electrode
26 is provided with a T-shaped branch at a part thereof.
The part (26) formed on the insulating film
No. wiring 50. Used as drain region
N+Type semiconductor region 37 is the ring-shaped gate
The electrode 26 is provided in an area defined by the periphery.
You. The other n used as the source region+Semiconductor region
37 denotes an element isolation insulating film 23 and a p-type channel
In the region surrounded by the wrapper region 24A,
It is provided on the outer periphery of the gate electrode 26 having a ring shape. Toes
MISFETs Qc1 and Qc2 for high withstand voltage cut each have one of n+
Channel formation region around the semiconductor region 37
The other n+Are provided with a mold semiconductor region 37. Previous
The other n+Type semiconductor region 37 so that a high potential is applied.
, But one of n+The type semiconductor region 37 is a type p channel.
Layout that does not touch the stopper area 24A.
I have. N of each of the high-breakdown-voltage cut MISFETs Qc1 and Qc2+Mold semiconductive
The signal wiring 50 is connected to the body region 37 through the connection hole 40A.
ing. One of n+Type semiconductor region 37 (high voltage side)
The outer peripheral end of the signal wiring 50 is placed on the gate electrode 26 (or the source
(Area side). The ring-shaped
The gate electrode 26 is located at the center of the ring shape due to the step shape.
In the portion, the surface of the interlayer insulating film 40 underlying the signal wiring 50
A recess is formed in the substrate. This recess processes the signal wiring 50
Signal during exposure of etching mask (photoresist film)
Due to the diffraction phenomenon based on the reflection of the surface of the wiring 50, etc.
This reduces the size of the switching mask. Accordingly
And the signal wiring 50 (etching mask to process it)
Processing should be performed in an area where the diffraction phenomenon does not occur
You. Thus, the (32-17) p-type channel stopper region 24
DRA with high withstand voltage cut MISFETQc surrounded by A
In M1, the high withstand voltage cut MISFET Qc
One of the applied n+Channel around the semiconductor region 37
Region (p-Low voltage is applied via the mold well region 22)
The other n+Surrounded by the semiconductor region 37, and
The gate electrode is formed with the gate insulating film 25
26, and the p-type channel stopper region
24A to the other n+Around the periphery of the semiconductor region 37
To achieve. With this configuration, the high withstand voltage cut MISFET Qc
One of n+Semiconductor region 37 is a p-type channel stopper region
Since it does not contact 24A, one of n+Type semiconductor region 37
Improved withstand voltage and high withstand voltage of MISFETQc for high withstand voltage cut
Can be (34-18) One of the MISFETQc for high withstand voltage cut
N+Outside the upper signal wiring 50 connected to the semiconductor region 37
The peripheral end is arranged on the gate electrode 26 or the other n+
The semiconductor device is pulled out to the top of the mold semiconductor region 37 and arranged. In this configuration
From the one n+Semiconductor region 37 and the signal distribution of the upper layer
The step of the gate electrode 26 is formed on the surface of the interlayer insulating film 40 between the line 50 and the like.
A concave shape is formed in the difference shape, and the signal of the upper layer is caused by this concave shape.
Etching mask for processing wiring 50
The size is reduced by the light reflected on the surface of the wiring formation layer (50).
Signals in the upper layer can be reduced
The processing accuracy of the wiring 50 can be improved. External terminals (bonding) arranged at the outermost periphery of the DRAM1
Fig. 15 (enlarged cross section of the main part)
Figure). As shown in FIG. 15, the external terminal BP is manufactured.
It is formed by the second layer wiring 53 in the process. DRAM
The wiring 53 used inside 1 is
Transition metal film 53A, aluminum
And a three-layer structure in which each of a transition film 53B and a transition metal film 53C is laminated.
Has been established. On the other hand, the external terminal BP is
After removing the transfer metal film 53C, the lower transition metal film 53A and the middle layer
It has a two-layer structure in which each of the aluminum films 53B is sequentially laminated.
Has been established. The bonding equipment is shown in the table of external terminals BP.
The difference in reflectance between the surface and the surface of the passivation film 54
Thus, the bonding wire 4 is attached to the surface of the external terminal BP.
Positioning for bonding is performed. Wiring of 53
The upper transition metal film 53C has a low reflectance,
Since the difference in reflectance between the external terminal BP and the
The surface has a higher reflectance than the upper transition metal film 53C
The aluminum film 53B is exposed. The surface of the aluminum film 53B of the external terminal BP is exposed.
The process of forming the silicon oxide film 54 of the upper passivation film 54
A and the bonding opening 55 formed in the silicon nitride film 54B.
Performed in the same process as forming (using the same mask
Form). Resin film 54C above passivation film 54
Is larger than that above the bonding opening 55.
A bonding opening 56 of a suitable size is provided. Thus, (7-4) formation on the passivation film 54
Bonding through the bonded opening 55 (and 56)
The same conductive layer as the internal wiring 53 to which the wiring 4 is connected.
In the DRAM 1 having the external terminal BP formed,
Aluminum wiring (or its alloy film) 53B
The transfer metal film 53C is composed of a composite film in which
The external terminal BP is connected to the aluminum with the transition metal film 53C removed.
It is composed of an aluminum film 53B. With this configuration, bonding
In the process, the reflectance of the surface of the external terminal BP is improved,
Due to the difference in reflectance between the terminal BP and the passivation film 54
It is possible to reliably recognize the bonding position of the external terminal BP.
It can reduce bonding defects and assemble DRAM1
The yield of the process can be improved. Also bondi
When the forming wire 4 is formed of aluminum wire,
The surface of the external terminal BP exposes the aluminum film 53B.
Between the external terminal BP and the bonding wire 4
Improve durability and reduce bonding defects
Can be. As a result, the yield of the DRAM1 assembly process can be further improved.
Can be improved. (8-5) The aluminum film 53 of the external terminal BP
The transition metal film 53C on B is formed on the passivation film 54
Within the area defined by the specified bonding opening 55
Remove. With this configuration, the transition of the surface of the external terminal BP
The etching mask for removing the transfer metal film 53C is
Etch to form a bonding opening 55 in the activation film 54
Mask can be used as a mask
To reduce the number of manufacturing steps for DRAM1
be able to. Next, regarding a specific method of manufacturing the above-described DRAM 1, the first method will be described.
6 to 33 (cross-sectional views of main parts for each predetermined manufacturing process)
This will be briefly described with reference to FIG.

【ウエル形成工程】[Well formation process]

まず、単結晶珪素からなるp-型半導体基板20を用意す
る。 次に、前記p-型半導体基板20の主面上に酸化珪素膜6
0、窒化珪素膜61の夫々を順次積層する。酸化珪素膜60
は、約900〜1000[℃]程度の高温度のスチーム酸化法
により形成し、例えば30〜50[nm]程度の膜厚で形成す
る。この酸化珪素膜60はバッファ層として使用される。
前記窒化珪素膜61は不純物導入マスク、耐酸化マスクの
夫々に使用する。窒化珪素膜61は、例えばCVD法で堆積
させ、30〜60[nm]程度の膜厚で形成する。 次に、n-型ウエル領域(21)形成領域の窒化珪素膜61
を除去し、マスクを形成する。このマスクの形成はフォ
トリソグラフィ技術(フォトレジストマスクの形成技
術)及びエッチング技術を用いて行う。 次に、第16図に示すように、前記マスク(61)を用
い、酸化珪素膜60を通してp-型半導体基板20の主面部に
n型不純物21nを導入する。n型不純物21nは、例えば10
13[atoms/cm2]程度の不純物濃度のPを用い、120〜15
0[KeV]程度のエネルギのイオン打込法で導入する。 次に、前記マスク(61)を用い、第17図に示すよう
に、マスクから露出する酸化珪素膜60を成長させ、それ
に比べて厚い酸化珪素膜60Aを形成する。酸化珪素膜60A
は、n-型ウエル領域(21)形成領域だけに形成され、前
記マスク(61)を除去するマスク及び不純物導入マスク
として使用される。酸化珪素膜60Aは、約900〜1000
[℃]の高温度のスチーム酸化法により形成し、例えば
最終的に110〜150[nm]程度の膜厚になるように形成す
る。この酸化珪素膜60Aを形成する熱処理工程によっ
て、前記導入されたn型不純物21nが若千拡散され、n-
型半導体領域(最終的にはn-型ウエル領域21となる)21
Aが形成される。 次に、前記マスク(61)を選択的に除去する。マスク
(61)は例えば熱リン酸で除去する。この後、図示しな
いが、DRAM1の出力段回路のnチャネルMISFETQoの形成
領域(第12図参照)において、不純物導入マスク(例え
ばフォトレジスト膜)を形成する。 次に、第18図に示すように、前記酸化珪素膜60A、前
記不純物導入マスク(図示しない)の夫々を用い、酸化
珪索膜60を通したp-型半導体基板20の主面部にp型不純
物22pを導入する。p型不純物22pは、例えば1012〜1013
[atoms/cm2]程度の不純物濃度のBF2(又はB)を用
い、50〜70[KeV]程度のエネルギのイオン打込法で導
入する。このp型不純物22pは、酸化珪素膜60Aの膜厚を
厚く形成しているので、n-型ウエル領域(21)形成領域
には導入されない。 次に、前記n型不純物21n、p型不純物22pの夫々に引
き伸し拡散を施し、第19図に示すように、n-型ウエル領
域21及びp-型ウエル領域22を形成する。このn-型ウエル
領域21及びp-型ウエル領域22は1100〜1300[℃]程度の
高温度の雰囲気中で熱処理を施すことによって形成す
る。結果的に、p-型ウエル領域22はn-型ウエル領域21に
対して白己整合で形成される。この後、前記出力段回路
の領域に形成された不純物導入マスクを除去する。
First, a p - type semiconductor substrate 20 made of single crystal silicon is prepared. Next, a silicon oxide film 6 is formed on the main surface of the p - type semiconductor substrate 20.
0, each of the silicon nitride films 61 is sequentially laminated. Silicon oxide film 60
Is formed by a steam oxidation method at a high temperature of about 900 to 1000 [° C.], for example, with a film thickness of about 30 to 50 [nm]. This silicon oxide film 60 is used as a buffer layer.
The silicon nitride film 61 is used as an impurity introduction mask and an oxidation resistant mask. The silicon nitride film 61 is deposited by, for example, a CVD method, and is formed with a thickness of about 30 to 60 [nm]. Next, the silicon nitride film 61 in the n -type well region (21) formation region
Is removed to form a mask. This mask is formed using a photolithography technique (a technique for forming a photoresist mask) and an etching technique. Next, as shown in FIG. 16, an n-type impurity 21n is introduced into the main surface of the p -type semiconductor substrate 20 through the silicon oxide film 60 using the mask (61). The n-type impurity 21n is, for example, 10
Using P having an impurity concentration of about 13 [atoms / cm 2 ],
It is introduced by an ion implantation method with an energy of about 0 [KeV]. Next, as shown in FIG. 17, a silicon oxide film 60 exposed from the mask is grown using the mask (61), and a silicon oxide film 60A thicker than that is formed. Silicon oxide film 60A
Is formed only in the n -type well region (21) formation region, and is used as a mask for removing the mask (61) and an impurity introduction mask. The silicon oxide film 60A has a thickness of about 900 to 1000
It is formed by a steam oxidation method at a high temperature of [° C.], for example, so as to finally have a film thickness of about 110 to 150 [nm]. By the heat treatment step of forming the silicon oxide film 60A, the introduced n-type impurity 21n is slightly diffused, and n
Semiconductor region (finally becomes n - type well region 21) 21
A is formed. Next, the mask (61) is selectively removed. The mask (61) is removed with, for example, hot phosphoric acid. Thereafter, although not shown, an impurity introduction mask (for example, a photoresist film) is formed in a formation region (see FIG. 12) of the n-channel MISFET Qo of the output stage circuit of the DRAM 1. Next, as shown in FIG. 18, using the silicon oxide film 60A and the impurity introduction mask (not shown), a p - type semiconductor substrate 20 is formed on the main surface of the p type semiconductor substrate 20 through the silicon oxide film 60. Impurity 22p is introduced. The p-type impurity 22p is, for example, 10 12 to 10 13
BF 2 (or B) having an impurity concentration of about [atoms / cm 2 ] is used, and is introduced by ion implantation at an energy of about 50 to 70 [KeV]. The p-type impurity 22p is not introduced into the n -type well region (21) formation region because the silicon oxide film 60A has a large thickness. Next, the n-type impurity 21n and the p-type impurity 22p are respectively extended and diffused to form an n -type well region 21 and a p -type well region 22, as shown in FIG. The n -type well region 21 and the p -type well region 22 are formed by performing a heat treatment in a high temperature atmosphere of about 1100 to 1300 [° C.]. As a result, the p - type well region 22 is formed in self-alignment with the n - type well region 21. Thereafter, the impurity introduction mask formed in the region of the output stage circuit is removed.

【分離領域形成工程】[Separation region forming step]

次に、前記酸化珪素膜60上、60A上の夫々を含む基板
全面に窒化珪素膜62を形成する。この窒化珪素膜62は不
純物導入マスク及び耐酸化マスクとして使用される。窒
化珪素膜62は、例えばCVD法で堆積させ、100〜150[n
m]程度の膜厚で形成する。 次に、MISFET形成領域間(素子間分離用絶縁膜形成領
域)において前記窒化珪素膜62を除去し、残存する窒化
珪素膜62でマスクを形成する。このマスク(62)の形成
はフォトリソグラフィ技術及びエッチング技術を用いて
行う。この後、前記マスク(62)を用い、第20図に示す
ように、p-型ウエル領域22の主面部に前記酸化珪素膜60
を通してp型不純物24pを導入する。p型不純物24pは、
p-型ウエル領域22の主面上に形成された酸化珪素膜60に
比べて厚い膜厚の酸化珪素膜60Aが形成されているの
で、n-型ウエル領域21の主面部に導入されない。っま
り、p型不純物24pはp-型ウエル領域22の主面部に選択
的に導入される。p型不純物24pは、例えば1013[atoms
/cm2]程度の不純物濃度のBF2を用い、50〜70[KeV]程
度のエネルギのイオン打込法で導入する。なお、p型不
純物24pの導入に際しては前記マスク(62)を加工した
エッチングマスク(フォトレジスト膜)を併用してもよ
い。 次に、前記マスク(62)を用い、それから露出する酸
化珪素膜60、60Aの夫々を成長させて素子間分離用絶縁
膜(フィールド絶縁膜)23を形成する。素子間分離用絶
縁膜23は、例えば1000[℃]程度の高温度で窒素ガス雰
囲気中において約100〜140[分]の熱処理を行った後、
スチーム酸化法により約140〜170[分]程度酸化するこ
とで形成することができる。あるいは、素子間分離用絶
縁膜23はスチーム酸化雰囲気のみで形成してもよい。素
子間分離用絶縁膜23は例えば600〜800[nm]程度の膜厚
で形成する。 この素子間分離用絶縁膜23を形成する工程と実貿的に
同一製造工程によって、前記p-型ウエル領域22の主面部
に導入されたp型不純物24pが引き伸し拡散され、p型
チャネルストッパ領域24Aが形成される。このp型チャ
ネルストッパ領域24Aの形成の際、前述のように比較的
長い熱処理を施しているので、前記p型不純物24pは横
方向の拡散量が大きい。したがって、特にメモリセルア
レイ11BにおいてはメモリセルM形成領域の略全面にp
型不純物24pが拡散され、p型半導体領域24Bが形成され
る。一方、周辺回路のCMOSを構成するnチャネルMISFET
Qn、Qoの夫々の形成領域においては、ゲート幅寸法等、
サイズがメモリセルMに比べて大きいので、p型不純物
24pの横方向の拡散量が相対的に小さく、素子間分離用
絶縁膜23の近傍にしかp型不純物24pが拡散されない。
つまり、nチャネルMISFETQn、Qoの夫々の形成領域にお
いてはp型半導体領域24Bが実質的に形成されない。し
たがって、このp型半導体領域24Bは、周辺回路のnチ
ャネルMISFETQn、Qoの夫々の形成領域には形成されず、
メモリセルアレイ11Bの形成領域には選択的に形成され
るようになっている。しかも、p型半導体領域24Bはp
型チャネルストッパ領域24Aと同一製造工程で形成する
ことができる。前記p型チャネルストッパ領域24A、p
型半導体領域24Bの夫々は、熱処理後、1016〜1017[ato
ms/cm3]程度の不純物濃度で形成される。この後、第21
図に示すように、前記マスク(62)を除去する。 次に、前記p-型ウエル領域22の主面上の酸化珪素膜60
及びn-型ウエル領域21の主面上の酸化珪素膜60Aを除去
し、p-型ウエル領域22、n-型ウエル領域21の夫々の主面
を露出させる。
Next, a silicon nitride film 62 is formed on the entire surface of the substrate including the silicon oxide film 60 and 60A. This silicon nitride film 62 is used as an impurity introduction mask and an oxidation resistant mask. The silicon nitride film 62 is deposited by, for example, a CVD method,
m]. Next, the silicon nitride film 62 is removed between the MISFET formation regions (inter-element isolation insulating film formation regions), and a mask is formed with the remaining silicon nitride film 62. The formation of the mask (62) is performed using a photolithography technique and an etching technique. Thereafter, using the mask (62), as shown in FIG. 20, the silicon oxide film 60 is formed on the main surface of the p -type well region 22.
Through which p-type impurities 24p are introduced. The p-type impurity 24p is
Since silicon oxide film 60A having a larger thickness than silicon oxide film 60 formed on the main surface of p -type well region 22, it is not introduced into the main surface of n -type well region 21. That is, the p-type impurity 24p is selectively introduced into the main surface of the p -type well region 22. The p-type impurity 24p is, for example, 10 13 [atoms
/ cm 2 ] and an ion implantation method with an energy of about 50 to 70 [KeV] using BF 2 having an impurity concentration of about [cm 2 ]. When introducing the p-type impurity 24p, an etching mask (photoresist film) obtained by processing the mask (62) may be used together. Next, using the mask (62), each of the silicon oxide films 60 and 60A exposed from the mask (62) is grown to form an inter-element isolation insulating film (field insulating film) 23. The element isolation insulating film 23 is subjected to a heat treatment of about 100 to 140 [min] in a nitrogen gas atmosphere at a high temperature of, for example, about 1000 [° C.]
It can be formed by oxidizing about 140 to 170 [minutes] by a steam oxidation method. Alternatively, the element isolation insulating film 23 may be formed only in a steam oxidation atmosphere. The element isolation insulating film 23 is formed to a thickness of, for example, about 600 to 800 [nm]. The p-type impurity 24p introduced into the main surface portion of the p -type well region 22 is stretched and diffused by the same manufacturing process as the process of forming the element isolation insulating film 23 and the p-type channel. A stopper region 24A is formed. When the p-type channel stopper region 24A is formed, since the relatively long heat treatment is performed as described above, the p-type impurity 24p has a large lateral diffusion amount. Therefore, especially in the memory cell array 11B, p
The p-type impurity 24p is diffused to form a p-type semiconductor region 24B. On the other hand, an n-channel MISFET that forms the CMOS of peripheral circuits
In each of the formation regions of Qn and Qo,
Since the size is larger than the memory cell M, the p-type impurity
The lateral diffusion amount of 24p is relatively small, and the p-type impurity 24p is diffused only in the vicinity of the element isolation insulating film 23.
That is, the p-type semiconductor region 24B is not substantially formed in each of the formation regions of the n-channel MISFETs Qn and Qo. Therefore, the p-type semiconductor region 24B is not formed in each of the formation regions of the n-channel MISFETs Qn and Qo of the peripheral circuit.
The memory cell array 11B is selectively formed in the formation region. Moreover, the p-type semiconductor region 24B
It can be formed in the same manufacturing process as the mold channel stopper region 24A. The p-type channel stopper region 24A, p
After the heat treatment, each of the type semiconductor regions 24B has 10 16 to 10 17 [ato
[ms / cm 3 ]. After this, the 21st
As shown, the mask (62) is removed. Next, a silicon oxide film 60 on the main surface of the p - type well region 22 is formed.
Then, the silicon oxide film 60A on the main surface of the n -type well region 21 is removed to expose the respective main surfaces of the p -type well region 22 and the n -type well region 21.

【ゲート絶縁膜形成工程】[Gate insulating film forming process]

次に、前記露出させたp-型ウエル領域22、n-型ウエル
領域21の夫々の主面上に酸化珪素膜63を形成する。酸化
珪素膜63は、主に素子間分離用絶縁膜23の形成の際に窒
化珪素膜(マスク)62によって素子間分離用絶縁膜23の
端部に形成される珪素の窒化物所謂ホワイトリボンを酸
化するために行う。酸化珪素膜63は、例えば900〜1000
[℃]程度の高温度のスチーム酸化法で形成し、40〜10
0[nm]程度の膜厚で形成する。 次に、素子間分離用絶縁膜23で規定される素子形成領
域において、p-型ウエル領域22(メモリセルアレイ11B
においてはp型半導体領域24B)の主面部、n-型ウエル
領域21の主面部、p-型半導体基板20の主面部つまり基板
全面にしきい値電圧を調整するp型不純物64pを導入す
る。このp型不純物64pは、例えば5×1011〜9×1011
[atoms/cm2]程度の不純物濃度のBを用い、20〜40[K
eV]程度のエネルギのイオン打込法で導入する。このp
型不純物64pは主にnチャネルMISFETQs、Qn、Qoの夫々
のしきい値電圧を調整するために導入されている。 次に、素子間分離用絶縁膜23で規定される素子形成領
域において、n-型ウエル領域21の主面部に、しきい値電
圧を調整するp型不純物65pを導入する。このp型不純
物65pは、例えば1012[atoms/cm2]程度の不純物濃度の
Bを用い、20〜40[KeV]程度のエネルギのイオン打込
法で導入する。p型不純物65pは主にpチャネルMISFETQ
pのしきい値電圧を調整するために導入されている。 次に、第22図に示すように、素子間分離用絶縁膜23で
規定されるメモリセルアレイ11Bの形成領域において、p
-型ウエル領域22の主面部にしきい値電圧を調整するp
型不純物66pを導入する。p型不純物66pは、例えば1011
[atoms/cm2]程度の不純物濃度のBを用い、20〜40[K
eV]程度のエネルギのイオン打込法で導入する。p型不
純物66pは主にメモリセルMのメモリセル選択用MISFETQ
sのしきい値電圧を調整するために導入されている。な
お、このp型不純物66pの導入は、前述のp型半導体領
域24Bの不純物濃度の変更や、前記p型不純物65pの導入
量に近い場合には省略することができる。また、前記p
型不純物64p、65p、66pの夫々の導入順序は変更しても
よい。また、前記p型不純物64p、65p、66pの夫々の導
入は、p-型半導体基板20、p-型ウエル領域22、n-型ウエ
ル領域21の夫々の不純物濃度の設定のし方によっていず
れかを省略することができる。 次に、前記酸化珪素膜63を選択的に除去し、p-型ウエ
ル領域22、n-型ウエル領域21(図示しないがp-型半導体
基板20も含む)の夫々の主面を露出させる。 次に、露出されたp-型ウエル領域22、n-型ウエル領域
21の夫々の主面上にゲート絶縁膜25を形成する。ゲート
絡縁膜25は、800〜1000[℃]程度の高温度のスチーム
酸化法で形成し、15〜25[nm]程度の膜厚で形成する。
Next, a silicon oxide film 63 is formed on each of the main surfaces of the exposed p -type well region 22 and n -type well region 21. The silicon oxide film 63 is formed mainly by a silicon nitride so-called white ribbon formed at the end of the inter-element isolation insulating film 23 by the silicon nitride film (mask) 62 when the inter-element isolation insulating film 23 is formed. Performed to oxidize. The silicon oxide film 63 is, for example, 900 to 1000
[℃] formed by high temperature steam oxidation method, 40 ~ 10
It is formed with a thickness of about 0 [nm]. Next, in the element formation region defined by the element isolation insulating film 23, the p -type well region 22 (the memory cell array 11B
In this case, a p-type impurity 64p for adjusting the threshold voltage is introduced into the main surface of the p-type semiconductor region 24B), the main surface of the n -type well region 21, and the main surface of the p -type semiconductor substrate 20, that is, the entire substrate. This p-type impurity 64p is, for example, 5 × 10 11 to 9 × 10 11
Using B having an impurity concentration of about [atoms / cm 2 ], 20 to 40 [K
eV] is introduced by ion implantation. This p
The type impurity 64p is mainly introduced to adjust the threshold voltage of each of the n-channel MISFETs Qs, Qn, and Qo. Next, in the element formation region defined by the element isolation insulating film 23, a p-type impurity 65p for adjusting the threshold voltage is introduced into the main surface of the n -type well region 21. The p-type impurity 65p is introduced by ion implantation at an energy of about 20 to 40 [KeV] using, for example, B having an impurity concentration of about 10 12 [atoms / cm 2 ]. p-type impurity 65p is mainly p-channel MISFETQ
A p-threshold voltage has been introduced to adjust. Next, as shown in FIG. 22, in the formation region of the memory cell array 11B defined by the element isolation insulating film 23, p
- p to adjust the threshold voltage to the main surface of the mold well region 22
A type impurity 66p is introduced. The p-type impurity 66p is, for example, 10 11
Using B having an impurity concentration of about [atoms / cm 2 ], 20 to 40 [K
eV] is introduced by ion implantation. The p-type impurity 66p is mainly MISFETQ for selecting a memory cell of the memory cell M.
S has been introduced to adjust the threshold voltage. The introduction of the p-type impurity 66p can be omitted when the impurity concentration of the p-type semiconductor region 24B is changed or when the amount of introduction of the p-type impurity 65p is close. In addition, the p
The order of introducing the type impurities 64p, 65p, and 66p may be changed. The introduction of each of the p-type impurities 64p, 65p, and 66p may be performed depending on how to set the respective impurity concentrations of the p -type semiconductor substrate 20, the p -type well region 22, and the n -type well region 21. Can be omitted. Next, the silicon oxide film 63 is selectively removed to expose respective main surfaces of the p -type well region 22 and the n -type well region 21 (not shown, but also including the p -type semiconductor substrate 20). Next, the exposed p - type well region 22, the n - type well region
A gate insulating film 25 is formed on each of the main surfaces 21. The gate entanglement film 25 is formed by a high temperature steam oxidation method of about 800 to 1000 [° C.] and has a thickness of about 15 to 25 [nm].

【ゲート配線形成工程1】 次に、ゲート絶縁膜25上及び素子間分離用絶縁膜23上
を含む基板全面に多結晶珪素膜を形成する。多結晶珪素
膜は、CVD法で堆積させ、150〜300[nm]程度の膜厚で
形成する。多結晶珪素膜には、熱拡散法により、抵抗値
を低減するn型不純物例えばPが導入されている。 次に、前記多結晶珪素膜上の全面に層間絶縁膜27を形
成する。層間絶縁膜27は前記多結晶珪素膜の表面上に形
成された酸化珪素膜27A及びその上層に積層された酸化
珪素膜27Bで構成されている。下層の酸化珪素膜27Aは80
0〜1000[℃]程度の酸素ガス雰囲気中において20〜50
[nm]程度の膜厚で形成する。上層の酸化珪素膜27Bは
無機シランガス(SiH4又はSiH2Cl2)及び酸化窒素ガス
(N2O)をソースガスとするCVD法で形成する。層間絶縁
膜27の上層の酸化珪素膜27Bは例えば250〜400[nm]程
度の膜厚で形成する。 次に、第23図に示すように、図示しないエッチングマ
スクを用い、前記層間絶縁膜27、多結晶珪素膜の夫々を
順次エッチングし、ゲート電極26及びワード線(WL)26
を形成する。また、ゲート電極26、ワード線26の夫々の
上部には層間絶縁膜27を残存させておく。前記エッチン
グは異方性エッチングで行う。また、前記エッチング
は、後述するチョッピングエッチング法を利用すること
により、エッチングの異方性を高めかつオーバエッチン
グ量を低減することができる。
[Gate Wiring Forming Step 1] Next, a polycrystalline silicon film is formed on the entire surface of the substrate including the gate insulating film 25 and the element isolation insulating film 23. The polycrystalline silicon film is deposited by a CVD method and has a thickness of about 150 to 300 [nm]. An n-type impurity such as P for reducing the resistance value is introduced into the polycrystalline silicon film by a thermal diffusion method. Next, an interlayer insulating film 27 is formed on the entire surface of the polycrystalline silicon film. The interlayer insulating film 27 includes a silicon oxide film 27A formed on the surface of the polycrystalline silicon film and a silicon oxide film 27B laminated on the silicon oxide film 27A. The lower silicon oxide film 27A is 80
20 to 50 in an oxygen gas atmosphere of about 0 to 1000 [° C]
It is formed with a thickness of about [nm]. The upper silicon oxide film 27B is formed by a CVD method using an inorganic silane gas (SiH 4 or SiH 2 Cl 2 ) and a nitrogen oxide gas (N 2 O) as a source gas. The silicon oxide film 27B as the upper layer of the interlayer insulating film 27 is formed with a thickness of, for example, about 250 to 400 [nm]. Next, as shown in FIG. 23, each of the interlayer insulating film 27 and the polycrystalline silicon film is sequentially etched using an etching mask (not shown) to form a gate electrode 26 and a word line (WL) 26.
To form In addition, an interlayer insulating film 27 is left on each of the gate electrode 26 and the word line 26. The etching is performed by anisotropic etching. In addition, by using a chopping etching method described later, the etching can increase the anisotropy of the etching and reduce the amount of over-etching.

【低濃度の半導体領域形成工程】[Low concentration semiconductor region forming step]

次に、不純物導入に起因する汚染を低減するために、
基板全面に酸化珪素膜(符号を付けない)を形成する。
この酸化珪素膜は前記エッチングで露出されたp-型ウエ
ル領域22、n-型ウエル領域21の夫々の主面上やゲート電
極26、ワード線26の夫々の側壁に形成される。酸化珪素
膜は、例えば850〜950[℃]程度の高温度の酸素ガス雰
囲気中で形成され、10〜80[nm]程度の膜厚で形成され
る。 次に、素子間分離用絶縁膜23及び層間絶縁膜27(及び
ゲート電極26)を不純物導入マスクとして用い、メモリ
セルアレイ11B、nチャネルMISFETQn、Qoの夫々の形成
領域において、p-型ウエル領域22、p-型半導体基板20の
夫々の主面部にn型不純物を導入する。n型不純物の導
入によりゲート電極26又はワード線26に対して自己整合
で形成された低不純物濃度のn型半導体領域28を形成す
ることができる。前記n型不純物は、例えば1013[atom
s/cm2]程度の不純物濃度のP(又はAs)を用い、80〜1
20[KeV]程度のエネルギのイオン打込法で導入する。
前述したように、メモリセルMのメモリセル選択用MISF
ETQsの少なくともスタックド構造の情報蓄積用容量素子
Cに接続される側のn型半導体領域28は1014[atoms/cm
2]未満の低不純物濃度のイオン打込法で形成されてい
る。n型半導体領域28は、低不純物濃度で形成されてい
るので、メモリセル選択用MISFETQs、nチャネルMISFET
Qn、Qoの夫々をLDD構造で構成することができる。n型
半導体領域28を形成する際にはpチャネルMISFETQpの形
成領域は不純物導入マスク(フォトレジスト膜)で覆わ
れている。このn型半導体領域28を形成する工程によ
り、メモリセルMのメモリセル選択用MISFETQsが略完成
する。 次に、素子間分離用絶縁膜23及び層間絶縁膜27(及び
ゲート電極26)を不純物導入マスクとして用い、pチャ
ネルMISFETQpの形成領域において、n-型ウエル領域21の
主面部にp型不純物を導入する。このp型不純物の導入
により、第24図に示すように、ゲート電極26に対して自
己整合で形成された低不純物濃度のp型半導体領域30を
形成することができる。p型不純物は、例えば1013[at
oms/cm2]程度の不純物濃度のBF2(又はB)を用い、60
〜100[KeV]程度のエネルギのイオン打込法で導入す
る。p型不純物を導入する際にはメモリセルアレイ11
B、nチャネルMISFETQn、Qoの夫々の形成領域は不純物
導入マスク(フォトレジスト膜)で覆れている。 次に、図示しないが、DRAM1の入力段回路(又は出力
段回路)に付加された静電気破壊防止回路の形成領域に
おいて、nチャネルMISFETQnの少なくともドレイン領域
の形成領域にn型不純物を高不純物濃度で導入する。こ
のnチャネルMISFETQnは、追加のn型不純物の導入によ
り、ドレイン領域に入力される、静電気破壊を生じる過
大電圧をp-型ウエル領域22側に抜け易くすることができ
る。つまり、このnチャネルMISFETQnは静電気破壊耐圧
を高めることができる。
Next, in order to reduce contamination due to impurity introduction,
A silicon oxide film (not numbered) is formed on the entire surface of the substrate.
This silicon oxide film is formed on the respective main surfaces of the p -type well region 22 and the n -type well region 21 exposed by the etching, and on the respective sidewalls of the gate electrode 26 and the word line 26. The silicon oxide film is formed in an oxygen gas atmosphere at a high temperature of, for example, about 850 to 950 [° C.] and has a thickness of about 10 to 80 [nm]. Next, the p - type well region 22 is formed in each of the memory cell array 11B, the n-channel MISFETs Qn, and Qo by using the element isolation insulating film 23 and the interlayer insulating film 27 (and the gate electrode 26) as an impurity introduction mask. , P - type semiconductor substrate 20 is doped with an n-type impurity into each main surface thereof. By introducing the n-type impurity, an n-type semiconductor region 28 having a low impurity concentration formed by self-alignment with the gate electrode 26 or the word line 26 can be formed. The n-type impurity is, for example, 10 13 [atom
s / cm 2 ] of P (or As) with an impurity concentration of about 80 to 1
It is introduced by an ion implantation method with an energy of about 20 [KeV].
As described above, the memory cell selecting MISF of the memory cell M is used.
At least the n-type semiconductor region 28 of the ETQs that is connected to the information storage capacitor C having a stacked structure is 10 14 [atoms / cm 2
2 ] is formed by ion implantation with a low impurity concentration of less than 2 ]. Since the n-type semiconductor region 28 is formed with a low impurity concentration, the MISFET Qs for selecting a memory cell, the n-channel MISFET
Each of Qn and Qo can be configured with an LDD structure. When forming the n-type semiconductor region 28, the formation region of the p-channel MISFET Qp is covered with an impurity introduction mask (photoresist film). By the step of forming the n-type semiconductor region 28, the memory cell selecting MISFETs Qs of the memory cell M are substantially completed. Next, an insulating separation between the device film 23 and the interlayer insulating film 27 (and the gate electrode 26) as an impurity introducing mask, in the formation region of the p-channel MISFET Qp, n - a p-type impurity into the main surface of the mold well region 21 Introduce. By introducing the p-type impurity, as shown in FIG. 24, a low-impurity-concentration p-type semiconductor region 30 formed by self-alignment with the gate electrode 26 can be formed. The p-type impurity is, for example, 10 13 [at
oms / cm 2 ] of BF 2 (or B) with an impurity concentration of about 60
It is introduced by an ion implantation method with an energy of about 100 [KeV]. When introducing a p-type impurity, the memory cell array 11
The respective formation regions of the B and n-channel MISFETs Qn and Qo are covered with an impurity introduction mask (photoresist film). Next, although not shown, in the formation region of the electrostatic breakdown prevention circuit added to the input stage circuit (or the output stage circuit) of the DRAM 1, an n-type impurity is added at a high impurity concentration to at least the formation region of the drain region of the n-channel MISFET Qn. Introduce. In the n-channel MISFET Qn, by introducing an additional n-type impurity, an excessive voltage which is input to the drain region and causes electrostatic breakdown can easily escape to the p -type well region 22 side. That is, the n-channel MISFETQn can increase the electrostatic breakdown voltage.

【スペーサ形成工程及び接続孔形成工程1】 次に、第25図に示すように、ゲート電極26、ワード線
26、それらの上層の層間絶縁膜27の夫々の側壁にサイド
ウォールスペーサ29を形成する。 ザイドウォールスペーサ29は、酸化珪素膜を堆積し、
この酸化珪素膜を堆積した膜厚に相当する分、RIE等の
異方性エッチングを施すことにより形成することができ
る。サイドウォールスペーサ29の酸化珪素膜は前記層間
絶縁膜27の上層の酸化珪素膜27Bと同一膜質を有する、
無機シランガス及び酸化窒素ガスをソースガスとするCV
D法で形成する。この酸化珪素膜は例えば200〜400[n
m]程度の膜厚で形成する。サイドウォールスペーサ29
のゲート長方向(チャネル長方向)の長さは約200〜400
[nm]程度で形成される。なお、サイドウォールスペー
サ29は、必要に応じて領域を限定し、一部の領域に形成
してもよい。 次に、前記層間絶縁膜27上、サイドウォールスペーサ
29上等を含む基板全面に層間絶縁膜31を形成する。この
層間絶縁膜31はスタックド構造の情報蓄積用容量素子C
の夫々の電極層を加工する際のエッチングストッパ層と
して使用されている。また、層間絶縁膜31はスタックド
構造の情報蓄積用容量素子Cの下層電極層(33)とメモ
リセル選択用MISFETQsのゲート電極26、ワード線26の夫
々とを電気的に分離するために形成されている。層間絶
縁膜31は上層導電層の加工時のオーバエッチングによる
削れ量、洗浄工程での削れ量等を見込んだ膜厚で形成さ
れている。層間絶縁膜31は無機シランガス及び酸化窒素
ガスをソースガスとするCVD法で堆積した酸化珪素膜で
形成されている。つまり、この層間絶縁膜31は、スタッ
クド構造の情報蓄積用容量素子Cの誘電体膜(34)や下
地の層間絶縁膜27との間に線膨張係数差に基づき発生す
るストレスを低減することができる。層間絶縁膜31は例
えば100〜200[nm]程度の膜厚で形成する。 次に、第26図に示すように、メモリセルM形成領域の
メモリセル選択用MISFETQsの他方のn型半導体領域(情
報蓄積用容量素子Cの下層電極層33が接続される側)28
上の前記層間絶縁膜31を除去し、接続孔31A、32の夫々
を形成する。
[Spacer Forming Step and Connection Hole Forming Step 1] Next, as shown in FIG. 25, the gate electrode 26 and the word line
26, sidewall spacers 29 are formed on the respective side walls of the upper interlayer insulating film 27. The side wall spacer 29 is formed by depositing a silicon oxide film,
The silicon oxide film can be formed by performing anisotropic etching such as RIE by an amount corresponding to the deposited film thickness. The silicon oxide film of the sidewall spacer 29 has the same film quality as the silicon oxide film 27B on the interlayer insulating film 27.
CV using inorganic silane gas and nitric oxide gas as source gas
Formed by D method. This silicon oxide film is, for example, 200 to 400 [n
m]. Side wall spacer 29
Length in the gate length direction (channel length direction) is about 200 to 400
[Nm] is formed. The side wall spacer 29 may be formed in a part of the region, if necessary. Next, a sidewall spacer is formed on the interlayer insulating film 27.
29, an interlayer insulating film 31 is formed on the entire surface of the substrate including the upper surface. This interlayer insulating film 31 is a stacked information storage capacitor C
Are used as etching stopper layers when processing the respective electrode layers. The interlayer insulating film 31 is formed to electrically separate the lower electrode layer (33) of the stacked information storage capacitor C from the gate electrode 26 and the word line 26 of the MISFET Qs for memory cell selection. ing. The interlayer insulating film 31 is formed with a thickness that allows for the amount of shaving due to over-etching during processing of the upper conductive layer, the amount of shaving in the cleaning step, and the like. The interlayer insulating film 31 is formed of a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas. That is, the interlayer insulating film 31 can reduce stress generated based on a difference in linear expansion coefficient between the dielectric film (34) of the stacked information storage capacitor C and the underlying interlayer insulating film 27. it can. The interlayer insulating film 31 is formed with a thickness of, for example, about 100 to 200 [nm]. Next, as shown in FIG. 26, the other n-type semiconductor region (the side to which the lower electrode layer 33 of the information storage capacitance element C is connected) 28 of the memory cell selection MISFET Qs in the memory cell M formation region.
The upper interlayer insulating film 31 is removed, and connection holes 31A and 32 are formed.

【ゲート配線形成工程2】 次に、第27図に示すように、メモリセルMのスタック
ド構造の情報蓄積用容量素子Cの下層電極層33を形成す
る。下層電極層33は、前記接続孔31A、32の夫々を通し
て一部をn型半導体領域28に接続し、他部を層間絶縁膜
27上及び31上に延在させている。下層電極層33は、前記
層間絶縁膜31に形成した接続孔31Aの開口サイズに比べ
て、少なくとも製造工程におけるマスク合せ余裕寸法に
相当する分、大きく形成されている。 下層電極層33は、CVD法で堆積させた多結晶珪素膜で
形成し、200〜400[nm]程度の厚い膜厚で形成する。こ
の多結晶珪素膜は製造工程における第2層目のゲート配
線形成工程により形成されている。下層電極層33は、多
結晶珪素膜の堆積後、抵抗値を低減するn型不純物例え
ばPを熱拡散法により前記多結晶珪素膜に導入し、この
後フォトリソグラフィ技術及びエッチング技術を用いて
前記多結晶珪素膜を加工することにより形成されてい
る。前記フォトリソグラフィ技術はエッチングマスク
(フォトレジスト膜)の形成工程及びエッチングマスク
の除去工程を含む。前記エッチングマスクの除去工程は
フレオンガス(CHF3)と酸素ガス(O2)との混合ガスに
よるダウンストリームのプラズマ処理で行われている。
この処理はDRAM1の各素子のダメージを低減する効果が
ある。ところが、このプラズマ処理によるエッチングマ
スクの除去は、前記フレオンガスにより多結晶珪素膜の
表面に析出したP(n型不純物)を選択的にエッチング
する現象を生じる事実が、本発明者により確認された。
析出されたPの選択的なエッチングは、下層電極層33の
表面に微小な穴を形成し、誘電体膜(34)の絶縁耐圧を
劣化させるので、好ましくない。そこで、本実施例のDR
AM1は、多結晶珪素膜を堆積し、n型不純物を導入した
後、エッチングマスクを除去する前に、多結晶珪素膜の
表面を酸化し、その酸化瑳素膜を除去することによりP
の析出層を除去している。多結晶珪素膜の表面の酸化は
多結晶珪素膜の表面に数[nm]程度の膜厚の酸化珪素膜
を形成する程度の酸化でよい。この酸化工程の追加は、
第2層目ゲート配線形成工程(33)だけに限らず、第1
層目ゲート配線形成工程(26)、第3層目ゲート配線形
成工程(35)の夫々にも適用することができる。 また、前記多結晶珪素膜のエッチング工程は異方性エ
ッチングを使用する。また、前記エッチング工程は、後
述するチョッピングエッチング法を利用することによ
り、エッチングの異方性を高めかつオーバエッチング量
を低減してエッチング残りを確実に除去することができ
る。 このように、多結晶珪素膜を堆積し、この多結晶珪素
膜にn型不純物を熱拡散により導入した後に、この多結
晶珪素膜をフォトリソグラフィ技術及びエッチング技術
を用いて加工するDRAM1の製造方法において、前記多結
晶珪素膜にn型不純物を導入した後、前記フォトリソグ
ラフィ技術のエッチングマスクの除去工程の前に、多結
晶珪素膜の表面に析出するn型不純物を除去する工程を
備える。この構成により、エッチングマスクの除去で多
結晶珪素膜の表面に微小な穴が形成されることがない。
つまり、DRAM1のスタックド構造の情報蓄積用容量素子
Cにおいては誘電体膜(34)の絶縁耐圧を向上すること
ができる。 前記接続孔32で規定された領域内において、メモリセ
ル選択用MISFETQsの他方のn型半導体領域28の主面部に
は、前記下層電極層33に導入されたn型不純物が拡散さ
れ、n+型半導体領域33Aが形成される。このn+型半導体
領域33A、n型半導体領域28の夫々は一体に形成され
る。前記n+型半導体領域33Aはメモリセル選択用MISFETQ
sの他方のn型半導体領域28と下層電極層33とのオーミ
ック特性を向上できるようになっている(接触抵抗値の
低減)。
[Gate Wiring Forming Step 2] Next, as shown in FIG. 27, the lower electrode layer 33 of the information storage capacitor C having the stacked structure of the memory cell M is formed. The lower electrode layer 33 has a portion connected to the n-type semiconductor region 28 through each of the connection holes 31A and 32, and another portion connected to the interlayer insulating film.
It extends on 27 and 31. The lower electrode layer 33 is formed to be larger than the opening size of the connection hole 31A formed in the interlayer insulating film 31 by at least an amount corresponding to a mask alignment allowance in a manufacturing process. The lower electrode layer 33 is formed of a polycrystalline silicon film deposited by a CVD method, and has a large thickness of about 200 to 400 [nm]. This polycrystalline silicon film is formed by the second-layer gate wiring forming step in the manufacturing process. After depositing the polycrystalline silicon film, the lower electrode layer 33 introduces an n-type impurity for reducing the resistance value, for example, P into the polycrystalline silicon film by a thermal diffusion method. It is formed by processing a polycrystalline silicon film. The photolithography technique includes a step of forming an etching mask (photoresist film) and a step of removing the etching mask. The etching mask removal step is performed by downstream plasma processing using a mixed gas of freon gas (CHF 3 ) and oxygen gas (O 2 ).
This process has the effect of reducing damage to each element of the DRAM 1. However, the present inventor has confirmed that the removal of the etching mask by the plasma treatment causes a phenomenon of selectively etching P (n-type impurity) deposited on the surface of the polycrystalline silicon film by the freon gas.
The selective etching of the deposited P is not preferable because it forms minute holes in the surface of the lower electrode layer 33 and deteriorates the dielectric strength of the dielectric film (34). Therefore, the DR of the present embodiment
AM1 deposits a polycrystalline silicon film, introduces an n-type impurity, oxidizes the surface of the polycrystalline silicon film before removing the etching mask, and removes the oxidized silicon film to form a polycrystalline silicon film.
Is removed. Oxidation of the surface of the polycrystalline silicon film may be sufficient to form a silicon oxide film having a thickness of about several nm on the surface of the polycrystalline silicon film. The addition of this oxidation step
Not only the second layer gate wiring forming step (33) but also the first layer
The present invention can be applied to each of the layer gate wiring forming step (26) and the third layer gate wiring forming step (35). Further, the anisotropic etching is used in the step of etching the polycrystalline silicon film. In the etching step, by using a chopping etching method described later, the anisotropy of the etching can be increased, and the amount of over-etching can be reduced, so that the etching residue can be reliably removed. As described above, a method of manufacturing a DRAM 1 in which a polycrystalline silicon film is deposited, an n-type impurity is introduced into the polycrystalline silicon film by thermal diffusion, and then the polycrystalline silicon film is processed using photolithography technology and etching technology A step of removing an n-type impurity deposited on the surface of the polycrystalline silicon film after introducing the n-type impurity into the polycrystalline silicon film and before the step of removing the etching mask by the photolithography technique. With this configuration, minute holes are not formed in the surface of the polycrystalline silicon film due to removal of the etching mask.
That is, in the information storage capacitor C having the stacked structure of the DRAM 1, the dielectric strength of the dielectric film (34) can be improved. In the region defined by the connection hole 32, the n-type impurity introduced into the lower electrode layer 33 is diffused into the main surface of the other n-type semiconductor region 28 of the memory cell selecting MISFET Qs, and the n + -type The semiconductor region 33A is formed. Each of the n + -type semiconductor region 33A and the n-type semiconductor region 28 is integrally formed. The n + type semiconductor region 33A is a MISFET Q for selecting a memory cell.
The ohmic characteristics of the other n-type semiconductor region 28 of s and the lower electrode layer 33 can be improved (reduction of contact resistance value).

【誘電体膜形成工程】[Dielectric film forming process]

次に、第28図に示すように、前記メモリセルMのスタ
ックド構造の情報蓄積用容量素子Cの下層電極層33上を
含む基板全面に誘電体膜34を形成する。誘電体膜34は、
前述したように基本的には窒化珪素膜34A、酸化珪素膜3
4Bの夫々を順次積層した2層構造で形成されている。下
層の窒化珪素膜34Aは、例えばCVD法で堆積させ、5〜10
[nm]程度の膜厚で形成する。この窒化珪素膜34Aを形
成する際には酸素の巻き込みをできる限り抑える。通常
の生産レベルで下層電極層33(多結晶珪素膜)上に窒化
珪素膜34Aを形成した場合には、極微量の酸素の巻き込
みが生じるので、下層電極層33と窒化珪素膜34Aとの間
に自然酸化珪素膜(図示しない)が形成される。 前記誘電体膜34の上層の酸化珪素膜34Bは、下層の窒
化珪素膜34Aに高圧酸化法を施して形成し、1〜6[n
m]程度の膜厚で形成する。酸化珪素膜34Bを形成すると
下層の窒化珪素膜34Aは若干膜厚が減少するので、窒化
珪素膜34Aは最終的に4〜8[nm]程度の膜厚で形成さ
れる。酸化珪素膜34Bは、基本的には1.5〜10[気圧]の
高圧及び800〜1000[℃]程度の高温度の酸素ガス雰囲
気中において形成する。本実施例においては、酸化珪素
膜34Bは、3〜4[気圧]の高圧及び酸化の際の酸素流
量(ソースガス)を4〜6[l/min]、水素流量(ソー
スガス)を3〜10[l/min]として形成している。高圧
酸化法で形成される酸化珪素膜34Bは常圧(1[気
圧])で形成される酸化珪素膜に比べて短時間で所望の
膜厚に形成することができる。つまり、高圧酸化法は、
高温度の熱処理時間を短縮することができるので、メモ
リセル選択用MISFETQs等のソース領域及びドレイン領域
のpn接合深さを浅くすることができる。 したがって、前記誘電体膜34は、自然酸化珪素膜、窒
化珪素膜34A、酸化珪素膜34Bの夫々を順次積層した3層
構造で構成されている。自然酸化珪素膜は酸素の巻き込
みを低減すれば薄くすることができる。また、製造工程
数は増加するが、自然酸化珪素膜を窒化し、誘電体膜34
を2層構造で構成することもできる。
Next, as shown in FIG. 28, a dielectric film 34 is formed on the entire surface of the substrate including the lower electrode layer 33 of the information storage capacitor C having the stacked structure of the memory cell M. The dielectric film 34
As described above, basically, the silicon nitride film 34A and the silicon oxide film 3
4B are formed in a two-layer structure in which each of the layers is sequentially laminated. The lower silicon nitride film 34A is deposited by, for example, a CVD method, and
It is formed with a thickness of about [nm]. When forming the silicon nitride film 34A, entrapment of oxygen is suppressed as much as possible. When the silicon nitride film 34A is formed on the lower electrode layer 33 (polycrystalline silicon film) at a normal production level, a very small amount of oxygen is involved, so that a gap between the lower electrode layer 33 and the silicon nitride film 34A is formed. Then, a natural silicon oxide film (not shown) is formed. The upper silicon oxide film 34B of the dielectric film 34 is formed by applying a high pressure oxidation method to the lower silicon nitride film 34A,
m]. When the silicon oxide film 34B is formed, the thickness of the lower silicon nitride film 34A is slightly reduced, so that the silicon nitride film 34A is finally formed with a thickness of about 4 to 8 [nm]. The silicon oxide film 34B is basically formed in an oxygen gas atmosphere at a high pressure of 1.5 to 10 [atm] and a high temperature of about 800 to 1000 [° C]. In this embodiment, the silicon oxide film 34B has a high pressure of 3 to 4 [atm] and an oxygen flow rate (source gas) of 4 to 6 [l / min] and a hydrogen flow rate (source gas) of 3 to 4 [l / min]. It is formed as 10 [l / min]. The silicon oxide film 34B formed by the high-pressure oxidation method can be formed to have a desired thickness in a shorter time than a silicon oxide film formed at normal pressure (1 [atm]). In other words, the high pressure oxidation method
Since the high-temperature heat treatment time can be shortened, the pn junction depth of the source region and the drain region of the memory cell selecting MISFETQs and the like can be reduced. Therefore, the dielectric film 34 has a three-layer structure in which a natural silicon oxide film, a silicon nitride film 34A, and a silicon oxide film 34B are sequentially stacked. The natural silicon oxide film can be made thinner by reducing entrapment of oxygen. Further, although the number of manufacturing steps increases, the natural silicon oxide film is nitrided, and the dielectric film 34 is formed.
In a two-layer structure.

【ゲート配線形成工程3】 次に、前記誘電体膜34上を含む基板全面に多結晶珪素
膜を堆積する。多結晶珪素膜は、CVD法で堆積させ、150
〜250[nm]程度の膜厚で形成する。この多結晶珪素膜
は製造工程における第3層目のゲート配線形成工程によ
り形成される。 この後、前記多結晶珪素膜に抵抗値を低減するn型不
純物例えばPを熱拡散法により導入する。 次に、メモリセル選択用MISFETQsの一方のn型半導体
領域28と相補性データ線(50)との接続領域を除くメモ
リセルアレイ11Bの全面において、前記多結晶珪素膜上
にエッチングマスク67を形成する。エッチングマスク67
は例えばフォトリソグラフィ技術を使用したフォトレジ
スト膜で形成されている。この後、前記エッチングマス
ク67を用い、前記多結晶珪素膜、誘電体膜34の夫々を順
次エッチングすることにより、第29図に示すように、前
記多結晶珪素膜で上層電極層35を形成することができ
る。前記多結晶珪素膜は例えばプラズマステップエッチ
ング法によりエッチングする。この上層電極層35を形成
することによりスタックド構造の情報蓄積用容量素子C
が略完成し、この結果、DRAM1のメモリセルMが完成す
る。このメモリセルMの完成後、前記エッチングマスク
67は除去する。
[Gate Wiring Forming Step 3] Next, a polycrystalline silicon film is deposited on the entire surface of the substrate including on the dielectric film 34. Polycrystalline silicon film is deposited by CVD method,
It is formed with a thickness of about 250 [nm]. This polycrystalline silicon film is formed by a third-layer gate wiring forming step in the manufacturing process. Thereafter, an n-type impurity for reducing the resistance value, for example, P is introduced into the polycrystalline silicon film by a thermal diffusion method. Next, an etching mask 67 is formed on the polycrystalline silicon film over the entire surface of the memory cell array 11B except for a connection region between one of the n-type semiconductor regions 28 of the memory cell selecting MISFETQs and the complementary data line (50). . Etching mask 67
Is formed of, for example, a photoresist film using a photolithography technique. Thereafter, the polycrystalline silicon film and the dielectric film 34 are sequentially etched using the etching mask 67, thereby forming the upper electrode layer 35 with the polycrystalline silicon film as shown in FIG. be able to. The polycrystalline silicon film is etched by, for example, a plasma step etching method. By forming this upper electrode layer 35, the information storage capacitor C having a stacked structure is formed.
Are substantially completed, and as a result, the memory cell M of the DRAM 1 is completed. After the completion of the memory cell M, the etching mask
67 remove.

【高濃度の半導体領域形成工程】[High concentration semiconductor region forming step]

次に、前記スタックド構造の情報蓄積用容量素子Cの
上層電極層35上、nチャネルMISFETQn上、pチャネルMI
SFETQp上の夫々を含む基板全面に絶縁膜36を形成する。
絶縁膜36は主に不純物導入の際の汚染防止膜として使用
される。この絶縁膜36は、例えば有機シランガス(Si(O
C2H5)4)をソースガスとするCVD法、又は無機シランガ
ス及び酸化窒素ガスをソースガスとするCVD法で堆積さ
せた酸化珪素膜で形成し、30[nm]程度の膜厚で形成す
る。 次に、DRAM1の周辺回路のCMOSを構成するnチャネルM
ISFETQn(Qoも含む)の形成領域において、p-型ウエル
領域22の主面部にn型不純物を導入する。n型不純物の
導入には主にゲート電極26及びその上層の層間絶縁膜2
7、サイドウォールスペーサ29の夫々を不純物導入マス
クとして使用する。n型不純物の導入に際してはメモリ
セルMの形成領域及びpチャネルMISFETQpの形成領域は
不純物導入マスク(フォトレジスト膜)で覆われてい
る。n型不純物は、例えば1015〜1016[atoms/cm2]程
度の不純物濃度のAsを用い、70〜90[KeV]程度のエネ
ルギのイオン打込法で導入する。 次に、前記CMOSを構成するpチャネルMISFETQpの形成
領域において、n-型ウエル領域21の主面部にp型不純物
を導入する。p型不純物の導入には主にゲート電極26及
びその上層の層間絶縁膜27、サイドウォールスペーサ29
の夫々を不純物導入マスクとして使用する。p型不純物
の導入に際してはメモリセルMの形成領域及びnチャネ
ルMISFETQnの形成領域は不純物導入マスクで覆われてい
る。p型不純物は、例えば1015[atoms/cm2]程度の不
純物濃度のBF2を用い、60〜90[KeV]程度のエネルギの
イオン打込法で導入する。 この後、前記n型不純物及びp型不純物に引き伸し拡
散を施し、第30図に示すように、p-型ウエル領域22の主
面部にn+型半導体領域37、n-型ウエル領域21の主面部に
p+型半導体領域38の夫々を形成する。前記引き伸し拡散
は、900〜1000[℃]程度の高温度の熱処理で行い、約1
0[分]程度行う。このn+型半導体領域37を形成する工
程によりnチャネルMISFETQnは略完成し、p+型半導体領
域38を形成する工程によりpチャネルMISFETQpは略完成
する。
Next, on the upper electrode layer 35 of the stacked information storage capacitor C, on the n-channel MISFETQn, and on the p-channel MI
An insulating film 36 is formed on the entire surface of the substrate including each of the SFETs Qp.
The insulating film 36 is mainly used as a contamination prevention film when introducing impurities. The insulating film 36 is made of, for example, an organic silane gas (Si (O
A silicon oxide film deposited by a CVD method using C 2 H 5 ) 4 ) as a source gas or a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas, and formed to a thickness of about 30 [nm]. I do. Next, the n-channel M which constitutes the CMOS of the peripheral circuit of the DRAM 1
In the formation region of the ISFET Qn (including Qo), an n-type impurity is introduced into the main surface of the p -type well region 22. In order to introduce the n-type impurity, the gate electrode 26 and the interlayer insulating film
7. Each of the sidewall spacers 29 is used as an impurity introduction mask. When introducing an n-type impurity, the formation region of the memory cell M and the formation region of the p-channel MISFET Qp are covered with an impurity introduction mask (photoresist film). As the n-type impurity, for example, As having an impurity concentration of about 10 15 to 10 16 [atoms / cm 2 ] is used, and is introduced by ion implantation at an energy of about 70 to 90 [KeV]. Next, a p-type impurity is introduced into a main surface portion of the n -type well region 21 in a formation region of the p-channel MISFET Qp forming the CMOS. In order to introduce the p-type impurity, mainly, the gate electrode 26, the interlayer insulating film 27 thereover,
Are used as impurity introduction masks. When introducing the p-type impurity, the formation region of the memory cell M and the formation region of the n-channel MISFETQn are covered with an impurity introduction mask. The p-type impurity is introduced by ion implantation at an energy of about 60 to 90 [KeV] using, for example, BF 2 having an impurity concentration of about 10 15 [atoms / cm 2 ]. Thereafter, the n-type impurity and the p-type impurity are extended and diffused, and as shown in FIG. 30, the n + -type semiconductor region 37 and the n -type well region 21 are formed on the main surface of the p -type well region 22. On the main surface of
Each of the p + type semiconductor regions 38 is formed. The stretching diffusion is performed by heat treatment at a high temperature of about 900 to 1000 [° C.]
Perform about 0 [minutes]. The n channel MISFETQn is substantially completed by the process of forming the n + type semiconductor region 37, and the p channel MISFETQp is substantially completed by the process of forming the p + type semiconductor region 38.

【層間絶縁膜形成工程1】 次に、前記DRAM1の各素子上を含む基板全面に層間絶
縁膜39、40の夫々を順次積層する。下層の層間絶縁膜39
は例えば有機シランガスをソースガスとするCVD法で堆
積させた酸化珪素膜で形成する。層間絶縁膜39は、上層
の層間絶縁膜40(BPSG)からの不純物(P、Bの夫々)
の漏れを防止するため、例えば150〜250[nm]程度の膜
厚で形成する。上層の層間絶縁膜40は例えばCVD法で堆
積された酸化珪素膜(BPSG膜)で形成する。この層間絶
縁膜40は例えば400〜700[nm]程度の膜厚で形成されて
いる。層間絶縁膜40には、窒素ガス雰囲気中において、
約900〜1000[℃]程度の温度でフローが施され、その
表面が平坦化されている。
[Interlayer Insulating Film Forming Step 1] Next, the interlayer insulating films 39 and 40 are sequentially laminated on the entire surface of the substrate including each element of the DRAM 1. Lower interlayer insulating film 39
Is formed of a silicon oxide film deposited by a CVD method using an organic silane gas as a source gas, for example. The interlayer insulating film 39 is formed by impurities (P and B, respectively) from the upper interlayer insulating film 40 (BPSG).
Is formed to a thickness of, for example, about 150 to 250 [nm] in order to prevent leakage. The upper interlayer insulating film 40 is formed of, for example, a silicon oxide film (BPSG film) deposited by a CVD method. The interlayer insulating film 40 is formed with a thickness of, for example, about 400 to 700 [nm]. In the interlayer insulating film 40, in a nitrogen gas atmosphere,
The flow is performed at a temperature of about 900 to 1000 [° C.], and the surface is flattened.

【接続孔形成工程2】 次に、前記層間絶縁膜40、39の夫々に接続孔40Aを形
成する。接続孔40Aは、前記DRAM1の各素子のn型半導体
領域28、n+型半導体領域37、p+型半導体領域38の夫々の
上部、ワード線26の上部(図示しない)等において形成
されている。接続孔40Aは、例えば上層の層間絶縁膜40
側を等方性エッチング、下層の層間絶縁膜36側を異方性
エッチングの夫々を施して形成する。つまり、接続孔40
Aは上層の配線(例えば相補性データ線50等)のステッ
プガバレッジを高めて断線不良を防止できるように構成
されている。また、接続孔40Aは異方性エッチングだけ
で形成してもよい。 次に、前記接続孔40Aから露出するn型半導体領域2
8、n+型半導体領域37の夫々の主面上に酸化珪素膜(符
号を付けない)を形成する。酸化珪素膜は、後工程の熱
処理(n+型半導体領域41を形成する不純物の引き伸し拡
散)で層間絶縁膜40に添加されているB或はPが接続孔
40Aを通してn型半導体領域28、n+型半導体領域37、p+
型半導体領域38の夫々の主面部に導入されることを防止
することができる。Bがn型半導体領域28やn+型半導体
領域37の主面部に導入されたり、Pがp+型半導体領域38
の主面部に導入された場合には実効的な不純物濃度が低
下し、各半導体領域とそれに接続される配線(50)との
接触抵抗値が増大する。前記酸化珪素膜30は12〜50[n
m]程度の薄膜で形成される。 次に、メモリセル選択用MISFETQs、nチャネルMISFET
Qn、Qoの夫々の形成領域において、前記接続孔40Aを通
してn型半導体領域28、n+型半導体領域37の夫々の主面
部にn型不純物を導入する。n型不純物は前記薄い酸化
珪素膜を通過させ夫々の主面部に導入される。そして、
このn型不純物に引き伸し拡散を施すことにより、第31
図に示すように、高不純物濃度のn+型半導体領域41を形
成する。n+型半導体領域41は、製造工程におけるマスク
合せずれでn型半導体領域28、n+型半導体領域37の夫々
と接続孔40Aとがずれた場合、接続孔40Aに通される配線
(50)とp-型ウエル領域22とが短絡することを防止する
ために形成されている。このn+型半導体領域41を形成す
るn型不純物は、例えば1015[atoms/cm2]程度の高不
純物濃度のAsを用い、110〜130[KeV]程度のエネルギ
のイオン打込法で導入する。n+型半導体領域41は、メモ
リセルMにおいて、メモリセル選択用MISFETQsの一方の
n型半導体領域28と一体に構成され、ソース領域又はド
レイン領域の一部を構成する。n+型半導体領域41は、高
不純物濃度で形成されているので、上層配線例えば相補
性データ線(50)との接触抵抗値を低減することができ
る。
[Connection Hole Forming Step 2] Next, a connection hole 40A is formed in each of the interlayer insulating films 40 and 39. The connection hole 40A is formed in each of the n-type semiconductor region 28, the n + -type semiconductor region 37, the p + -type semiconductor region 38 of each element of the DRAM 1, the upper portion (not shown) of the word line 26, and the like. . The connection hole 40A is formed, for example, in the upper interlayer insulating film 40.
The side is formed by performing isotropic etching, and the lower interlayer insulating film 36 side is formed by performing anisotropic etching. That is, the connection hole 40
A is configured so that the step coverage of the upper layer wiring (for example, the complementary data line 50 or the like) is increased to prevent disconnection failure. Further, the connection hole 40A may be formed only by anisotropic etching. Next, the n-type semiconductor region 2 exposed from the connection hole 40A
8. A silicon oxide film (not numbered) is formed on each main surface of the n + type semiconductor region 37. In the silicon oxide film, B or P added to the interlayer insulating film 40 by heat treatment in a later process (extension and diffusion of impurities for forming the n + type semiconductor region 41) is changed to a contact hole.
Through 40A, n-type semiconductor region 28, n + -type semiconductor region 37, p +
It can be prevented from being introduced into each main surface portion of the mold semiconductor region 38. B is introduced into the main surface of the n-type semiconductor region 28 or the n + -type semiconductor region 37, or P is introduced into the p + -type semiconductor region 38.
When introduced into the main surface portion, the effective impurity concentration decreases, and the contact resistance value between each semiconductor region and the wiring (50) connected thereto increases. The silicon oxide film 30 has a thickness of 12 to 50 [n
m]. Next, MISFETQs for memory cell selection, n-channel MISFET
In each of the formation regions of Qn and Qo, an n-type impurity is introduced into the respective main surfaces of the n-type semiconductor region 28 and the n + -type semiconductor region 37 through the connection hole 40A. The n-type impurities pass through the thin silicon oxide film and are introduced into the respective main surfaces. And
By stretching and diffusing this n-type impurity,
As shown in the figure, an n + -type semiconductor region 41 having a high impurity concentration is formed. The n + type semiconductor region 41 is a wiring (50) that is passed through the connection hole 40A when the n + type semiconductor region 28 and the n + type semiconductor region 37 are displaced from the connection hole 40A due to mask misalignment in the manufacturing process. It is formed to prevent short-circuit between the p - type well region 22 and the p - type well region 22. The n-type impurity forming the n + -type semiconductor region 41 is, for example, As having a high impurity concentration of about 10 15 [atoms / cm 2 ] and is introduced by ion implantation at an energy of about 110 to 130 [KeV]. I do. In the memory cell M, the n + type semiconductor region 41 is formed integrally with one of the n type semiconductor regions 28 of the MISFETQs for memory cell selection, and forms a part of a source region or a drain region. Since the n + type semiconductor region 41 is formed with a high impurity concentration, it is possible to reduce the contact resistance value with the upper wiring, for example, the complementary data line (50).

【配線形成工程1】 次に、第32図に示すように、前記接続孔40Aを通してn
+型半導体領域41、p+型半導体領域38等と接続し、層間
絶縁膜40上を延在する配線50を形成する。配線50は製造
工程における第1層目の配線形成工程で形成する。配線
50はメモリセルアレイ11B及びそれとカラムアドレスデ
コーダ回路12との間においては相補性データ線(DL)50
として使用される。配線50は、遷移金属膜50A、アルミ
ニウム膜(又はその合金膜)50B、遷移金属膜50Cの夫々
を順次積層した3層構造で構成されている。 前記配線50の下層の遷移金属膜50Aは、CVD法で堆積し
た例えばWSi2膜で形成し、50〜200[nm]程度の膜厚で
形成する。WSi2膜の反応生成式は以下のとおりである。 前記中層のアルミニウム膜50Bは、例えばスパッタ法
で堆積させ、300〜600[nm]程度の膜厚で形成する。 前記上層の遷移金属膜50Cは、スパッタ法で堆積させ
た例えばMoSi2膜で形成し、10〜40[nm]程度の膜厚で
形成する。 この配線50は、遷移金属膜50A、アルミニウム膜50B、
遷移金属膜50Cの夫々を順次積層した後に、フォトリソ
グラフィ技術及びエッチング技術を用いて加工する。こ
の配線50及びその上層の配線53の加工技術については後
に詳細に説明する。
[Wiring Forming Step 1] Next, as shown in FIG. 32, n
A wiring 50 connected to the + type semiconductor region 41, the p + type semiconductor region 38 and the like and extending on the interlayer insulating film 40 is formed. The wiring 50 is formed in a first-layer wiring forming step in the manufacturing process. wiring
Reference numeral 50 denotes a complementary data line (DL) 50 between the memory cell array 11B and the column address decoder circuit 12 therewith.
Used as The wiring 50 has a three-layer structure in which a transition metal film 50A, an aluminum film (or an alloy film thereof) 50B, and a transition metal film 50C are sequentially laminated. The transition metal film 50A under the wiring 50 is formed of, for example, a WSi 2 film deposited by a CVD method and has a thickness of about 50 to 200 [nm]. The reaction generation formula of the WSi 2 film is as follows. The middle aluminum film 50B is deposited by, for example, a sputtering method and has a thickness of about 300 to 600 [nm]. The upper transition metal film 50C is formed of, for example, a MoSi 2 film deposited by a sputtering method and has a thickness of about 10 to 40 [nm]. The wiring 50 includes a transition metal film 50A, an aluminum film 50B,
After sequentially stacking the transition metal films 50C, processing is performed using a photolithography technique and an etching technique. The processing technique of the wiring 50 and the wiring 53 thereon will be described later in detail.

【層間絶縁膜形成工程2】 次に、前記配線50上を含む基板全面に層間絶縁膜51を
形成する。層間絶縁膜51は酸化珪素膜(堆積型絶縁膜)
51A、酸化珪素膜(塗布型絶縁膜)51B、酸化珪素膜(堆
積型絶縁膜)51Cの夫々を順次積層した3層構造で構成
されている。 下層の酸化珪素膜51Aは、プラズマCVD法で堆積し、40
0〜700[nm]程度の膜厚で形成する。 中層の酸化珪素膜51Bは層間絶縁膜51の表面を平坦化
するために形成されている。酸化珪素膜51Bは、SOG法で
広い平坦なパターン上で100〜150[nm]程度の膜厚に塗
布し、この後ベーク処理(約450[℃])を施し、表面
をエッチングで後退させることにより形成されている。
前記エッチングによる後退により、酸化珪素膜51Bは下
層の酸化珪素膜51Aの表面の段差形状のうち凹部のみに
形成される。また、前記エッチングによる後退により下
層の段差形状の凸部では下層の酸化珪素膜もエッチング
されて後退し、酸化珪素膜51B塗布後の平坦度が保たれ
る。また、層間絶縁膜51の中層は前記酸化珪素膜51Bに
変えて有機物膜例えばポリイミド系樹脂膜で形成しても
よい。 上層の酸化珪素膜51Cは、層間絶縁膜51全体としての
膜の強度を高めるために、例えばプラズマCVD法で堆積
し、500〜700[nm]程度の膜厚で形成する。
[Interlayer Insulating Film Forming Step 2] Next, an interlayer insulating film 51 is formed on the entire surface of the substrate including the wiring 50. The interlayer insulating film 51 is a silicon oxide film (deposited insulating film)
It has a three-layer structure in which a silicon oxide film (coated insulating film) 51B, a silicon oxide film (coated insulating film) 51B, and a silicon oxide film (deposited insulating film) 51C are sequentially stacked. The lower silicon oxide film 51A is deposited by a plasma CVD method,
It is formed with a thickness of about 0 to 700 [nm]. The middle silicon oxide film 51B is formed to flatten the surface of the interlayer insulating film 51. The silicon oxide film 51B is applied to a film thickness of about 100 to 150 [nm] on a wide flat pattern by the SOG method, and then subjected to a bake treatment (about 450 [° C]), and the surface is etched back. Is formed.
Due to the recession by the etching, the silicon oxide film 51B is formed only in the concave portion of the step shape on the surface of the lower silicon oxide film 51A. In addition, the lower silicon oxide film is also etched and retreats at the lower step-shaped protrusions of the lower layer due to the retreat by the etching, and the flatness after the silicon oxide film 51B is applied is maintained. Further, the middle layer of the interlayer insulating film 51 may be formed of an organic material film, for example, a polyimide resin film instead of the silicon oxide film 51B. The upper silicon oxide film 51C is deposited by, for example, a plasma CVD method and formed to a thickness of about 500 to 700 [nm] in order to increase the strength of the film as the entire interlayer insulating film 51.

【接続孔形成工程3】 次に、第33図に示すように、前記層間絶縁膜51に接続
孔52を形成する。接続孔52は、層間絶縁膜51の上層の酸
化珪素膜51C側に等方性エッチングを施して形成した上
側接続孔52B、下層の酸化珪素膜51A側に異方性エッチン
グを施して形成した下側接続孔52Aの夫々で形成されて
いる。この接続孔52を形成した後、エッチングによるダ
メージを回復するため、約400[℃]程度の熱処理を行
う。
[Connection Hole Forming Step 3] Next, as shown in FIG. 33, a connection hole 52 is formed in the interlayer insulating film 51. The connection hole 52 is an upper connection hole 52B formed by performing isotropic etching on the upper silicon oxide film 51C side of the interlayer insulating film 51, and a lower hole formed by performing anisotropic etching on the lower silicon oxide film 51A side. Each of the side connection holes 52A is formed. After forming the connection holes 52, a heat treatment of about 400 [° C.] is performed in order to recover damage due to etching.

【配線形成工程2】 次に、前記第1図に示すように、接続孔52を通して配
線50に接続するように、層間絶縁膜51上を延在する配線
53を形成する。この配線53は、第2層目の配線形成工程
により形成される。配線53は、前述のように、遷移金属
膜53A、アルミニウム膜(又はその合金膜)53B、遷移金
属膜53Cの夫々を順次積層した3層構造で構成されてい
る。 前記下層の遷移金属膜53Aは、スパッタ法で堆積させ
た例えばMoS2膜で形成し、50〜100[nm]程度の膜厚で
形成する。 中層のアルミニウム膜53Bは、スパッタ法で堆積さ
せ、前記配線50のアルミニウム膜50Bに比べて厚い700〜
1000[nm]程度の膜厚で形成する。 上層の遷移金属膜53Cは、スパッタ法で堆積させた例
えばMoSi2膜で形成し、10〜40[nm]程度の膜厚で形成
する。 この配線53は、遷移金属膜53A、アルミニウム膜53B、
遷移金属膜53Cの夫々を順次積層した後に、フォトリソ
グラフィ技術及びエッチング技術を用いて加工する。こ
の配線53の加工技術については後に詳細に説明する。 前記配線53を形成する工程の後に、配線53を加工する
エッチングによるダメージを回復するために熱処理を施
す。
[Wiring Forming Step 2] Next, as shown in FIG. 1, a wiring extending on the interlayer insulating film 51 so as to be connected to the wiring 50 through the connection hole 52.
Form 53. The wiring 53 is formed by a second-layer wiring forming step. As described above, the wiring 53 has a three-layer structure in which a transition metal film 53A, an aluminum film (or an alloy film thereof) 53B, and a transition metal film 53C are sequentially stacked. The lower transition metal film 53A is formed of, for example, a MoS 2 film deposited by a sputtering method and has a thickness of about 50 to 100 [nm]. The middle aluminum film 53B is deposited by a sputtering method, and is 700 to 700 mm thicker than the aluminum film 50B of the wiring 50.
It is formed with a thickness of about 1000 [nm]. The upper transition metal film 53C is formed of, for example, a MoSi 2 film deposited by a sputtering method and has a thickness of about 10 to 40 [nm]. The wiring 53 includes a transition metal film 53A, an aluminum film 53B,
After sequentially stacking the transition metal films 53C, processing is performed using a photolithography technique and an etching technique. The processing technique of the wiring 53 will be described later in detail. After the step of forming the wiring 53, heat treatment is performed to recover damage due to etching for processing the wiring 53.

【パッシベーション膜形成工程】[Passivation film forming process]

次に、前記第1図及び第15図に示すように、前記配線
53上を含む基板全面にパッシベーション膜54を形成す
る。パッシベーション膜54は、前述のように、酸化珪素
膜54A、窒化珪素膜54B、樹脂膜54Cの夫々を順次積層し
た複合膜で形成されている。前記パッシベーション膜54
の下層の酸化珪素膜54Aは、150〜600[nm]程度の膜厚
で形成する。前記中層の窒化珪素膜54Bは、例えばプラ
ズマCVD法で堆積し、1.0〜1.2[μm]程度の膜厚で形
成する。前記上層の樹脂膜54Cは、例えば塗布法により
塗布されたポリイミド系樹脂膜で形成され、3〜12[μ
m]程度の膜厚で形成されている。 次に、前記DRAM1の外部端子BPの形成領域において、
前記パッシベーション膜54の上層の樹脂膜54Cにボンデ
ィング開口56を形成する。このボンディング開口56はフ
ォトリソグラフィ技術及びエッチング技術を用いて形成
する。そして、この後、前記外部端子BPの形成領域にお
いて、前記パッシベーション膜54の中層の窒化珪素膜54
B、下層のシラン膜54Aの夫々を順次除去し、ボンディン
グ開口55を形成する。このボンディング開口55は例えば
異方性エッチングで形成する。また、このボンディング
開口55を形成する工程と同一製造工程により、前記第15
図に示すように、外部端子BPの形成領域において、配線
53の上層の遷移金属膜53Cを除去することができる。 これら一連の工程を施すことにより、本実施例のDRAM
1は完成する。 次に、前述のDRAM1の製造プロセスにおいて、個々の
要部の製造工程について、詳細に説明する。
Next, as shown in FIG. 1 and FIG.
A passivation film 54 is formed on the entire surface of the substrate including on the surface 53. As described above, the passivation film 54 is formed of a composite film in which a silicon oxide film 54A, a silicon nitride film 54B, and a resin film 54C are sequentially stacked. The passivation film 54
The lower silicon oxide film 54A is formed with a thickness of about 150 to 600 [nm]. The intermediate silicon nitride film 54B is deposited by, for example, a plasma CVD method and is formed to a thickness of about 1.0 to 1.2 [μm]. The upper resin film 54C is formed of, for example, a polyimide-based resin film applied by a coating method and has a thickness of 3 to 12 μm.
m]. Next, in the formation region of the external terminal BP of the DRAM1,
A bonding opening 56 is formed in the resin film 54C above the passivation film 54. This bonding opening 56 is formed using a photolithography technique and an etching technique. Then, thereafter, in the region where the external terminal BP is formed, the middle silicon nitride film 54 of the passivation film 54 is formed.
B, each of the lower silane films 54A is sequentially removed to form a bonding opening 55. This bonding opening 55 is formed by, for example, anisotropic etching. Further, the same manufacturing process as that of forming the bonding opening 55 is used to perform the fifteenth process.
As shown in FIG.
The transition metal film 53C on the upper layer 53 can be removed. By performing these series of steps, the DRAM of this embodiment is
1 is completed. Next, in the above-described manufacturing process of the DRAM 1, the manufacturing process of each main part will be described in detail.

【ゲート配線形成工程2】 まず、前記第27図に示すメモリセルMのスタックド構
造の情報蓄積用容量素子Cの下層電極層33はチョッピン
グエッチング法により加工する。 チョッピングエッチング装置は、第34図(要部概略構
成図)に示すように、エッチングチャンバ70に制御バル
ブ71Aを介在させて複数本の分岐されたエッチングガス
供給管72A〜72Cが接続されている。また、前記エッチン
グチャンバ70には排気管70Aが設けられている。 分岐されたエッチングガス供給管72Aは、制御バルブ7
1B、マスフローコントローラ(MFC)73Aの夫々を通して
エッチングチャンバ70にエッチングガスG1を供給できる
ように構成されている分岐されたエッチングガス供給管
72Bは、制御バルブ71C、マスフローコントローラ73Bの
夫々を通してエッチングチャンバ70にエッチングガスG2
を供給できるように構成されている。同様に、分岐され
たエッチングガス供給管72Cは、制御バルブ71D、マスフ
ローコントローラ73Cの夫々を通してエッチングチャン
バ70にエッチングガスG3を供給できるように構成されて
いる。各マスフローコントローラ73A〜73Cの夫々はチョ
ッピングコントローラ(CC)74で制御されている。前記
チョッピングコントローラ74は、エッチングガス供給管
72A〜72Cの夫々に流れるエッチングガスの流量を交互に
制御できるように構成されている。 前記エッチングガス供給管72Aに流れるエッチングガ
スG1は異方性エッチングガス例えばハロゲン化合物(C2
Cl2F4)を使用する。このエッチングガスG1の流量は第3
5図(ガス流量のタイムチャート図)に示すように定期
的に増減させている。このガス流量の制御は前記チョッ
ピングコントローラ74で制御されている。第38図(エッ
チング速度とテーパ角度との関係を示す図)で示すよう
に、エッチングガスG1の流量を増加した場合、エッチン
グの異方性を高めることができる。 一方、エッチングガス供給管72B、72Cの夫々に流れる
エッチングガスG2、G3の夫々は等方性エッチングガス例
えばハロゲン元素(SF6)を使用する。エッチングガスG
2の流量は第36図(ガス流量のタイムチャート図)に示
すように定期的に増減させている。このガス流量の制御
は前記チョッピングコントローラ74で制御され、前記エ
ッチングガスG2はエッチングガスG1の流量を増加した時
に減少させ減少させた時に増加させている。第38図に示
すように、エッチングガスG2の流量を増加した場合、エ
ッチングの等方性を高めることができる。エッチングガ
スG3の流量は第37図(ガス流量のタイムチャート図)に
示すように一定にしている。このガス流量の制御は前記
チョッピングコントローラ74で制御され、前記エッチン
グガスG3はエッチングガスG1の流量を増加した時よりも
少なくかつ減少させた時よりも多く流している。第38図
で示すように、エッチングガスG3はエッチングの等方性
を高めることができる。 このチョッピングエッチング装置は、前記エッチング
ガスG1、エッチングガスG2の夫々を前記第35図及び第36
図に示すようにエッチングチャンバ70に流し、前記スタ
ックド構造の情報蓄積用容量素子Cの下層電極層33の多
結晶珪素膜を加工している。つまり、多結晶珪素膜は異
方性エッチング、等方性エッチングの夫々を交互に繰り
返し行うことにより加工されている。このエッチングの
繰り返しは1[秒]以下の高速で行われる。エッチング
の繰り返しが高速で行われる場合、異方性エッチングで
多結晶珪素膜をエッチング中にその側壁に有機ポリマー
が付着し、等方性エッチングで前記有機ポリマーが破壊
される前に再度異方性エッチングを行い、新たに有機ポ
リマーを付着することができる。有機ポリマーは等方性
エッチングに基づくサイドエッチングのストッパ層とし
て作用するので、等方性エッチング時においてもエッチ
ングの異方性を高めることができる。通常、前記多結晶
珪素膜を異方性エッチングでエッチングした場合、特に
下地表面の段差部でエッチング残りを生じるので約500
[%]程度のオーバーエッチングが施されるが、前記チ
ョッピングエッチング法を使用することにより、等方性
エッチングで前記エッチング残りを除去しつつエッチン
グの異方性を確保することができる。 具体的には、エッチングガスの全流量のうち約10
[%]程度エッチングガスG1を有すると極端な異方性を
示し、30[%]程度エッチングガスG2を有すると極端な
等方性を示す。本発明者の実験結果によれば、約100〜1
50[%]程度のオーバーエッチング量でエッチング残り
を除去することができる。 また、前記チョッピングエッチング法は、エッチング
ガスG3(ガス流量は一定)とエッチングガスG1(ガス流
量は定期的に増減)とを組合せて行ってもよい。 このように、(43−24)段差形状を有する下地(層間
絶縁膜31)の表面上に形成された多結晶珪素膜(下層電
極層33)を異方性エッチングでパターンニングするDRAM
1の形成方法において、前記多結晶珪素膜を異方性エッ
チング、等方性エッチングの夫々を交互に繰返し行うこ
とによりパターンニングする。この構成により、前記多
結晶珪素膜のパターンニングに際してエッチングの異方
性を確保しながら等方性エッチングで下地の段差形状部
分の表面上のエッチング残りを低減することができるの
で、オーバエッチング量を低減し、下地表面の損傷や破
壊を防止することができる。 また、(45−25)前記異方性エッチングは、この異方
性エッチングで多結晶珪素膜のパターンニングされた側
面に付着する有機ポリマーが等方性エッチングにより破
壊される前に再度行う。この構成により、前記異方性エ
ッチングで生成される有機ポリマーは等方性エッチング
のストッパ層として作用するので、等方性エッチングの
サイドエッチング量を低減し、エッチングの異方性を高
めることができる。 また、(請求項46)前記チョッピングエッチング装置
は、エッチングチャンバ(エッチング室)70を設け、こ
のエッチングチャンパ70にマスフローコントローラ73A
を介在させて異方性エッチングガスG1を供給するガス供
給系、マスフローコントローラ73B又は73Cを介在させて
等方性エッチングガスG2又はG3を供給するガス供給系の
夫々を設け、前記マスフローコントローラ73A、マスフ
ローコントローラ73B又は73Cの夫々に流れるガス供給量
を交互に繰返し制御するチョッピングコントローラ74を
設ける。この構成により、前記チョピングエッチング方
法を実現することができる。 また、前記チョッピングエッチング法は、異方性エッ
チングガスG1、等方性エッチングガスG2又はG3の夫々を
連続的に交互に繰り返し流しているので、排気処理がな
く、エッチング時間を大幅に短縮することができる。 なお、このチョッピングエッチング法は、前記下層電
極層33の多結晶珪素膜に限定されず、前記メモリセル選
択用MISFETQsのゲート電極26、スタックド構造の情報蓄
積用容量素子Cの上層電極層35の夫々の多結晶珪素膜に
も適用することができる。 また、前記チョッピングエッチング法は、アルミニウ
ム膜を主体とする前記配線50、53にも適用することがで
きる。この場合、異方性エッチングガスG1としてはC
F4、CHF3、CClF3等を使用する。等方性エッチンガスG2
としてはCl2又はG3としてはBCl3等を使用する。
[Gate Wiring Forming Step 2] First, the lower electrode layer 33 of the information storage capacitor C having the stacked structure of the memory cell M shown in FIG. 27 is processed by a chopping etching method. In the chopping etching apparatus, a plurality of branched etching gas supply pipes 72A to 72C are connected to an etching chamber 70 via a control valve 71A, as shown in FIG. The etching chamber 70 is provided with an exhaust pipe 70A. The branched etching gas supply pipe 72A is connected to the control valve 7
1B, a branched etching gas supply pipe configured to supply the etching gas G1 to the etching chamber 70 through each of the mass flow controllers (MFC) 73A.
The etching gas G2 is supplied to the etching chamber 70 through the control valve 71C and the mass flow controller 73B.
Is configured to be supplied. Similarly, the branched etching gas supply pipe 72C is configured to supply the etching gas G3 to the etching chamber 70 through each of the control valve 71D and the mass flow controller 73C. Each of the mass flow controllers 73A to 73C is controlled by a chopping controller (CC) 74. The chopping controller 74 includes an etching gas supply pipe.
The configuration is such that the flow rate of the etching gas flowing in each of 72A to 72C can be alternately controlled. The etching gas G1 flowing through the etching gas supply pipe 72A is an anisotropic etching gas such as a halogen compound (C 2
Use Cl 2 F 4 ). The flow rate of this etching gas G1 is the third
As shown in Fig. 5 (time chart of gas flow rate), it is periodically increased and decreased. The control of the gas flow rate is controlled by the chopping controller 74. As shown in FIG. 38 (a diagram showing the relationship between the etching rate and the taper angle), when the flow rate of the etching gas G1 is increased, the etching anisotropy can be increased. On the other hand, each of the etching gases G2 and G3 flowing through each of the etching gas supply pipes 72B and 72C uses an isotropic etching gas such as a halogen element (SF 6 ). Etching gas G
The flow rate of 2 is periodically increased and decreased as shown in FIG. 36 (time chart of gas flow rate). The control of the gas flow rate is controlled by the chopping controller 74, and the etching gas G2 is decreased when the flow rate of the etching gas G1 is increased and increased when the flow rate of the etching gas G1 is decreased. As shown in FIG. 38, when the flow rate of the etching gas G2 is increased, the isotropy of the etching can be improved. The flow rate of the etching gas G3 is kept constant as shown in FIG. 37 (time chart of the gas flow rate). The control of the gas flow rate is controlled by the chopping controller 74, and the etching gas G3 flows less than when the flow rate of the etching gas G1 is increased and more than when the flow rate of the etching gas G1 is decreased. As shown in FIG. 38, the etching gas G3 can enhance the isotropy of the etching. In this chopping etching apparatus, the etching gas G1 and the etching gas
As shown in the figure, the polycrystalline silicon film of the lower electrode layer 33 of the information storage capacitor C having the stacked structure is processed by flowing into the etching chamber 70. That is, the polycrystalline silicon film is processed by alternately and repeatedly performing anisotropic etching and isotropic etching. This etching is repeated at a high speed of 1 second or less. When the etching is repeated at a high speed, an organic polymer adheres to the side wall of the polycrystalline silicon film while the polycrystalline silicon film is being etched by anisotropic etching, and the organic polymer is anisotropic again before the organic polymer is destroyed by isotropic etching. An organic polymer can be newly attached by performing etching. Since the organic polymer acts as a stopper layer for side etching based on isotropic etching, the anisotropy of etching can be increased even during isotropic etching. Usually, when the polycrystalline silicon film is etched by anisotropic etching, an etching residue occurs particularly at a step portion on the base surface, so that it is about 500
Although overetching of about [%] is performed, by using the chopping etching method, it is possible to secure the anisotropy of etching while removing the etching residue by isotropic etching. Specifically, about 10% of the total flow rate of the etching gas
An etching gas G1 of about [%] shows extreme anisotropy, and an etching gas G2 of about 30% shows extremely isotropic property. According to the experimental results of the inventor, about 100 to 1
An etching residue can be removed with an over-etching amount of about 50%. Further, the chopping etching method may be performed by combining the etching gas G3 (gas flow rate is constant) and the etching gas G1 (gas flow rate periodically increases and decreases). As described above, the DRAM for patterning the polycrystalline silicon film (lower electrode layer 33) formed on the surface of the base (interlayer insulating film 31) having the (43-24) step shape by anisotropic etching.
In the first forming method, the polycrystalline silicon film is patterned by alternately and repeatedly performing anisotropic etching and isotropic etching. With this configuration, it is possible to reduce the etching residue on the surface of the step-shaped portion of the base by isotropic etching while securing the anisotropy of the etching during the patterning of the polycrystalline silicon film. And damage or destruction of the underlying surface can be prevented. (45-25) The anisotropic etching is performed again before the organic polymer attached to the patterned side surface of the polycrystalline silicon film is destroyed by the isotropic etching. With this configuration, since the organic polymer generated by the anisotropic etching acts as a stopper layer for isotropic etching, the amount of side etching in isotropic etching can be reduced, and the anisotropy of etching can be increased. . (Claim 46) In the chopping etching apparatus, an etching chamber (etching chamber) 70 is provided, and the etching chamber 70 includes a mass flow controller 73A.
A gas supply system for supplying the anisotropic etching gas G1 with the interposition thereof, and a gas supply system for supplying the isotropic etching gas G2 or G3 with the mass flow controller 73B or 73C provided, respectively, the mass flow controller 73A, A chopping controller 74 for alternately and repeatedly controlling the gas supply amount flowing to each of the mass flow controllers 73B and 73C is provided. With this configuration, the chopping etching method can be realized. Further, in the chopping etching method, since each of the anisotropic etching gas G1, the isotropic etching gas G2 or G3 is continuously and repeatedly flown, there is no exhaust treatment, and the etching time is greatly reduced. Can be. Note that this chopping etching method is not limited to the polycrystalline silicon film of the lower electrode layer 33, and each of the gate electrode 26 of the MISFETQs for memory cell selection and the upper electrode layer 35 of the information storage capacitor C having a stacked structure. Can be applied to the polycrystalline silicon film. Further, the chopping etching method can be applied to the wirings 50 and 53 mainly composed of an aluminum film. In this case, the anisotropic etching gas G1 is C
Use F 4 , CHF 3 , CClF 3 or the like. Isotropic Etching Gas G2
Used is Cl 2 or G3 is BCl 3 or the like.

【ゲート配線形成工程1,2,3】 前記第23図に示すメモリセルMのメモリセル選択用MI
SFETQsのゲート電極26(ワード線26も含む)、第27図に
示すメモリセルMのスタックド構造の情報蓄積用容量素
子Cの下層電極層33、第29図に示す前記スタックド構造
の情報蓄積用容量素子Cの上層電極層35の夫々は低温異
方性エッチングで加工する。 まず、DRAM1(ダイシング工程前の半導体ウエーハ)
を静電吸着板を介在させてエッチングチャンバ内の下部
電極に直接吸着させる。この下部電極は常時冷却され、
結果的に半導体ウエーハは常温以下の温度に保持され
る。この状態において、異方性エッチングを行い、多結
晶珪素膜を所定の形状に加工することにより、前記ゲー
ト電極26、下層電極層33又は上層電極層35を形成するこ
とができる。 異方性エッチングガス(ハロゲン化合物C2Cl2F4)は
エッチングチャンバの内壁に比べて温度が低い半導体ウ
エーハの表面に多く堆積するので、低温異方性エッチン
グの採用は前記異方性エッチングガスの流量を低減する
ことができ、又エッチングチャンバの内壁に付着される
汚染物を低減することができる。
[Gate Wiring Forming Steps 1, 2, 3] The memory cell selecting MI of the memory cell M shown in FIG.
The gate electrode 26 (including the word line 26) of the SFETQs, the lower electrode layer 33 of the information storage capacitor C having the stacked structure of the memory cell M shown in FIG. 27, and the information storage capacitor having the stacked structure shown in FIG. Each of the upper electrode layers 35 of the element C is processed by low-temperature anisotropic etching. First, DRAM1 (semiconductor wafer before dicing process)
Is directly adsorbed to the lower electrode in the etching chamber via an electrostatic attraction plate. This lower electrode is constantly cooled,
As a result, the semiconductor wafer is kept at a temperature lower than the normal temperature. In this state, the gate electrode 26, the lower electrode layer 33, or the upper electrode layer 35 can be formed by performing anisotropic etching and processing the polycrystalline silicon film into a predetermined shape. Since a large amount of anisotropic etching gas (halogen compound C 2 Cl 2 F 4 ) is deposited on the surface of a semiconductor wafer having a lower temperature than the inner wall of the etching chamber, the use of low-temperature anisotropic etching is based on the anisotropic etching gas. Can be reduced, and contaminants adhering to the inner wall of the etching chamber can be reduced.

【配線形成工程1,2】 前記第32図に示す配線50、前記第1図に示す配線53の
夫々は、第39図(装置の概略構成図)に示す、エッチン
グ処理−アッシング処理−湿式処理−乾燥処理の夫々を
一貫して連続処理する連続処理装置を使用し、加工す
る。 第39図に示す連続処理装置80は、ロード・アンロード
室81、ロード室82、エッチング室83、アッシング室84、
アンロード室85、水洗処理室86、ベーク乾燥室87の夫々
を系列的に備えている。前記ロード室82、エッチング室
83、アッシング室84、アンロード室85の夫々は、装置外
部の大気と遮蔽されたバッファ室(同一真空系内)80A
に配置されている。バッファ室80Aは例えば10-3〜10-6
[気圧]程度の真空度を保持している。 連続処理装置80のロード・アンロード室81にはロード
カセット81Aが着脱自在に装着されるように構成されて
いる。このロードカセット81Aは未処理の半導体ウエー
ハ100を複数枚収納できるように構成されている。ロー
ドカセット81Aに収納された半導体ウエーハ100は搬送用
アーム88Aを介在させてバッファ室80A内に配置されたロ
ード室82に搬送される。 前記ロード室82に搬送された半導体ウエーハ100はス
イングアーム88Bを介在させてエッチング室83に搬送さ
れる。エッチング室83は、予じめフォトリソグラフィ技
術で形成されたエッチングマスク(フォトレジスト膜)
を用い、異方性エッチング法(又は前述したチョッピン
グエッチング法)により前記配線50又は53を形成する。
異方性エッチングガスとしては、ハロゲン化合物(BCl3
+CF4)及びハロゲン元素(Cl2)の混在ガスを使用す
る。エッチング室83は例えばエッチング時に10-1〜10-3
[気圧]程度の真空度になっている。 前記エッチング室83でエッチング処理が施された半導
体ウエーハ100は、大気中に開放することなく、スイン
グアーム88Cを介在させてアッシング室84に搬送され
る。アッシング室84は、前記エッチングマスク(フォト
レジスト膜)をハロゲン化合物(CF4又はCHF3)及び酸
素(O2)の混合ガスで除去する。アッシング室84は、例
えば2〜10-1[気圧]程度の真空度に保持された状態に
おいて、約25〜200[℃]程度の温度でアッシング処理
が行われる。 アッシング室84でアッシング処理が施された半導体ウ
エーハ100は、スイングアーム88Cを介在させてアンロー
ド室85に搬送される。アンロード室85に搬送された半導
体ウエーハ100は搬送アーム88Dを介在させて水洗処理室
86に搬送される。この水洗処理室86及びこの後のベーク
乾燥室87は、バッファ室80Aの外部(連続処理装置80の
内部)に配置され、大気圧に保持されている。 前記水洗処理室86は前記エッチング処理で発生したハ
ロゲン元素(Cl2)を除去する処理である。このハロゲ
ン元素は、連続処理装置80の外部の大気特にH2Oに触れ
ると、配線50のアルミニウム膜(又はその合金膜)50B
又は配線53のアルミニウム膜(又はその合金膜)53Bの
露出する表面を腐食させてしまう。前記水洗処理の後、
半導体ウエーハ100は、搬送アーム88Eでベーク乾燥室87
に搬送され、このベーク乾燥室87で乾燥される。ベーク
乾燥処理が終了すると、半導体ウエーハ100はアンロー
ドカセット81Bに収納される。 前記アンロードカセット81Bに収納された半導体ウエ
ーハ100は、前記連続処理装置80と別の装置により洗浄
処理、乾燥処理、不活性処理の夫々が施される。前記洗
浄処理はエッチング後の異物や前記配線50のアルミニウ
ム膜50B又は前記配線53のアルミニウム膜53Bの露出する
表面に付着するサイドフィルム(例えばAl等を含んだ化
合物薄膜)を除去する処理である。この洗浄処理はアル
カリ洗浄液か又は酸洗浄液により行う。前記乾燥処理は
洗浄後の乾燥である。前記不活性処理は前記アルミニウ
ム膜50B又は53Bの露出する表面に酸化被膜を形成する処
理である。 このように、(28−16)異方性エッチングによりアル
ミニウム膜(又はその合金膜)50B又は53Bをパターンニ
ングするDRAM1の形成方法において、前記アルミニウム
膜50B又は53Bを堆積し、この表面上にエッチングマスク
(フォトレジストマスク)を形成する工程と、ハロゲン
元素及びハロゲン化合物をエッチングガスとする異方性
エッチングを用い、真空系内(バッファ室80A内)で前
記アルミニウム膜50B又は53Bに所定のパターンニングを
施す工程と、前記異方性エッチング工程と同一真空系内
で前記エッチングマスクをハロゲン化合物及び酸素ガス
を使用するアッシングで除去する工程と、前記異方性エ
ッチング処理で生成される塩素を装置外部の大気と遮蔽
された系内で洗浄し、この後乾燥させる工程とを備え
る。 この構成により、前記アッシング処理をエッチング処
理と同一真空系内で行い、前記異方性エッチング処理で
発生する塩素を大気と遮蔽された系内で行う水洗処理
(86)で除去することができるので、前記アルミニウム
膜50B又は53Bの腐食を低減することができる。 また、前記配線50、53の夫々は、第40図(装置の概略
構成図)に示す、エッチング処理−低温アッシング処理
−真空ベーク処理の夫々を一貫して連続処理する連続処
理装置を使用し、加工する。 第40図に示す連続処理装置80Iは、ロード・アンロー
ド室81、ロード室82、エッチング室83、低温アッシング
室84A、窒素ガスブロー真空ベーク室89、アンロード室8
5の夫々を系列的に備えている。前記ロード室82、エッ
チング室83、低温アッシング室84A、窒素ガスブロー真
空ベーク室89、アンロード室85の夫々はバッファ室80A
に配置されている。 前記エッチング処理が施された半導体ウエーハ100は
スイングアーム88Cを介在させて低温アッシング室84Aに
搬送される。低温アッシング室84Aは、エッチング室83
と同一真空系内のバッファ室80A内に配置され、室温
(約20[℃])以下の低温度においてアッシング処理を
行っている。このアッシング処理は前述と同様にハロゲ
ン化合物及び酸素の混合ガスによりエッチングマスクを
除去する処理である。低温アッシング処理は、前記配線
50のアルミニウム膜50B又は配線53のアルミニウム膜53B
の側面及びレジストの側面に付着したサイドフィルム中
のAlが酸化されAl2O3化されずらい低温領域におけるア
ッシング処理である。 前記低温アッシング処理が施された半導体ウエーハ10
0はスイングアーム88Cを介在させて窒素ガスブロー真空
ベーク室89に搬送される。この窒素ガスブロー真空ベー
ク室89は、ホットプレート又は加熱ランプにより半導体
ウエーハ100の表面を約200〜400[℃]に加熱し、前記
エッチング処理で発生したハロゲン元素を低減するよう
に構成されている。また、窒素ガスブロー真空ベーク室
89は、前記半導体ウエーハ100の加熱中に高純度の窒素
ガス(N2:露点−60[℃]以下)をキャリアガスとして
流し、空気や酸素の混入を低減している。 前記真空ベーク処理後は、前述と同様に、洗浄処理、
乾燥処理、不活性処理の夫々を順次行う。 このように、(26−15)異方性エッチングによりアル
ミニウム膜50B又は53BをパターンニングするDRAM1の形
成方法において、前記アルミニウム膜50B又は53Bを堆積
し、この表面上にエッチングマスクを形成する工程と、
ハロゲン元素及びハロゲン化合物をエッチングガスとす
る異方性エッチングを用い、真空系内で前記アルミニウ
ム膜50B又は53Bに所定パターンニングを施す工程と、前
記異方性エッチング工程と同一真空系内で前記エッチン
グマスクをハロゲン化合物及び酸素ガスを使用する、室
温以下の低温アッシングで除去する工程と、前記低温ア
ッシング処理と同一真空系内で前記所定のパターニング
が施されたアルミニウム膜又はその合金膜に真空ベーク
処理を施す工程とを備える。この構成により、前記アッ
シング処理を低温度でかつエッチング処理と同一真空系
内で行っているので、アルミニウム膜50B又は53Bの側壁
及びレジストの側面に付着したサイドフィルム中のAlが
Al2O3化することを低減でき、サイドフィルムの除去が
容易になると共に、前記異方性エッチング処理から真空
ベーク処理まで大気中に開放することなく同一真空系内
で行い、かつ前記異方性エッチング処理で発生する塩素
を真空ベーク処理で低減することができるので、前記ア
ルミニウム膜50B又は53Bの腐食を低減することができ
る。 また、前記配線50、53の夫々は、第41図(装置の概略
構成図)に示す、エッチング処理−低温アッシング処理
−真空ベーク処理−洗浄処理−不活性処理の夫々を一貫
して連続処理する連続処理装置を使用し、加工する。 第41図に示す連続処理装置80IIは、ロード・アンロー
ド室81、ロード室82、エッチング室83、低温アッシング
室84A、窒素ガスブロー真空ベーク室89、アンロード室8
5、洗浄処理室90、不活性処理室91の夫々を系列的に備
えている。つまり、連続処理装置80IIは、前記連続処理
装置80Iとその装置で行われる処理以降の処理を行う処
理装置とを組合せて構成されている。前述のように、洗
浄処理室90は酸及びアルカリ洗浄液か又は酸洗浄液で異
物やサイドフィルムを除去するように構成されている。
前記不活性処理室91はアルミニウム膜50B又は53Bの表面
に酸化被膜を生成する処理である。 また、前記アッシング処理又は低温アッシング処理
は、前述のようにハロゲン化合物(CF4)と酸素との混
合ガスで行っている。酸素はエッチングマスクを除去す
る作用があり、ハロゲン化合物は前記エッチングマスク
の除去する速度を高める作用がある。前記配線50の表面
には薄い膜厚の遷移金属膜50C、配線53の表面には薄い
膜厚の遷移金属膜53Cの夫々が設けられており、前記混
合ガスを使用するアッシング処理においては前記遷移金
属膜50C、53Cの夫々をオーバーアッシングにより除去し
てしまう。そこで、本実施例において、前記アッシング
処理又は低温アッシング処理は、配線50の遷移金属膜50
C又は配線53の遷移金属膜53Cの表面が露出するまで前記
混合ガスでアッシングし(ジャストアッシングし)、こ
の後、酸素ガスのみでオーバーアッシングしている。 (実施例II) 本実施例IIは、前記実施例IのDRAM1において、メモ
リセルMの面積を縮小し、集積度を向上した、本発明の
第2実施例である。 本発明の実施例IIであるDRAMのメモリセルアレイの平
面構造を第42図(要部平面図)で示す。 本実施例IIのDRAM1は、第42図に示すように、メモリ
セルMのメモリセル選択用MISFETQsの一方のn型半導体
領域28と相補性データ線(DL)50とを接続する接続孔40
Bを、スタックド構造の情報蓄積用容量素子Cの上層電
極層35に対して自己整合で形成している。前記接続孔40
B内において、相補性データ線50、上層電極層35の夫々
は第42図においては図示していない分離用絶縁膜(35
A)で電気的に分離されている。 次に、前記DRAM1の具体的な製造方法ついて、第43図
乃至第45図(所定の製造工程毎に示す、メモリセルアレ
イ及び周辺回路のCMOSの要部断面図)を用いて簡単に説
明する。 まず、前記実施例Iの第29図に示す工程と同様に、メ
モリセルMのスタックド構造の情報蓄積用容量素子Cの
上層電極層35を形成する多結晶珪素膜を堆積した後、こ
の多結晶珪素膜上にエッチングマスク67Aを形成する。
エッチングマスク67Aは、前記実施例Iの第29図に示す
エッチングマスク67と異なり、メモリセルMと相補性デ
ータ線(50)との接続領域を合むメモリセルアレイ11B
の全域を覆うように形成されている。 この後、前記エッチングマスク67Aを用い、周辺回路
の領域の前記多結晶珪素膜、誘電体膜34、層間絶縁膜31
の夫々を順次エッチングすることにより、第43図に示す
ように、上層電極層35が形成される。この上層電極層35
を形成することにより、スタックド構造の情報蓄積用容
量素子Cが略完成する。 次に、第44図に示すように、前記上層電極層35の表面
上を含む基板全面に絶縁膜36を形成し、この後、層間絶
縁膜39、40の夫々を順次積層する。 次に、メモリセルアレイ11B内のメモリセルMと相補
性データ線(50)との接続領域において、層間絶縁膜4
0、39、絶縁膜36、上層電極層35の夫々を順次エッチン
グにより除去し、接続孔40Bの一部を形成する。このエ
ッチングは、例えば異方性エッチング法(又は等方性エ
ッチング法を組合せてもよい)で行い、誘電体膜34(又
は層間絶縁膜31)をエッチングストッパ層として使用す
る。 次に、前記接続孔40Bの一部から露出する誘電体膜34
(特に窒化珪素膜34A)を耐酸化マスクとして用い、前
記接続孔40Bの一部の内壁に露出する上層電極層35の表
面を酸化し、分離用絶縁膜(酸化珪素膜)35Aを形成す
る。この分離用絶縁膜35Aは例えば少なくとも100[nm]
程度の膜厚で形成される。この後、前記接続孔40Bの一
部から露出する誘電体膜34、層間絶縁膜31の夫々を順次
エッチングすることにより、第45図に示すように、接続
孔40Bは完成する。また、前記分離用絶縁膜35Aは、誘電
体膜34を耐酸化マスクとして使用せず(条件によっては
エッチング時に除去される)、別工程で耐酸化マスクを
形成してもよい。 次に、前記実施例Iと同様に、n+が型半導体領域41を
形成し、相補性データ線50及びそれ以外の配線50を形成
する。これ以後の製造工程は前記実施例Iと同様である
ので、ここでは省略する。 前記実施例IのDRAM1のメモリセルMは、相補性デー
タ線50を接続する接続孔40Bと、メモリセル選択用MISFE
TQsのゲート電極26、スタックド構造の情報蓄積用容量
素子Cの上層電極層35の夫々との間に製造工程における
合せ余裕寸法を確保している。上層電極層35はその下層
の下層電極層33との間に合せ余裕寸法を確保しており、
この下層電極層33はその下層のゲート電極26との間に合
せ余裕寸法を確保している。ところが、本実施例IIのDR
AM1は、前記接続孔40B、上層電極層35の夫々が自己整合
で形成されるので、両者間の合せ余裕寸法に相当する
分、メモリセルMの面積を縮小し、集積度を向上するこ
とができる。 (実施例III) 本実施例IIIは、前記実施例IのDRAM1において、スタ
ックド構造の情報蓄積用容量素子Cの電荷蓄積量を向上
してメモリセル面積を縮小し、かつ、相補性データ線の
ステップカバレッジを高めた、本発明の第3実施例であ
る。 本発明の実施例IIIであるDRAMのメモリセルアレイ及
び周辺回路の製造方法について、第46図乃至第50図(所
定の製造工程毎に示す要部断面図)を用いて簡単に説明
する。 まず、前記実施例Iの第23図に示す工程と同様に、ゲ
ート絶縁膜25上を含む基板全面にゲート電極(26)及び
ワード線(26)として使用される多結晶珪素膜、層間絶
縁膜27Cの夫々を順次積層する。層間絶縁膜27Cは、スタ
ックド構造の情報蓄積用容量素子Cの電荷蓄積量を増加
するために、例えば600[nm]程度の厚い膜厚で形成す
る。層間絶縁膜27Cとしては前述と同様に無機シランガ
ス及び酸化窒素ガスをソースガスとするCVD法で堆積す
る。 次に、メモリセルアレイ11Bのメモリセル選択用MISFE
TQs、周辺回路のnチャネルMISFETQn、pチャネルMISFE
TQpの夫々の形成領域において、前記層間絶縁膜27Cにエ
ッチングを施し、薄い膜厚の層間絶縁膜27を形成する。
層間絶縁膜27は例えば300[nm]程度の膜厚までエッチ
ングする。 次に、第46図に示すように、前記層間絶縁膜27、27
C、多結晶珪素膜の夫々を順次異方性エッチングにより
エッチングし、ゲート電極26、ワード線26の夫々を形成
する。同第46図に示すように、メモリセル選択用MISFET
Qs、nチャネルMISFETQn、pチャネルMISFETQpの夫々の
ゲート電極26上には薄い層間絶縁膜27が形成される。一
方、ワード線26上には厚い層間絶縁膜27Cが形成され
る。 次に、第47図に示すように、n型半導体領域28、p型
半導体領域30の夫々を形成する。n型半導体領域28を形
成することにより、メモリセル選択用MISFETQsが略完成
する。 次に、第48図に示すように、前記ゲート電極26の側壁
及びその上層の層間絶縁膜27の側壁にサイドウォールス
ペーサ29、前記ワード線26の側壁及び層間絶縁膜27Cの
側壁にサイドウォールスペーサ29Aの夫々を形成する。 次に、前記層間絶縁膜27、27Cの夫々を含む基板全面
に層間絶縁膜31を形成し、この後、第49図に示すよう
に、前記実施例Iと同様に接続孔31A及び32を形成す
る。 次に、第50図に示すように、メモリセルMの形成領域
において、層間絶縁膜31を介在させて層間絶縁膜27、27
Cの夫々の上部に引き伸ばされたスタックド構造の情報
蓄積用容量素子Cの下層電極層33を形成する。同第50図
に示すように、下層電極層33は、ワード線26の上部にお
いて高さ方向に面積を増加しているので、スタックド構
造の情報蓄積用容量素子Cの電荷蓄積量を増加すること
ができる。また、下層電極層33は、ゲート電極26の上部
において段差形状を低減し(アスペクト比を小さくし)
ているので、相補性データ線50とメモリセルMとの接続
領域において相補性データ線50のステップカバレッジを
向上することができる。また、下層電極層33は、前述の
ように電荷蓄積量を増加することができるので、膜厚を
薄くすることができ、n型不純物の導入や加工を簡単化
することができる。 前記下層電極層33を形成する工程以降は、前記実施例
Iと同様であるので、ここでの説明は省略する。 このように、(37-20)相補性データ線50とワード線2
6との交差部にメモリセルMが配置され、このメモリセ
ルMがメモリセル選択用MISFETQsとスタックド構造の情
報蓄積用容量素子Cとの直列回路で構成されるDRAM1に
おいて、前記メモリセルMのスタックド構造の情報蓄積
用容量素子Cの下層電極層33を、このメモリセルMのメ
モリセル選択用MISFETQsのゲート電極26とそのゲート幅
方向に隣接する他のメモリセルMを選択するワード線26
との間に夫々重合するように構成し、前記下層電極層33
と前記ワード線26との間の層間絶縁膜27Cを前記下層電
極層33と前記ゲート電極26との間の層間絶縁膜27に比べ
て厚く構成する。この構成により、前記下層電極層33と
ワード線26との間の層間絶縁膜27Cを厚くし、下層電極
層33の段差を高くしたので、下層電極層33の面積を高さ
方向で増加し、スタックド構造の情報蓄積用容量素子C
の電荷蓄積量を増加することができると共に、前記下層
電極層33とゲート電極26との間の層間絶縁膜27を薄く
し、メモリセル選択用MISFETQsと相補性データ線50との
接続部分の段差を低くしたので、前記接続部分でのアス
ペクト比を小さくし、相補性データ線50の断線不良を低
減することができる。この結果、α線ソフトエラー耐圧
を向上し、DRAM1の集積度を向上することができると共
に、DRAM1の電気的信頼性を向上することができる。 なお、前記層間絶縁膜27、27Cの夫々は別々の工程で
形成した絶縁膜で形成してもよい。 (実施例IV) 本実施例IVは、前記実施例IのDRAMにおいて、メモリ
セルのスタックド構造の情報蓄積用容量素子Cの下層電
極層の膜厚を厚くし、電荷蓄積量を増加した、本発明の
第4実施例である。 本発明の実施例IVであるDRAMのメモリセルの断面構造
を第51図乃至第54図(要部断面図)で示す。 第51図に示すDRAM1のメモリセルMは、スタックド構
造の情報蓄積用容量素子Cの下層電極層33の膜厚を厚く
構成している。例えば、下層電極層33は、接続孔32の内
部を確実に埋込み、その表面が実質的に平坦化される程
度の膜厚又はそれ以上の膜厚で形成されている。例え
ば、接続孔32の開口寸法L(ゲート電極26とワード線26
との間の寸法)を約1.0[μm]とした場合、下層電極
層33の膜厚Tは約500[nm]程度又はそれ以上で形成す
る(T≧1/2×L)。 このように構成されるスタックド構造の情報蓄積用容
量素子Cは、下層電極層33の端面の面積を増加し、この
端面で電荷蓄積量を増加することができるので、メモリ
セルMの面積を縮小し、DRAM1の集積度を向上すること
ができる。 第52図に示すDRAM1のメモリセルMは、スタックド構
造の情報蓄積用容量素子Cの下層電極層33を餉記接続孔
32が埋まり込む直前の膜厚で形成している。 このように構成されるスタックド構造の情報蓄積用容
量素子Cは、下層電極層33の膜厚がある程度厚いので、
下層電極層33の端面で電荷蓄積量を増加することができ
ると共に、前記接続孔32及び31Aの段差形状に沿って下
層電極層33を形成し、前記段差に相当する分、高さ方向
に下層電極層33の面積を増加することができるので、電
荷蓄積量を増加することができる。つまり、前記スタッ
クド構造の情報蓄積用容量素子Cの電荷蓄積量の増加
は、メモリセルMの面積を縮小し、DRAM1の集積度を向
上することができる。 第53図、第54図の夫々に示すDRAM1のメモリセルM
は、スタックド構造の情報蓄積用容量素子Cの下層電極
層33を複数の層で構成している。第53図に示すスタック
ド構造の情報蓄積用容量素子Cの下層電極層33は下層電
極層33E、33Fの夫々を積層した2層構造で構成されてい
る。下層電極層33は、下層電極層33Eを形成する多結晶
珪素膜を堆積後、n型不純物を熱拡散法又はイオン打込
法で導入し、この後、下層電極層33Fを形成する多結晶
珪素膜を堆積後、同様にn型不純物を導入し、この後、
夫々の多結晶珪素膜を加工することにより形成されてい
る。つまり、下層電極層33は、その膜厚が厚くなると不
純物濃度の分布の制御が難しくなるので、複数層に分割
し、分割された夫々の層にn型不純物を導入し、全体と
しての不純物濃度の分布を均一化している。第54図に示
すスタックド構造の情報蓄積用容量素子Cの下層電極層
33は、同様に下層電極層33F、33F、33Gの夫々を積層し
た3層構造で構成されている。 このように構成されるスタックド構造の情報蓄積用容
量素子Cは下層電極層33の不純物濃度の分布を均一化す
ることができる。 (実施例V) 本実施例Vは、前記実施例IのDRAMにおいて、メモリ
セルのメモリセル選択用MISFETQs、nチャネルMISFETQn
の挟チャネル効果を低減した、本発明の第5実施例であ
る。 本発明の実施例VであるDRAM1は、前記実施例Iの第2
0図に示すp型不純物(チャネルストッパ領域24Aを形成
する不純物)24pを高エネルギのイオン打込法で導入す
る。イオン打込法のエネルギ量は約100〜150[KeV]程
度で行う。この高エネルギを使用するイオン打込法で導
入されるp型不純物24pは、その導入時、素子間分離用
絶縁膜23よりも深い位置に不純物濃度の最大ピーク値を
有する。前記p型不純物24pの導入に際しては前記マス
ク(62)を加工したエッチングマスク(フォトレジスト
膜)を併用してもよい。前記p型不純物24pは、酸化珪
素膜60Aを突き抜け、n-型ウエル領域21の主面部に導入
される可能性があるので、p型不純物24pの導入時、n-
型ウエル領域21の主面上には不純物導入マスク例えばフ
ォトレジスト膜を形成する。このp型不純物24pの導入
後は、前記実施例Iと同様に、素子間分離用絶縁膜23を
形成し、この形成と共に、前記p型不純物24pを拡散し
てp型チャネルストッパ領域24A、p型半導体領域24Bの
夫々を形成する。 このように、DRAM1の製造方法において、p型チャネ
ルストッパ領域24Aを形成するp型不純物24pを高エネル
ギのイオン打込法で導入する。この構成により、前記p
型不純物24pをp-型ウエル領域22の深い領域に導入し、
素子間分離用絶縁膜23の形成時の横方向の拡散量を低減
することができるので、p-型ウエル領域22特にチャネル
形成領域の不純物濃度の増加を抑制し、メモリセル選択
用MISFETQs、nチャネルMISFETQn、Qoの夫々の挟チャネ
ル効果を低減することができる。また、前記p型不純物
24pをp-型ウエル領域22の深い領域に導入し、素子間分
離用絶縁膜23の形成時にそれにp型不純物24pが食われ
ることを低減することができるので、p型チャネルスト
ッパ領域24Aの不純物濃度を高め、寄生MOSのしきい値電
圧を上昇させ、素子間の分離を確実に行うことができ
る。 (実施例VI) 本実施例VIは、前記実施例IのDRAM1において、パッ
シベーション膜の上層の樹脂膜を分割した、本発明の第
6実施例である。 本発明の実施例VIであるDRAMを形成する半導体ウエー
ハの平面構造を第55図(要部平面図)に示す。 第55図に示すように、半導体ウエーハ100は、前記実
施例IのDRAM1を行列状に複数個配置している。同第55
図に示す半導体ウエーハ100はダイシング工程前の状態
を示しいる。夫々のDRAM1はスクライブエリア(ダイシ
ングエリア)100Aで周囲を規定された領域内に配置され
ている。 半導体ウエーハ100に配置された個々のDRAM1の表面に
は前記実施例Iで説明したパッシベーション膜54の上層
の樹脂膜(例えばポリイミド系樹脂膜)54Cが塗布され
ている。この樹脂膜54Cは、半導体ウエーハ100のスクラ
イブエリア100A及び各DRAM1の外部端子BPに相当する領
域には塗布されておらず、しかも各DRAM1の表面上にお
いて複数に分割されている。樹脂膜54Cは、α線ソフト
エラー耐圧を高める目的で塗布されているので、メモリ
セルアレイ11A及びセンスアンプ回路(SA)13、カラム
アドレスデコーダ回路(YDEC)12等α線ソフトエラー耐
圧を確保したい直接周辺回路の一部には塗布されてい
る。つまり、樹脂膜54Cは、前記α線ソフトエラー耐圧
を確保する必要がない直接周辺回路の他部及び間接周辺
回路上の領域を分割領域としている。前記直接周辺回路
の他部としてはロウアドレスデコーダ回路(XDEC)14、
ワードドライバ回路(WD)15等がある。間接周辺回路と
してはクロック系回路、バッファ回路等がある。この樹
脂膜54Cは、分割されたことにより、その下層のパッシ
ベーション膜54の窒化珪素膜54B等の膜や半導体ウエー
ハ100そのものに作用するストレスを緩和することがで
きる。 前記樹脂膜54Cの形成方法は以下のとおりである。 まず、下地の窒化珪素膜54Bの表面上に樹脂膜を塗布
し、第1回目のベーク処理を行う。このベーク処理は、
例えば80〜90[℃]、800〜1000[秒]を施した後、再
度、例えば120〜140[℃]、800〜1000[秒]を施して
いる。 次に、フォトリソグラフィ技術及びエッチング技術を
使用し、樹脂膜のスクライブエリア100A及び外部端子BP
の領域、分割領域の夫々を除去する。 そして、再度、前記樹脂膜に第2回目のベーク処理を
施し、前述の樹脂膜54Cを形成する。このベーク処理
は、例えば150〜200[℃]、800〜1000[秒]を施した
後、再度、例えば300〜400[℃]、800〜1000[秒]を
施している。第2回目のベーク処理においては、樹脂膜
54Cはその下層や半導体ウエーハ100に作用するストレス
が最っとも大きいが、樹脂膜54Cは分割されているの
で、前記ストレスは低減されている。 なお、前記半導体ウエーハ100にダイシング処理を施
し、DRAM1を個々の半導体チップにした場合において
も、同第55図に示すように、樹脂膜54Cの塗布された領
域(分割された領域)に変化はない。 このように、(38−21)p-型半導体基板22の主面(又
は半導体ウエーハ100の主面)に配置されたメモリセル
アレイ11A、メモリセルMの情報書込み動作及び情報読
出し動作を直接制御する直接周辺回路及びそれ以外の間
接周辺回路の表面に樹脂膜54Cを塗布したDRAM1におい
て、前記樹脂膜54Cを複数に分割する。この構成によ
り、前記p-型半導体基板20(又は半導体ウエーハ10
0)、樹脂膜54Cの夫々の線膨張係数差に基づくストレス
を緩和することができるので、p-型半導体基板20の反り
やその主面上の膜にクラックが発生することを防止する
ことができる。前記樹脂膜54Cはダイシング工程前の半
導体ウエーハ100のときに塗布されベーク処理すること
により形成されているので、プローブ試験時にプローブ
針の接触不良を低減し、ウエーハ検査工程の信頼性を高
め又歩留りを向上することができる。 また、(40-22)前記スクライブ工程前の前記DRAM1の
形成領域が複数個行列状に配置された半導体ウエーハ10
0の表面上の全面に樹脂膜54Cを塗布する工程と、この樹
脂膜54Cの各DRAM1の形成領域間(スクライブエリア100
A)及び外部端子BPの領域を除去すると共に、前記各DRA
M1の形成領域上の樹脂膜54Cを分割する工程と、前記半
導体ウエーハ100のスクライブエリア100Aをスクライブ
し、複数個のDRAM1を形成する工程とを備える。この構
成によリ、前記樹脂膜54Cを分割する工程を前記半導体
ウエーハ100のスクライブエリア100A及び外部端子BPの
領域において樹脂膜54Cを除去する工程で行うことがで
きるので、前記樹脂膜54Cを分割する工程に相当する
分、DRAM1の形成工程数を低減することができる。 (実施例VII) 本実施例VIIは、前記実施例IのDRAMにおいて、カラ
ムアドレスデコーダ回路数を低減した、本発明の第7実
施例である。 本発明の実施例VIIであるDRAMのメモリセルアレイの
平面構造を第56図(要部平面図)及び第57図(所定の製
造工程における要部平面図)で示す。 前記実施例IのDRAM1に示すカラムアドレスデコーダ
回路(YDEC)12の配置数を低減する場合、第56図に示す
ようにカラムセレクト信号線(YSL)50が配置されてい
る。カラムセレクト信号線50はカラムアドレスデコーダ
回路12によりカラムスイッチ用nチャネルMISFETQyを制
御するように構成されている。カラムスイッチ用nチャ
ネルMISFETQyは相補性データ線50、コモンデータ線I/O
の夫々を接続するように構成されている。カラムセレク
ト信号線50は、情報書込み動作速度及び情報読出し動作
速度の高速化を図る目的で低抵抗配線材料を使用しかつ
製造工程数を低減するために、相補性データ線50と同一
導電層(同一製造工程)で形成されている。 前記カラムスイッチ用nチャネルMISFETQyの配置形態
により異なるが、基本的には1組の相補性データ線50に
対して1本のカラムセレクト信号線50が配置されてい
る。 本実施例のDRAM1は2組の相補性データ線(4本のデ
ータ線DL,▲▼)50毎に1本配置されている。通
常、2組の相補性データ線50のうちの1組の相補性デー
タ線50と他の1組の相補性データ線50との間にはダミー
カラムセレクト信号線が配置されている。ダミーカラム
セレクト信号線は、この領域において相補性データ線50
の間隔が広くなることを低減し、相補性データ線50の配
置間隔を均一化するために配置される。つまり、フォト
リソグラフィ技術でエッチングマスク(例えばフォトレ
ジスト膜)を形成する際に、間隔の広い領域においては
露光時の回折現象により他の領域に比べてエッチングマ
スクのサイズが縮小されるが、ダミーカラムセレクト信
号線はこの現象を低減するために配置されている。この
対象となるエッチングマスクとしては、スタックド構造
の情報蓄積用容量素子Cの下層電極層33、相補性データ
線50又はシャント用ワード線53を加工するマスクであ
る。ところが、本実施例のDRAM1は、このような現象が
無視できるので、ダミーカラムセレクト信号線を削除し
ている。 前記カラムセレクト信号線50は、ダミーカラムセレク
ト信号線と同様に、相補性データ線50の間隔を広くす
る。このカラムセレクト信号線50の近傍の特にメモリセ
ルMのスタックド構造の情報蓄積用容量素子Cの下層電
極層33はそれ以外のスタックド構造の情報蓄積用容量素
子Cの下層電極層33に比べて大きなサイズ(大きな電荷
蓄積量)で構成されている。つまり、この下層電極層33
は、前記ダミーカラムセレクト信号線を配置した場合と
同様の現象を生じるので、サイズの縮小に相当する分、
予じめサイズを大きく構成している。この下層電極層33
はカラムセレクト信号線50の下部に平面方向において引
き伸ばされた(交差された)突出部33Hによりサイズを
大きく構成している。つまり、突出部33Hは、カラムセ
レクト信号線50の占有面積内に形成することができるの
で、この占有面積を兼用した分、DRAM1の集積度を向上
することができる。 前記サイズが小さい下層電極層33は、情報読出し動作
が可能でしかもα線ソフトエラー耐圧を確保できる最小
限の電荷蓄積量が得られるように構成されている。これ
に対して、サイズが大きい下層電極層33は、その加工時
にサイズが縮小される分を考慮し、少なくとも最小限の
電荷蓄積量が得られるように構成されている。この下層
電極層33はサイズが大きい分には特に問題はない。した
がって、本実施例のDRAM1は、夫々異なるサイズの下層
電極層33を有する2種類のスタックド構造の情報蓄積用
容量素子Cを配置している。 このように、(35-19)相補性データ線50とワード線2
6との交差部にメモリセル選択用MISFETQsとスタックド
構造の情報蓄積用容量素子Cとの直列回路からなるメモ
リセルMを配置し、前記2組の相補性データ線50毎に相
補性データ線50と同一導電層でかつ同一方向に延在する
カラムセレクト信号線50を延在させるDRAM1であって、
前記カラムセレクト信号線50に隣接する相補性データ線
50のうちの一方のデータ線に接続されたメモリセルMの
スタックド構造の情報蓄積用容量素子Cの下層電極層33
を他のメモリセルMのスタックド構造の情報蓄積用容量
素子Cの下層電極層33に比べて大きなサイズで構成す
る。この構成により、前記カラムセレクト信号線50を配
置した分に相当する相補性データ線50間の寸法の広がり
に基づき、下層電極層33を加工するエッチングマスクが
露光時に回折現象でサイズが縮小化される分、予じめ前
記カラムセレクト信号線50に隣接する一方のデータ線に
接続されたメモリセルMのスタックド構造の情報蓄積用
容量素子Cの下層電極層33のサイズを大きくしたので、
この下層電極層33が設定値以下のサイズに縮小化される
ことがなく、スタックド構造の情報蓄積用容量素子Cの
電荷蓄積量を確保することができる。この結果、α線ソ
フトエラー耐圧を向上し、メモリセルMの面積を縮小す
ることができるので、DRAMの集積度を向上することがで
きる。 (実施例VIII) 本実施例VIIIは、前記実施例IのDRAMにおいて、ゲー
ト配線間や配線間の層間絶縁膜の膜質を高めた、本発明
の第8実施例である。 本発明の実施例VIIIであるCVD装置の概略構成を第58
図(ガス供給系を示すブロック図)で示す。 第58図に示すCVD装置は、主に、反応炉体110、真空ポ
ンプ111、ソースガス供給管112及び113、キャリアガス
供絵管114、各供給経路に配置されたマスフローコント
ローラ115及び制御バルブ116で構成されている。このCV
D装置はステップカバレッジが高くかつ膜の縮みが小さ
い酸化珪素膜を形成するように構成されている。このCV
D装置は、前記実施例IのDRAM1において、具体的には層
間絶縁膜27、サイドウォールスペーサ29、層間絶縁膜31
の夫々を形成する。 前記ソースガス供給管112はソースガスG4例えば無機
シランガス(SiH4,Si2H6等)を反応炉体110に供給する
ように構成されている。ソースガス供給管113はソース
ガスG5例えば酸化窒素ガス(N2O)を反応炉体110に供給
するように構成されている。キャリアガス供給管114は
キャリアガスG6例えば窒素ガス(N2)を供給するように
構成されている。 前記反応炉体110は、第59図(概略構成図)に示すよ
うに、反応管(外管)110Aの内側に反応管(内管)110B
を設けた2重構造で構成されている。前記反応管(外
管)110Aの外周には加熱ヒータ110Cが配置されている。
同第59図に示す反応炉体110の一端側は真空ポンプ111に
接続されている。また、反応炉体110の他端側には半導
体ウエーハ100を複数枚挿入する(バッチ処理を行う)
ことがきる開閉扉110Dが設けられている。反応炉体110
内においては、半導体ウエーハ100の酸化珪素膜の堆積
面と反応ガスの供給方向とが交差するように、半導体ウ
エーハ100を立て掛けた状態で挿入し、保持できるよう
に構成されている。 前記反応炉体110の他端側の反応管110B内には前記ソ
ースガス供給管112に接続されたノズル112A及びそれに
近接した位置にソースガス供給管113に接続されたノズ
ル113Aが配置されている。第60図(要部拡大断面図)に
示すように、ノズル112AはソースガスG4を反応管110B内
に供給し、ノズル113Aは前記ソースガスG4と混合するよ
うにソースガスG5を反応管110B内に供給するように構成
されている。この構成に限定されないが、ノズル112A、
ノズル113Aの夫々のガス供給方向は互いに交差するよう
に権成されている。 前記ノズル112Aから供給されるソースガスG4例えばSi
H4は熱分解温度が約400[℃]である。ノズル113Aから
供給されるソースガスG5例えばN2Oは熱分解温度が約550
[℃]である。したがって、単純にソースガスG4、G5の
夫々を反応管110B内に供給した場合、SiH4が先に熱分解
し、反応管110B内壁や半導体ウエーハ100の表面に珪
素、ポーラスな酸化珪素等の異物が付着してしまうが、
本実施例のCVD装置は、特にソースガスG4の熱分解温度
に達する前にソースガスG4、G5の夫々を混合し、ソース
ガスG4を希薄化しているので、前述のような異物の付着
を低減することができる。 例えば、具体的な酸化珪素膜の一例の生成条件は次の
とおりである。
[Wiring Forming Steps 1 and 2] The wiring 50 shown in FIG. 32 and the wiring 53 shown in FIG.
Each is shown in Fig. 39 (schematic diagram of the device)
Processing-ashing processing-wet processing-drying processing
Use a continuous processing device that performs
You. The continuous processing device 80 shown in FIG.
Room 81, load room 82, etching room 83, ashing room 84,
Unloading room 85, rinsing room 86, bake drying room 87
Are provided in series. Load chamber 82, etching chamber
83, ashing room 84, unloading room 85
Buffer room (in the same vacuum system) 80A shielded from the atmosphere
Are located in Buffer chamber 80A is, for example, 10-3~Ten-6
A degree of vacuum of [atmospheric pressure] is maintained. Load in the loading / unloading chamber 81 of the continuous processing unit 80
The cassette 81A is configured to be detachably mounted.
I have. This load cassette 81A is an unprocessed semiconductor wafer.
It is configured so that a plurality of C 100 can be stored. Low
The semiconductor wafer 100 stored in the cassette 81A is for transport
A robot placed in the buffer chamber 80A with the arm 88A interposed
Transported to the load chamber 82. The semiconductor wafer 100 transferred to the load chamber 82 is
Transported to the etching chamber 83 with the intervening arm 88B.
It is. The etching chamber 83 is designed for photolithography
Etching mask (photoresist film)
Using an anisotropic etching method (or the chopping
The wiring 50 or 53 is formed by etching.
As the anisotropic etching gas, a halogen compound (BClThree
+ CFFour) And halogen elements (ClTwo) Use mixed gas
You. The etching chamber 83 has, for example, 10-1~Ten-3
The degree of vacuum is about [atmospheric pressure]. The semiconductor which has been subjected to the etching process in the etching chamber 83
The body wafer 100 can be swung without opening to the atmosphere.
Transported to the ashing chamber 84 via the arm 88C.
You. The ashing chamber 84 is provided with the etching mask (photo
Resist compound) to a halogen compound (CFFourOr CHFThree) And acid
Element (OTwo). Ashing room 84 is an example
For example, 2-10-1In a state where the degree of vacuum is maintained at [atmospheric pressure]
Ashing process at a temperature of about 25 to 200 [° C]
Is performed. The semiconductor wafer subjected to the ashing process in the ashing chamber 84
The AHA 100 is unloaded with the swing arm 88C interposed.
Transport chamber 85. Semiconductor transported to unload chamber 85
The body wafer 100 is washed with a transfer arm 88D.
Transported to 86. This washing chamber 86 and the subsequent baking
The drying chamber 87 is located outside the buffer chamber 80A (the continuous processing device 80).
Inside) and maintained at atmospheric pressure. The rinsing chamber 86 is a chamber generated by the etching process.
Rogen element (ClTwo). This haloge
The element in the atmosphere outside the continuous treatment device 80, especially HTwoTouch O
Then, the aluminum film (or its alloy film) 50B of the wiring 50
Or the aluminum film (or its alloy film) 53B of the wiring 53
Corrodes exposed surfaces. After the washing process,
The semiconductor wafer 100 is transferred to the bake drying chamber 87 by the transfer arm 88E.
And dried in the bake drying chamber 87. Bake
When the drying process is completed, the semiconductor wafer 100 is unloaded.
Stored in the cassette 81B. The semiconductor wafer stored in the unload cassette 81B
The wafer 100 is cleaned by a device different from the continuous processing device 80.
Each of a treatment, a drying treatment, and an inert treatment is performed. Washing
The cleaning process is to remove foreign matter after etching and aluminum
The aluminum film 53B of the wiring film 50B or the wiring 53 is exposed.
Side film attached to the surface (for example, containing Al etc.
This is a process for removing the compound thin film. This cleaning process is
This is performed using a potash washing solution or an acid washing solution. The drying process is
Drying after washing. The inert treatment is performed by using the aluminum
For forming an oxide film on the exposed surface of the film 50B or 53B.
Reason. In this way, the (28-16) anisotropic etching
Pattern the minium film (or its alloy film) 50B or 53B
In the method of forming a DRAM 1 to be
A film 50B or 53B is deposited, and an etching mask is formed on this surface.
(Photoresist mask) forming process and halogen
Anisotropy using elements and halogen compounds as etching gas
Using etching, before in vacuum system (in buffer chamber 80A)
The aluminum film 50B or 53B has a predetermined patterning.
In the same vacuum system as in the anisotropic etching step
The etching mask with a halogen compound and oxygen gas.
Removing by ashing using
Shields chlorine generated during the etching process from the atmosphere outside the equipment
Washing in the set system and thereafter drying.
You. With this configuration, the ashing process is performed by an etching process.
The same anisotropic etching process is performed in the same vacuum system as
Rinse treatment performed in a system where the generated chlorine is shielded from the atmosphere
(86) so that the aluminum
Corrosion of the film 50B or 53B can be reduced. In addition, each of the wirings 50 and 53 is shown in FIG.
Etching process-low-temperature ashing process shown in configuration diagram)
-A continuous process that consistently and continuously processes each of the vacuum bake processes
Processing using a processing device. The continuous processing device 80I shown in FIG.
Chamber 81, load chamber 82, etching chamber 83, low-temperature ashing
Chamber 84A, nitrogen gas blow vacuum bake chamber 89, unload chamber 8
Each of the five is provided in series. Load room 82, edge
Ching room 83, low temperature ashing room 84A, nitrogen gas blow true
Empty bake room 89 and unload room 85 each have buffer room 80A
Are located in The semiconductor wafer 100 subjected to the etching process is
Low temperature ashing chamber 84A with swing arm 88C interposed
Conveyed. The low-temperature ashing chamber 84A is
Placed in the buffer chamber 80A in the same vacuum system as at room temperature
Perform ashing at low temperatures (approximately 20 ° C)
Is going. This ashing is performed in the same manner as described above.
Etching mask with mixed gas of oxygen compound and oxygen
This is the process of removing. Low-temperature ashing process
Aluminum film 50B of 50 or aluminum film 53B of wiring 53
In the side film attached to the side of the resist and the side of the resist
Al is oxidized and AlTwoOThreeIn the low-temperature region where
This is a shing process. Semiconductor wafer 10 subjected to the low-temperature ashing process
0 is nitrogen gas blow vacuum with swing arm 88C interposed
It is transported to the bake chamber 89. This nitrogen gas blow vacuum
Chamber 89 is heated by a hot plate or heating lamp.
The surface of the wafer 100 is heated to about 200 to 400 [° C.]
To reduce halogen elements generated by etching
Is configured. Also, nitrogen gas blow vacuum bake chamber
89 is high purity nitrogen during heating of the semiconductor wafer 100.
Gas (NTwo: Dew point -60 [° C] or less) as carrier gas
It reduces the flow of air and oxygen. After the vacuum baking process, a washing process,
Each of the drying process and the inactive process is sequentially performed. In this way, (26-15) anisotropic etching
DRAM1 shape for patterning the minium film 50B or 53B
In the forming method, the aluminum film 50B or 53B is deposited.
And forming an etching mask on the surface;
Use halogen elements and halogen compounds as etching gas
The aluminum foil in a vacuum system using anisotropic etching
Performing a predetermined patterning on the film 50B or 53B;
In the same vacuum system as in the anisotropic etching step,
Chamber using a halogen compound and oxygen gas
Removing by low-temperature ashing below the temperature;
The predetermined patterning in the same vacuum system as the shing process
Baked aluminum film or its alloy film
Performing a process. With this configuration, the above
Singing process at low temperature and same vacuum system as etching process
Because it is performed inside, the side wall of the aluminum film 50B or 53B
And Al in the side film attached to the side of the resist
AlTwoOThreeCan be reduced, and the side film can be removed
It becomes easy and vacuum from the anisotropic etching process.
In the same vacuum system without opening to the atmosphere until baking
And chlorine generated in the anisotropic etching process
Can be reduced by vacuum baking,
Corrosion of the Luminium film 50B or 53B can be reduced
You. Also, each of the wirings 50 and 53 is shown in FIG.
Etching process-low-temperature ashing process shown in configuration diagram)
-Vacuum bake treatment-Cleaning treatment-Inert treatment
Using a continuous processing device for continuous processing. The continuous processing device 80II shown in FIG.
Chamber 81, load chamber 82, etching chamber 83, low-temperature ashing
Chamber 84A, nitrogen gas blow vacuum bake chamber 89, unload chamber 8
5, each of the cleaning processing room 90 and the inert processing room 91 are provided in series.
I have. That is, the continuous processing device 80II
The device 80I and the process for performing the processes after the process performed by the device 80I
It is configured in combination with a control device. As mentioned earlier,
The cleaning chamber 90 is different between acid and alkali cleaning solutions or acid cleaning solutions.
It is configured to remove objects and side films.
The inert processing chamber 91 is provided on the surface of the aluminum film 50B or 53B.
This is a process for forming an oxide film on the substrate. Further, the ashing process or the low-temperature ashing process
Is a halogen compound (CFFour) And oxygen
It is done with joint gas. Oxygen removes etching mask
Halogen compound is used in the etching mask.
Has the effect of increasing the speed of removal. Surface of the wiring 50
Thin transition metal film 50C, thin on the surface of wiring 53
Each of the transition metal films 53C having a thickness is provided.
In the ashing process using a combined gas, the transition metal
Remove each of the metal films 50C and 53C by overashing.
Would. Therefore, in this embodiment, the ashing
The processing or the low-temperature ashing processing is performed by using the transition metal film 50 of the wiring 50.
C or the above until the surface of the transition metal film 53C of the wiring 53 is exposed.
Ash with a mixed gas (just ashing)
After that, overashing is performed only with oxygen gas. (Embodiment II) This embodiment II is a modification of the DRAM 1 of the embodiment I.
According to the present invention, the area of the recell M is reduced and the degree of integration is improved.
This is a second embodiment. Example 2 of a DRAM memory cell array according to Embodiment II of the present invention.
The surface structure is shown in FIG. 42 (plan view of main part). The DRAM 1 of the present embodiment II has a memory
One n-type semiconductor of MISFETQs for memory cell selection of cell M
Connection hole 40 connecting region 28 and complementary data line (DL) 50
B is connected to the upper layer of the stacked information storage capacitor C.
It is formed in self-alignment with the pole layer 35. The connection hole 40
In B, each of the complementary data line 50 and the upper electrode layer 35
Is a separation insulating film (35 not shown in FIG. 42).
A) is electrically isolated. Next, a specific method of manufacturing the DRAM 1 will be described with reference to FIG.
To 45 (memory cell array shown for each predetermined manufacturing process)
A brief explanation using (a) a cross-sectional view of the main parts of CMOS of peripheral circuits
I will tell. First, similar to the step shown in FIG.
Of the information storage capacitor C having the stacked structure of the memory cell M
After depositing a polycrystalline silicon film for forming the upper electrode layer 35,
Etching mask 67A is formed on the polycrystalline silicon film.
The etching mask 67A is shown in FIG. 29 of the embodiment I.
Unlike the etching mask 67, the memory cell M and the complementary
Memory cell array 11B that matches the connection area with the data line (50)
Is formed so as to cover the entire area. Thereafter, using the etching mask 67A, the peripheral circuit
Region of the polycrystalline silicon film, the dielectric film 34, the interlayer insulating film 31
Are sequentially etched, as shown in FIG. 43.
Thus, upper electrode layer 35 is formed. This upper electrode layer 35
The information storage capacity of the stacked structure
The quantity element C is substantially completed. Next, as shown in FIG. 44, the surface of the upper electrode
An insulating film 36 is formed on the entire surface of the substrate including the upper surface.
The edge films 39 and 40 are sequentially laminated. Next, it is complementary to the memory cell M in the memory cell array 11B.
In the connection region with the conductive data line (50), the interlayer insulating film 4
0, 39, the insulating film 36, and the upper electrode layer 35 are sequentially etched.
Then, a part of the connection hole 40B is formed. This d
The etching is performed, for example, by anisotropic etching (or isotropic etching).
And the dielectric film 34 (or
Uses the interlayer insulating film 31) as an etching stopper layer.
You. Next, the dielectric film 34 exposed from a part of the connection hole 40B
(Especially silicon nitride film 34A) as an oxidation resistant mask.
The surface of the upper electrode layer 35 exposed on a part of the inner wall of the connection hole 40B.
The surface is oxidized to form the isolation insulating film (silicon oxide film) 35A.
You. This isolation insulating film 35A is, for example, at least 100 [nm].
It is formed with a film thickness of about. After this, one of the connection holes 40B
Each of the dielectric film 34 and the interlayer insulating film 31 exposed from the portion is sequentially
By etching, connect as shown in Figure 45
Hole 40B is completed. Further, the isolation insulating film 35A is made of a dielectric material.
Do not use body film 34 as an oxidation resistant mask (depending on conditions,
(Removed during etching)
It may be formed. Next, as in Example I, n+Replaces the type semiconductor region 41
To form complementary data lines 50 and other wiring 50
I do. Subsequent manufacturing steps are the same as in Example I.
Therefore, the description is omitted here. The memory cell M of the DRAM 1 according to the first embodiment stores the complementary data.
Connection hole 40B for connecting the data line 50 and a MISFE for selecting a memory cell.
TQs gate electrode 26, stacked information storage capacitor
In the manufacturing process between each of the upper electrode layers 35 of the device C
Alignment margin is secured. The upper electrode layer 35 is the lower layer
To ensure a margin between the lower electrode layer 33 and
The lower electrode layer 33 is formed between the lower electrode layer 33 and the lower gate electrode 26.
The extra margin dimensions are secured. However, the DR of Example II
In AM1, each of the connection hole 40B and the upper electrode layer 35 is self-aligned.
, So it is equivalent to the alignment margin between the two.
By reducing the area of the memory cell M and improving the degree of integration.
Can be. (Embodiment III) The present embodiment III is a modification of the DRAM 1 of the embodiment I.
Improves the amount of charge stored in the capacitor C for information storage with a locked structure
To reduce the memory cell area, and
A third embodiment of the present invention with increased step coverage
You. A memory cell array and a DRAM according to Embodiment III of the present invention.
FIGS. 46 to 50 (places)
Brief description using the main part cross-sectional views shown for each fixed manufacturing process)
I do. First, similar to the step shown in FIG.
The gate electrode (26) and the entire surface of the substrate including the gate insulating film 25
Polycrystalline silicon film used as word line (26), interlayer insulation
Each of the edge films 27C is sequentially laminated. The interlayer insulating film 27C is
Increases the amount of charge stored in the information storage capacitor C with a locked structure
For example, a film having a thickness as large as about 600 [nm] is formed.
You. As the interlayer insulating film 27C, inorganic silane
Deposited by CVD method using gas and nitrogen oxide gas as source gas
You. Next, the MISFE for selecting the memory cell of the memory cell array 11B
TQs, n-channel MISFETQn of peripheral circuit, p-channel MISFE
In each formation region of TQp, the interlayer insulating film 27C
Then, an interlayer insulating film 27 having a small film thickness is formed.
The interlayer insulating film 27 is etched to a thickness of, for example, about 300 [nm].
To run. Next, as shown in FIG. 46, the interlayer insulating films 27, 27
C, each of polycrystalline silicon film is sequentially anisotropically etched.
Etching to form gate electrode 26 and word line 26 respectively
I do. As shown in FIG. 46, the MISFET for selecting a memory cell
Qs, n-channel MISFETQn and p-channel MISFETQp
On the gate electrode 26, a thin interlayer insulating film 27 is formed. one
On the other hand, a thick interlayer insulating film 27C is formed on the word line 26.
You. Next, as shown in FIG. 47, the n-type semiconductor region 28, the p-type
Each of the semiconductor regions 30 is formed. Form n-type semiconductor region 28
MISFETQs for memory cell selection are almost completed
I do. Next, as shown in FIG. 48, the side wall of the gate electrode 26 is formed.
And sidewalls on the side walls of the interlayer insulating film 27 thereover.
Pacer 29, the side wall of the word line 26 and the interlayer insulating film 27C
Each of the side wall spacers 29A is formed on the side wall. Next, the entire surface of the substrate including each of the interlayer insulating films 27 and 27C
Then, an interlayer insulating film 31 is formed, and thereafter, as shown in FIG.
Then, connection holes 31A and 32 are formed in the same manner as in Example I.
You. Next, as shown in FIG.
In the above, interlayer insulating films 27, 27
Stacked structure information stretched on top of each of C
The lower electrode layer 33 of the storage capacitor C is formed. Fig. 50
As shown in the figure, the lower electrode layer 33 is formed above the word line 26.
And the area is increasing in the height direction.
Increasing the amount of charge stored in the information storage capacitor C
Can be. In addition, the lower electrode layer 33 is located above the gate electrode 26.
Reduced step shape (reduced aspect ratio)
Connection between the complementary data line 50 and the memory cell M
Step coverage of the complementary data line 50 in the region
Can be improved. Further, the lower electrode layer 33 is formed as described above.
The charge accumulation amount can be increased as
Thinner, simplifying introduction and processing of n-type impurities
can do. After the step of forming the lower electrode layer 33, the embodiment
Since it is the same as I, the description here is omitted. Thus, the (37-20) complementary data line 50 and word line 2
Memory cell M is arranged at the intersection with
MISFETQs for memory cell selection and information on the stacked structure
DRAM1 composed of a series circuit with the information storage capacitor C
Information storage in the stacked structure of the memory cell M.
The lower electrode layer 33 of the capacitive element C is
Gate electrode 26 and its gate width of MISFETQs for memory cell selection
Word line 26 for selecting another memory cell M adjacent in the direction
And the lower electrode layer 33
The interlayer insulating film 27C between the
Compared with the interlayer insulating film 27 between the pole layer 33 and the gate electrode 26.
And make it thicker. With this configuration, the lower electrode layer 33
Increase the thickness of the interlayer insulating film 27C between the word line 26 and the lower electrode
Since the step of the layer 33 is increased, the area of the lower electrode layer 33 is increased.
Information storage capacitor C having a stacked structure
And the charge storage amount of the lower layer can be increased.
The interlayer insulating film 27 between the electrode layer 33 and the gate electrode 26 is thinned
Between the MISFETQs for memory cell selection and the complementary data line 50
Since the step at the connection part has been lowered,
Reduces the ratio of the ratio and reduces the disconnection failure of the complementary data line 50.
Can be reduced. As a result, α-ray soft error
And increase the integration of DRAM1.
In addition, the electrical reliability of the DRAM 1 can be improved. Each of the interlayer insulating films 27 and 27C is formed in a separate process.
The insulating film may be formed. (Embodiment IV) The present embodiment IV is a modification of the DRAM of the above-described embodiment I.
Lower layer capacitor of information storage capacitor C having stacked cell structure
The thickness of the pole layer is increased to increase the amount of accumulated electric charge.
This is the fourth embodiment. Cross-sectional structure of DRAM memory cell according to Embodiment IV of the present invention
Are shown in FIGS. 51 to 54 (cross-sectional views of main parts). The memory cell M of the DRAM 1 shown in FIG.
The thickness of the lower electrode layer 33 of the information storage capacitor C is increased.
Make up. For example, the lower electrode layer 33
Part is securely embedded and its surface is substantially flattened.
It is formed with a film thickness of a certain degree or more. example
For example, the opening size L of the connection hole 32 (the gate electrode 26 and the word line 26
Is about 1.0 [μm], the lower electrode
The film thickness T of the layer 33 is about 500 [nm] or more.
(T ≧ 1/2 × L). The information storage capacity of the stacked structure thus configured
The element C increases the area of the end face of the lower electrode layer 33,
Since the amount of charge stored at the end face can be increased,
Reducing the area of the cell M and improving the integration of the DRAM1
Can be. The memory cell M of the DRAM 1 shown in FIG.
Connection hole for the lower electrode layer 33
32 is formed with the film thickness just before it is buried. The information storage capacity of the stacked structure thus configured
Since the thickness of the lower electrode layer 33 is large to some extent,
It is possible to increase the charge storage amount at the end face of the lower electrode layer 33.
Along the steps of the connection holes 32 and 31A.
The layer electrode layer 33 is formed, and the height direction corresponds to the step.
The area of the lower electrode layer 33 can be increased in
Load accumulation can be increased. In other words, the stack
Increase in the amount of charge stored in the information storage capacitive element C having a dark structure
Reduces the area of the memory cell M and improves the integration of the DRAM1.
Can be up. The memory cell M of the DRAM 1 shown in each of FIGS. 53 and 54
Is the lower electrode of the stacked information storage capacitor C
The layer 33 is composed of a plurality of layers. Stack shown in Figure 53
The lower electrode layer 33 of the information storage capacitor C having the
It has a two-layer structure in which each of the extreme layers 33E and 33F is laminated.
You. The lower electrode layer 33 is a polycrystalline layer forming the lower electrode layer 33E.
After depositing silicon film, thermal diffusion method or ion implantation of n-type impurity
And then forming the lower electrode layer 33F
After depositing a silicon film, an n-type impurity is similarly introduced, and thereafter,
Formed by processing each polycrystalline silicon film.
You. In other words, the lower electrode layer 33 becomes undesirably thicker.
Divided into multiple layers as it becomes difficult to control the distribution of the concentration of pure substances
Then, an n-type impurity is introduced into each of the divided layers,
The impurity concentration distribution is uniformed. Shown in Figure 54
Lower electrode layer of stacked information storage capacitor C
33 similarly laminates each of the lower electrode layers 33F, 33F and 33G.
It has a three-layer structure. The information storage capacity of the stacked structure thus configured
The element C makes the distribution of the impurity concentration of the lower electrode layer 33 uniform.
Can be (Embodiment V) This embodiment V is different from the DRAM of the embodiment I in that
MISFETQs for cell memory cell selection, n-channel MISFETQn
Example 5 of the present invention in which the narrow channel effect of
You. The DRAM 1 according to the embodiment V of the present invention is different from the DRAM according to the embodiment I in the second embodiment.
P-type impurity (channel stopper region 24A is formed) shown in FIG.
Impurity) is introduced by high-energy ion implantation.
You. The energy amount of the ion implantation method is about 100 to 150 [KeV]
Do it in degrees. Introduced by this ion implantation method using high energy
The introduced p-type impurity 24p is used for isolation between elements at the time of its introduction.
The maximum peak value of the impurity concentration is located deeper than the insulating film 23.
Have. When introducing the p-type impurity 24p,
(62) etching mask (photoresist
Film). The p-type impurity 24p is made of silicon oxide.
Penetrate through the base film 60A, n-Introduced into the main surface of mold well region 21
When the p-type impurity 24p is introduced, n-
An impurity introduction mask such as a flash is formed on the main surface of the mold well region 21.
A photoresist film is formed. Introduction of this p-type impurity 24p
Thereafter, similarly to the above-described Example I, the insulating film for element isolation 23 is removed.
The p-type impurity 24p is diffused together with the formation.
Of the p-type channel stopper region 24A and the p-type semiconductor region 24B.
Form each. Thus, in the method of manufacturing the DRAM 1, the p-type channel
P-type impurity 24p that forms the stopper region 24A with high energy
It is introduced by the ion implantation method of gi. With this configuration, p
Type impurity 24p-Introduced into the deep region of the mold well region 22,
Reduction of lateral diffusion during formation of device isolation insulating film 23
So that p-Well region 22 especially channel
Select memory cells by suppressing the increase in impurity concentration in the formation region
MISFETQs for n-channel and MISFETQn for n-channel
Can reduce the effect. Further, the p-type impurity
24p to p-Introduced into the deep region of the mold well region 22,
During the formation of the separation insulating film 23, the p-type impurity 24p is eaten by it.
The p-type channel strike.
The impurity concentration of the gate region 24A is increased, and the threshold voltage of the parasitic MOS is increased.
Pressure to increase the separation between elements.
You. (Embodiment VI) The present embodiment VI is a modification of the DRAM 1 of the embodiment I.
In the present invention, the upper resin film of the passivation film is divided.
Six examples. A semiconductor wafer forming a DRAM according to the embodiment VI of the present invention.
The plan structure of C is shown in FIG. 55 (plan view of the main part). As shown in FIG. 55, the semiconductor wafer 100
A plurality of DRAMs 1 of Example I are arranged in a matrix. Id 55
The semiconductor wafer 100 shown in the figure is in a state before the dicing process
Is shown. Each DRAM1 has a scribe area (daisy
Area) is placed in the area defined by 100A
ing. On the surface of each DRAM 1 placed on the semiconductor wafer 100
Is the upper layer of the passivation film 54 described in the first embodiment.
Resin film (for example, polyimide resin film) 54C is applied
ing. This resin film 54C is used for the scrubbing of the semiconductor wafer 100.
Area corresponding to the external area BP of each DRAM1
Area is not applied and on the surface of each DRAM1
And is divided into a plurality. Resin film 54C is α ray soft
Since it is applied for the purpose of increasing the error withstand voltage, memory
Cell array 11A and sense amplifier circuit (SA) 13, column
Address decoder circuit (YDEC) 12 line α line soft error resistance
Is applied to a part of the direct peripheral circuit to secure the pressure.
You. In other words, the resin film 54C has the α-ray soft error withstand voltage.
Other parts of the direct peripheral circuit and indirect peripheral that do not need to secure
A region on the circuit is a divided region. The direct peripheral circuit
The other part is the row address decoder circuit (XDEC) 14,
There is a word driver circuit (WD) 15 and the like. With indirect peripheral circuits
For example, there are a clock circuit and a buffer circuit. This tree
By dividing the oil film 54C, the lower passivation
Film such as the silicon nitride film 54B of the
It can reduce the stress acting on c100 itself.
Wear. The method for forming the resin film 54C is as follows. First, a resin film is applied on the surface of the underlying silicon nitride film 54B.
Then, a first baking process is performed. This baking process
For example, after applying 80 to 90 [° C] and 800 to 1000 [seconds],
Degree, for example, 120-140 [℃], 800-1000 [seconds]
I have. Next, photolithography technology and etching technology
Used, scribe area 100A of resin film and external terminal BP
And the divided regions are removed. Then, the second baking treatment is performed again on the resin film.
Then, the above-described resin film 54C is formed. This baking process
Applied 150 to 200 [° C] and 800 to 1000 [seconds], for example.
Then, again, for example, 300 to 400 [° C] and 800 to 1000 [seconds]
I am giving. In the second baking process, the resin film
54C is the stress acting on the lower layer and the semiconductor wafer 100
Is the largest, but the resin film 54C is divided
Thus, the stress is reduced. The semiconductor wafer 100 was subjected to a dicing process.
However, when DRAM1 is made into individual semiconductor chips,
As shown in FIG. 55, the area where the resin film 54C is applied is also
There is no change in the area (divided area). Thus, (38-21) p-Main surface of the semiconductor substrate 22 (also
Is the memory cell arranged on the main surface of the semiconductor wafer 100)
Information writing operation and information reading of array 11A and memory cell M
Between the direct peripheral circuit that directly controls the output operation and the rest
DRAM1 with resin film 54C coated on the surface of the contact peripheral circuit
Then, the resin film 54C is divided into a plurality. With this configuration
And the p-Type semiconductor substrate 20 (or semiconductor wafer 10
0), stress based on the difference between the respective linear expansion coefficients of the resin film 54C
So that p-Of semiconductor substrate 20
And cracks on the film on its main surface
be able to. The resin film 54C is in a half before the dicing step.
To be applied and baked when the conductor wafer is 100
Formed during the probe test.
Reduces poor needle contact and increases reliability of wafer inspection process
In addition, the yield can be improved. Also, (40-22) the DRAM 1 before the scribe step
Semiconductor wafer 10 in which a plurality of formation regions are arranged in a matrix
Process of applying a resin film 54C over the entire surface of the
Between the regions where the DRAMs 1 are formed on the oil film 54C (the scribe area 100
A) and the area of the external terminal BP is removed, and the DRA
Dividing the resin film 54C on the M1 formation region;
Scribing the scribe area 100A of the conductor wafer 100
Forming a plurality of DRAMs 1. This structure
The step of dividing the resin film 54C is performed by the semiconductor
The scribe area 100A of the wafer 100 and the external terminal BP
It can be performed in the step of removing the resin film 54C in the region.
This corresponds to a step of dividing the resin film 54C.
Accordingly, the number of steps for forming the DRAM 1 can be reduced. (Embodiment VII) The present embodiment VII differs from the DRAM of the embodiment I in that
The seventh embodiment of the present invention in which the number of address decoder circuits is reduced.
This is an example. Example VII of the DRAM memory cell array
Fig. 56 (plan view of main part) and Fig. 57 (predetermined product)
(Plan view of main part in manufacturing process). Column address decoder shown in DRAM1 of Embodiment I
When reducing the number of circuits (YDEC) 12 to be arranged, as shown in FIG. 56
Column select signal line (YSL) 50 is arranged
You. Column select signal line 50 is a column address decoder
Circuit 12 controls n-channel MISFETQy for column switch
It is configured to control. N-cha for column switch
Flannel MISFETQy has complementary data line 50, common data line I / O
Are connected to each other. Column selection
Signal line 50 is used for information write operation speed and information read operation.
Use low-resistance wiring material for the purpose of speeding up and
Same as complementary data line 50 to reduce the number of manufacturing steps
It is formed of a conductive layer (same manufacturing process). Arrangement of n-channel MISFETQy for column switch
Basically, one set of complementary data lines 50
On the other hand, one column select signal line 50 is arranged.
You. The DRAM 1 of this embodiment has two sets of complementary data lines (four data lines).
Data lines DL, ▲ ▼), one for each 50. Through
Usually, one set of complementary data lines of two sets of complementary data lines 50
Dummy between the data line 50 and another set of complementary data lines 50
A column select signal line is provided. Dummy column
The select signal line is the complementary data line 50 in this area.
Of the complementary data lines 50 is reduced.
They are arranged to make the spacing uniform. That is, the photo
An etching mask (for example,
When forming a dist film,
Due to the diffraction phenomenon at the time of exposure, the etching
Disk size is reduced, but dummy column select signals
Lines are located to reduce this phenomenon. this
Stacked structure as the target etching mask
Lower electrode layer 33 of information storage capacitor C, complementary data
A mask for processing the line 50 or the shunt word line 53
You. However, the DRAM 1 of this embodiment has such a phenomenon.
Since it can be ignored, delete the dummy column select signal line.
ing. The column select signal line 50 is a dummy column select.
Like the signal lines, increase the spacing between the complementary data lines 50.
You. In particular, a memory cell near the column select signal line 50
Of the information storage capacitor C having a stacked structure of
The pole layer 33 is a capacitor element for storing information of other stacked structure.
The size (large charge) is larger than that of the lower electrode layer 33 of the child C.
Accumulation amount). That is, the lower electrode layer 33
The case where the dummy column select signal line is arranged
Since the same phenomenon occurs, the amount equivalent to the size reduction,
It has a large size in advance. This lower electrode layer 33
Is drawn below the column select signal line 50 in the plane direction.
Size increased by stretched (crossed) protrusions 33H
It has a large configuration. That is, the protrusion 33H is
Can be formed within the area occupied by the rect signal line 50.
In this way, the degree of integration of DRAM1 is improved by sharing this occupied area.
can do. The lower electrode layer 33 having a small size is used for an information reading operation.
Is possible and the minimum that can secure the α-ray soft error withstand voltage
It is configured such that a limited amount of charge can be obtained. this
On the other hand, the lower electrode layer 33 having a large size
At least the minimum
It is configured so that the charge storage amount can be obtained. This lower layer
There is no particular problem for the electrode layer 33 having a large size. did
Therefore, the DRAM 1 of the present embodiment has different sizes of lower layers.
For storing information of two types of stacked structures with electrode layer 33
The capacitive element C is arranged. Thus, (35-19) complementary data line 50 and word line 2
At the intersection with 6, MISFETQs for memory cell selection and stacked
Memo consisting of a series circuit with an information storage capacitive element C
A recell M is arranged and a phase is set for each of the two sets of complementary data lines 50.
The same conductive layer as the complementary data line 50 and extends in the same direction
A DRAM 1 extending a column select signal line 50,
Complementary data line adjacent to the column select signal line 50
50 of the memory cells M connected to one of the data lines.
Lower electrode layer 33 of information storage capacitor C having a stacked structure
Is the information storage capacity of the stacked structure of the other memory cells M
The device C has a larger size than the lower electrode layer 33.
You. With this configuration, the column select signal line 50 is arranged.
Dimension spread between complementary data lines 50 corresponding to the placement
The etching mask for processing the lower electrode layer 33 is based on
Because the size is reduced by the diffraction phenomenon during exposure, before
One data line adjacent to the column select signal line 50
For storing information of stacked structure of connected memory cells M
Since the size of the lower electrode layer 33 of the capacitive element C has been increased,
This lower electrode layer 33 is reduced to a size equal to or smaller than the set value.
Of the information storage capacitive element C having a stacked structure.
The charge storage amount can be secured. As a result,
And the area of the memory cell M is reduced.
Can increase the integration of DRAM.
Wear. (Embodiment VIII) The present embodiment VIII is a modification of the DRAM of the above-described embodiment I.
The present invention has improved film quality of an interlayer insulating film between wirings and between wirings.
This is an eighth embodiment of the present invention. The schematic configuration of the CVD apparatus that is Embodiment VIII of the present invention
This is shown in the figure (a block diagram showing a gas supply system). The CVD apparatus shown in FIG. 58 mainly includes a reactor body 110 and a vacuum pump.
Pump 111, source gas supply pipes 112 and 113, carrier gas
Decorative tubes 114, mass flow controllers arranged in each supply path
It comprises a roller 115 and a control valve 116. This CV
D unit has high step coverage and small film shrinkage
It is configured to form a silicon oxide film. This CV
The D device is the same as the DRAM 1 of the embodiment I, but
Inter-layer insulating film 27, sidewall spacer 29, interlayer insulating film 31
To form each. The source gas supply pipe 112 is a source gas G4 such as an inorganic
Silane gas (SiHFour, SiTwoH6Etc.) to the reactor body 110
It is configured as follows. Source gas supply pipe 113 is a source
Gas G5 such as nitric oxide gas (NTwoO) to the reactor 110
It is configured to be. The carrier gas supply pipe 114
Carrier gas G6 such as nitrogen gas (NTwoTo supply)
It is configured. The reactor 110 is shown in FIG. 59 (schematic diagram).
The inside of the reaction tube (outer tube) 110A
Are provided in a double structure. The reaction tube (outside
A heater 110C is arranged on the outer periphery of the tube 110A.
One end of the reactor body 110 shown in FIG. 59 is connected to a vacuum pump 111.
It is connected. In addition, the other end of the reactor
Insert multiple wafers 100 (perform batch processing)
An opening / closing door 110D is provided. Reactor body 110
Inside, deposition of silicon oxide film on semiconductor wafer 100
Semiconductor wafer so that the surface crosses the reactant gas supply direction.
Insert and hold AHA 100 leaning
Is configured. In the reaction tube 110B at the other end of the reactor body 110,
Nozzle 112A connected to the source gas supply pipe 112 and
A nozzle connected to the source gas supply pipe 113 at a close position
113A is disposed. In Fig. 60 (enlarged sectional view of the main part)
As shown, the nozzle 112A feeds the source gas G4 into the reaction tube 110B.
And the nozzle 113A mixes with the source gas G4.
To supply the source gas G5 into the reaction tube 110B
Have been. Although not limited to this configuration, the nozzle 112A,
The gas supply directions of the nozzles 113A should cross each other.
It is authorized to. Source gas G4 supplied from the nozzle 112A, for example, Si
HFourHas a thermal decomposition temperature of about 400 [° C]. From nozzle 113A
Source gas G5 supplied e.g. NTwoO has a thermal decomposition temperature of about 550
[° C.]. Therefore, simply source gas G4, G5
When each is supplied into the reaction tube 110B, the SiHFourPyrolysis first
Silicon on the inner wall of the reaction tube 110B and the surface of the semiconductor wafer 100.
Foreign matter such as silicon and porous silicon oxide adheres,
The CVD apparatus of the present embodiment has a thermal decomposition temperature of the source gas G4.
Mix each of the source gases G4 and G5 before reaching
As the gas G4 is diluted, the adhesion of foreign matter as described above
Can be reduced. For example, a specific example of a production condition of a silicon oxide film is as follows.
It is as follows.

【生成条件】[Generation conditions]

また、前記ソースガスG4及びG5は反応管110Bの外部つ
まりガス供給経路において混合してもよい。 このように、(47-26)反応炉体110内に半導体ウエー
ハ100を保持し、ソースガスG4(無機シランガス)及び
ソースガスG5(酸化窒素ガス)を反応炉体110の一端側
からその内部に供給し、前記半導体ウエーハ100の表面
に酸化珪素膜を生成するCVD装置において、前記ソース
ガスG4の熱分解温度以下でソースガスG4、G5の夫々を混
合させてソースガスを生成し、このソースガスを前記反
応炉体110内に保持された半導体ウエーハ100側に供給す
る。この構成により、前記ソースガスをソースガスG4の
熱分解温度以下で混合させ、ソースガスG4の濃度を希薄
化することができるので、前記反応炉体110内のソース
ガスの供給部と半遵体ウエーハ100の保特部との間に飛
散する異物(珪素粒子等)や反応炉体110内壁に付着す
る異物を低減し、結果的に半導体ウエーハ100の表面に
生成される酸化珪素膜中に混入する異物やその表面に付
着する異物を低減することができるので、酸化珪素膜の
膜質を向上することができる。また、CVD装置において
は前記反応炉体110内壁に付着する異物を低減すること
ができる。 (実施例IX) 本実施例IXは、前記実施例IのDRAMにおいて、配線50
と配線53との間の層間絶縁膜51の膜質を高めた、本発明
の第9実施例である。 本発明の実施例IXである連続処理装置を第61図(概略
構成図)で示す。 第61図に示す連続処理装置は、前記実施例IのDRAM1
において、層間絶縁膜51のうちの下層の酸化珪素膜(堆
積型絶縁膜)51Aを形成した後にその上層に堆積される
酸化珪素膜(塗布型絶縁膜)51B、酸化珪素膜(堆積型
絶縁膜)51Cの夫々を連続で形成する装置である。この
連続処理装置は、主に、ウエーハロード部120A、SOG塗
布部121、ロードロック部122、ウエーハ搬送部123、ラ
ンプアニール部124、エッチング部125、絶縁膜堆積部12
6、ウエーハアンロード部120Bの夫々で構成されてい
る。 前記ウエーハロード部120Aには複数枚の半導体ウエー
ハ100が収納されている。半導体ウエーハ100は、前記実
施例IのDRAM1において配線50を形成した後、その表面
上に酸化珪素膜51Aが堆積された状態にある。この半導
体ウエーハ100は、次にSOG塗布部121に搬送され、前記
酸化珪素膜51A上にSOG法により酸化珪素膜(塗布型絶縁
膜)51Bを塗布する。 前記酸化珪素膜51Bが塗布された半導体ウエーハ100は
ロードロック部122、ウエーハ搬送部123の夫々を介在さ
せてランプアニール部124に搬送される。このランプア
ニール部124は前記酸化珪素膜51Bに低温ベーク処理(無
機化処理)及び硬化ベーク処理を施す。 前記ベーク処理が施された半導体ウエーハ100はウエ
ーハ搬送部123を介在させてエッチング部125に搬送され
る。エッチング部125は、前記酸化珪素膜51Bの表面にエ
ッチング(エッチバック)を施し、余分な前記酸化珪素
膜51Bを除去する。具体的には接続孔52が開口される部
分の配線50の上に塗布された酸化珪素膜を除去する。 前記酸化珪素膜51Bの表面がエッチングされた半導体
ウエーハ100は即座にウエーハ搬送部123を介在させて絶
縁膜堆積部126に搬送される。この絶縁膜堆積部126は、
前記酸化珪素膜51Bの表面上に酸化珪素膜(堆積型絶縁
膜)51Cを堆積する。 前記酸化珪素膜51Cが堆積された半導体ウエーハ100は
ウエーハ搬送部123を介在させてウエーハアンロード部1
20Bに搬送される。 この連続処理装置は、層間絶縁膜51A上に酸化珪素膜5
1Bを堆積後、この酸化珪素膜51Bにベーク処理を施し、
この後酸化珪素膜をエッチング処理し、この後即座に
(装置外部の大気に触れることなく)酸化珪素膜51Bの
表面上に酸化珪素膜51Cを堆積できるように、一貫して
各処理が連続できるように構成されている。 このように、(41-23)下地表面(酸化珪素膜51A)上
に塗布された酸化珪素膜(塗布型絶縁膜)51Bにベーク
処理を施した後、この酸化珪素膜51Bの表面に酸化珪素
膜(堆積型絶縁膜)51Cを堆積するDRAM1の形成方法にお
いて、大気から遮蔽された系内(装置内)で前記酸化珪
素膜51Bを塗布する工程、前記酸化珪素膜51Bにベーク処
理を施す工程、この酸化珪素膜51Bをエッチバックする
工程、前記酸化珪素膜51Bの表面に酸化珪素膜(堆積型
絶縁膜)51Cを堆積する工程の夫々を順次行う。この構
成により、前記酸化珪素膜51Bの塗布そしてベーク処理
後に大気に接することなく酸化珪素膜51Cで被覆される
ので、酸化珪素膜51Bの吸湿を低減し、酸化珪素膜51Bの
膜質の劣化を低減することができる。この結果、酸化珪
素膜51Bとその上層の酸化珪素膜51Cとの接着性の向上
や、酸化珪素膜51Bのエッチングレートの変化を防止す
ることができる。 また、前記連続処理装置は、第62図(概略構成図)に
示すように、SOG塗布部(バッチ式)121Aとウエーハ搬
送部123との間にウエーハ搬送部127、ウエーハ冷却部12
8、ウエーハカセット部129の夫々を順次配置して構成し
てもよい。この連続処理装置はSOG塗布部121Aにおいて
バッチ式で酸化珪素膜51Bを塗布した後に即座にベーク
処理が施せない場合に最適な装置である。つまり、この
連続処理装置は、前記酸化珪素膜51Bを塗布した後にラ
ンプアニール部124に搬送するまでの間、その経路にお
いて装置外部の大気に触れないように構成されている。 以上、本発明者によってなされた発明を、前記実施例
に基づき具体的に説明したが、本発明は、前記実施例に
限定されるものではなく、その妥旨を逸脱しない範囲に
おいて種々変更可能であることは勿論である。 例えば、本発明は、マイクロコンピュータ(1チップ
マイコン)等、DRAMを1つのユニットして使用する半導
体集積回路装置に適用することができる。 また、本発明は、前記DRAMに限定されず、SRAM、ROM
等他の記憶機能を有する半導体集積回路装置に適用する
ことができる。 〔発明の効果〕 本願において開示される発明のうち代表的なものによ
って得られる効果を簡単に説明すれば、下記のとおりで
ある。 (1) 記億機能を有する半導体集積回路装置におい
て、集積度を向上することができる。 (2) 前記半導体集積回路装置において、ソフトエラ
ー耐圧を向上することができる。の高耐圧化を図ること
ができる。
Further, the source gases G4 and G5 may be mixed outside the reaction tube 110B, that is, in the gas supply path. As described above, the semiconductor wafer 100 is held in the (47-26) reaction furnace body 110, and the source gas G4 (inorganic silane gas) and the source gas G5 (nitrogen oxide gas) are introduced into the reaction furnace body 110 from one end thereof. In a CVD apparatus for supplying and producing a silicon oxide film on the surface of the semiconductor wafer 100, a source gas is generated by mixing each of the source gases G4 and G5 below the thermal decomposition temperature of the source gas G4. Is supplied to the semiconductor wafer 100 held in the reaction furnace body 110. With this configuration, the source gas can be mixed at a temperature lower than the thermal decomposition temperature of the source gas G4, and the concentration of the source gas G4 can be diluted. Foreign matter (silicon particles, etc.) scattered between the holding portion of the wafer 100 and foreign matter adhering to the inner wall of the reactor body 110 is reduced, and consequently mixed into the silicon oxide film formed on the surface of the semiconductor wafer 100 Therefore, the quality of the silicon oxide film can be improved. Further, in the CVD apparatus, foreign substances adhering to the inner wall of the reactor body 110 can be reduced. (Embodiment IX) The present embodiment IX is different from the DRAM of the embodiment I in that the wiring 50
This is a ninth embodiment of the present invention in which the quality of the interlayer insulating film 51 between the wiring 53 and the wiring 53 is improved. FIG. 61 (schematic block diagram) shows a continuous processing apparatus which is Embodiment IX of the present invention. The continuous processing apparatus shown in FIG.
, A silicon oxide film (coating type insulating film) 51B, a silicon oxide film (coating type insulating film) 51B, and a silicon oxide film (stacking type insulating film) ) This is an apparatus for continuously forming each of 51C. The continuous processing apparatus mainly includes a wafer loading section 120A, an SOG coating section 121, a load lock section 122, a wafer transport section 123, a lamp annealing section 124, an etching section 125, an insulating film deposition section 12
6. It is composed of each wafer unloading unit 120B. A plurality of semiconductor wafers 100 are housed in the wafer loading section 120A. The semiconductor wafer 100 is in a state where the silicon oxide film 51A is deposited on the surface of the semiconductor wafer 100 after the wiring 50 is formed in the DRAM 1 of the embodiment I. Next, the semiconductor wafer 100 is transported to the SOG coating section 121, and a silicon oxide film (coating type insulating film) 51B is coated on the silicon oxide film 51A by the SOG method. The semiconductor wafer 100 coated with the silicon oxide film 51B is transported to the lamp annealing unit 124 via the load lock unit 122 and the wafer transport unit 123. The lamp annealing section 124 performs a low-temperature baking process (mineralization process) and a hardening baking process on the silicon oxide film 51B. The semiconductor wafer 100 subjected to the baking process is transferred to the etching unit 125 via the wafer transfer unit 123. The etching unit 125 performs etching (etchback) on the surface of the silicon oxide film 51B to remove the excess silicon oxide film 51B. Specifically, the silicon oxide film applied on the portion of the wiring 50 where the connection hole 52 is opened is removed. The semiconductor wafer 100 in which the surface of the silicon oxide film 51B is etched is immediately transferred to the insulating film deposition unit 126 via the wafer transfer unit 123. This insulating film deposition part 126
A silicon oxide film (deposited insulating film) 51C is deposited on the surface of the silicon oxide film 51B. The semiconductor wafer 100 on which the silicon oxide film 51C has been deposited is placed on the wafer unloading section 1 via the wafer transfer section 123.
Conveyed to 20B. This continuous processing apparatus includes a silicon oxide film 5 on an interlayer insulating film 51A.
After depositing 1B, the silicon oxide film 51B is baked,
Thereafter, the silicon oxide film is subjected to an etching process, and thereafter, each process can be continuously performed so that the silicon oxide film 51C can be immediately deposited on the surface of the silicon oxide film 51B (without contacting the atmosphere outside the device). It is configured as follows. As described above, after baking the silicon oxide film (coating type insulating film) 51B applied on the base surface (silicon oxide film 51A), the surface of the silicon oxide film 51B is coated with silicon oxide. In the method of forming the DRAM 1 for depositing the film (deposited insulating film) 51C, a step of applying the silicon oxide film 51B in a system (in an apparatus) shielded from the atmosphere, and a step of performing a bake treatment on the silicon oxide film 51B Then, a step of etching back the silicon oxide film 51B and a step of depositing a silicon oxide film (deposited insulating film) 51C on the surface of the silicon oxide film 51B are sequentially performed. With this configuration, since the silicon oxide film 51B is coated with the silicon oxide film 51C without being in contact with the air after the application and the baking process, the moisture absorption of the silicon oxide film 51B is reduced, and the deterioration of the film quality of the silicon oxide film 51B is reduced. can do. As a result, it is possible to improve the adhesion between the silicon oxide film 51B and the silicon oxide film 51C thereover, and prevent a change in the etching rate of the silicon oxide film 51B. Further, as shown in FIG. 62 (schematic block diagram), the continuous processing apparatus includes a wafer transfer section 127, a wafer cooling section 12 between a SOG coating section (batch type) 121A and a wafer transfer section 123.
8. Each of the wafer cassette units 129 may be sequentially arranged. This continuous processing apparatus is optimal when the baking process cannot be performed immediately after applying the silicon oxide film 51B in a batch manner in the SOG coating unit 121A. In other words, the continuous processing apparatus is configured such that it does not come into contact with the atmosphere outside the apparatus on its path until the silicon oxide film 51B is applied to the lamp annealing section 124 after being applied to the lamp annealing section 124. As described above, the invention made by the inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and can be variously modified without departing from the scope of the invention. Of course there is. For example, the present invention can be applied to a semiconductor integrated circuit device using a DRAM as one unit, such as a microcomputer (one-chip microcomputer). In addition, the present invention is not limited to the DRAM, but includes SRAMs and ROMs.
And the like can be applied to a semiconductor integrated circuit device having another storage function. [Effects of the Invention] The effects obtained by typical ones of the inventions disclosed in the present application will be briefly described as follows. (1) The degree of integration can be improved in a semiconductor integrated circuit device having a memory function. (2) In the semiconductor integrated circuit device, the soft error withstand voltage can be improved. Can have a high breakdown voltage.

【図面の簡単な説明】[Brief description of the drawings]

第1図は、本発明の実施例IであるDRAMの要部断面図、 第2図は、前記DRAMを封止する樹脂封止型半導体装置の
部分断面平面図、 第3図は、前記DRAMのチップレイアウト図、 第4図は、前記DRAMの要部拡大レイアウト図、 第5図は、前記DRAMの要部等価回路図、 第6図は、前記DRAMの要部平面図、 第7図及び第8図は、前記DRAMの所定の製造工程におけ
る要部平面図、 第9図は、前記DRAMのワード線とシャント用ワード線と
の接続部分の平面図、 第10図は、前記第9図のII−II切断線で切った断面図、 第11図は、前記第6図のIII−III切断線で切った断面
図、 第12図は、前記DRAMの出力段回路の領域を含む要部断面
図、 第13図は、前記DRAMのワードブースト回路の要部等価回
路図、 第14図は、前記ワードブースト回路で使用される素子の
要部平面図、 第15図は、前記DRAMの外部端子の領域の要部断面図、 第16図乃至第33図は、前記DRAMを各製造工程毎に示す要
部断面図、 第34図は、チョッピングエッチング装置の要部概略構成
図、 第35図乃至第37図は、前記エッチング装置のガス流量の
タイムチャート図、 第38図は、エッチング速度とテーパ角度との関係を示す
図、 第39図乃至第41図は、連続処理装置の概略構成図、 第42図は、本発明の実施例IIであるDRAMの要部断面図、 第43図乃至第45図は、前記DRAMを各製造工程毎に示す要
部断面図、 第46図乃至第50図は、本発明の実施例IIIであるDRAMを
各製造工程毎に示す要部断面図、 第51図乃至第54図は、本発明の実施例IVであるDRAMの要
部断面図、 第55図は、本発明の実施例Vである半導体ウエーハの要
部平面図、 第56図は、本発明の実施例VIであるDRAMの要部平面図、 第57図は、前記DRAMの所定の製造工程における要部平面
図、 第58図は、本発明の実施例VIIIであるCVD装置のガス供
給系を示すブロック図、 第59図は、前記CVD装置の要部の概略構成図、 第60図は、前記CVD装置の要部の拡大断面図、 第61図及び第62図は、本発明の実施例IXである連続処理
装置の概略構成図である。 図中、1……DRAM、M……メモリセル、C……スタック
ド構造の情報蓄積用容量素子、Qs……メモリセル選択用
MISFET、Qn,Qp……MISFETである。
FIG. 1 is a cross-sectional view of a principal part of a DRAM according to a first embodiment of the present invention, FIG. 2 is a partial cross-sectional plan view of a resin-sealed semiconductor device for sealing the DRAM, and FIG. FIG. 4 is an enlarged layout diagram of a main part of the DRAM, FIG. 5 is an equivalent circuit diagram of a main part of the DRAM, FIG. 6 is a plan view of a main part of the DRAM, FIG. FIG. 8 is a plan view of a main part in a predetermined manufacturing process of the DRAM, FIG. 9 is a plan view of a connection portion between a word line and a shunt word line of the DRAM, and FIG. FIG. 11 is a cross-sectional view taken along the line II-II of FIG. 11, FIG. 11 is a cross-sectional view taken along the line III-III of FIG. 6, and FIG. 12 is a main part including a region of the output stage circuit of the DRAM. FIG. 13 is a main part equivalent circuit diagram of a word boost circuit of the DRAM, FIG. 14 is a main part plan view of an element used in the word boost circuit, and FIG. Is a cross-sectional view of a main part of a region of an external terminal of the DRAM, FIGS. 16 to 33 are cross-sectional views of a main part showing the DRAM in each manufacturing process, and FIG. 34 is a schematic diagram of a main part of a chopping etching apparatus. FIG. 35 to FIG. 37 are time chart diagrams of gas flow rates of the etching apparatus, FIG. 38 is a diagram showing a relationship between an etching rate and a taper angle, and FIG. 39 to FIG. 42 is a schematic cross-sectional view of a processing apparatus, FIG. 42 is a cross-sectional view of a main part of a DRAM which is Embodiment II of the present invention, FIGS. 43 to 45 are cross-sectional views of a main part showing the DRAM in each manufacturing process, 46 to 50 are cross-sectional views of a main part of a DRAM according to Embodiment III of the present invention for each manufacturing process, and FIGS. 51 to 54 are main parts of a DRAM according to Embodiment IV of the present invention. FIG. 55 is a plan view of a main part of a semiconductor wafer which is Embodiment V of the present invention. FIG. 56 is a plan view of a main part of DRAM which is Embodiment VI of the present invention. FIG. 57 is a plan view of a principal part in a predetermined manufacturing process of the DRAM, FIG. 58 is a block diagram showing a gas supply system of a CVD apparatus which is Embodiment VIII of the present invention, and FIG. 60 is an enlarged cross-sectional view of a main part of the CVD apparatus, and FIGS. 61 and 62 are schematic structural views of a continuous processing apparatus which is Embodiment IX of the present invention. . In the figure, 1... DRAM, M... Memory cell, C... Stacked information storage capacitor element, Qs.
MISFET, Qn, Qp... MISFET.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 兼子 宏子 東京都青梅市今井2326番地 株式会社日 立製作所デバイス開発センタ内 (72)発明者 関口 敏宏 東京都青梅市今井2326番地 株式会社日 立製作所デバイス開発センタ内 (72)発明者 内山 博之 東京都青梅市今井2326番地 株式会社日 立製作所デバイス開発センタ内 (72)発明者 中村 尚 東京都青梅市今井2326番地 株式会社日 立製作所デバイス開発センタ内 (72)発明者 前田 敏夫 千葉県茂原市早野3681番地 日立デバイ スエンジニアリング株式会社内 (72)発明者 笠原 修 東京都青梅市今井2326番地 株式会社日 立製作所デバイス開発センタ内 (72)発明者 榎並 弘充 東京都青梅市今井2326番地 株式会社日 立製作所デバイス開発センタ内 (72)発明者 荻島 淳史 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 長尾 真樹 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 舟橋 倫正 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 木口 保雄 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 児島 雅之 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 小池 淳義 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 宮沢 弘幸 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 定岡 征人 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 門田 和也 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 千川原 正 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 野尻 一男 東京都小平市上水本町5丁目20番1号 株式会社日立製作所武蔵工場内 (72)発明者 小林 裕 茨城県日立市久慈町4026番地 株式会社 日立製作所日立研究所内 (56)参考文献 電子通信学会論文誌 ’85/5Vo l.J68−C No.5 pp.325− 332 (58)調査した分野(Int.Cl.6,DB名) H01L 21/8242 H01L 27/108 H01L 21/027──────────────────────────────────────────────────の Continued on front page (72) Inventor Hiroko Kaneko 2326 Imai, Ome-shi, Tokyo Inside Device Development Center, Hitachi, Ltd. (72) Inventor Toshihiro Sekiguchi 2326 Imai, Ome-shi, Tokyo Hitachi, Ltd. Inside the Development Center (72) Inventor Hiroyuki Uchiyama 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Takashi Nakamura 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. 72) Inventor Toshio Maeda 3681 Hayano, Mobara-shi, Chiba Prefecture, Hitachi Device Engineering Co., Ltd. 2326 Imai, Ome-shi, Tokyo Hitachi, Ltd. Inside the Device Development Center (72) Inventor Atsushi Ogishima 5-20-1, Josuihonmachi, Kodaira-shi, Tokyo Inside Musashi Factory, Hitachi, Ltd. (72) Maki Nagao 5-20, Josuihoncho, Kodaira-shi, Tokyo No. 1 Inside the Musashi Plant, Hitachi, Ltd. (72) Inventor Tomomasa Funabashi 5-20-1, Kamisui Honcho, Kodaira City, Tokyo Inside the Musashi Plant, Hitachi, Ltd. 5-20-1, Honcho, Musashi Plant, Hitachi, Ltd. (72) Inventor Masayuki Kojima 5-20-1, Josuihonmachi, Kodaira City, Tokyo In Musashi Plant, Hitachi, Ltd. (72) Atsushi Koike, Inventor, Tokyo Atsushi 5-20-1, Josuihonmachi, Kodaira-shi Musashi Factory, Hitachi, Ltd. (72) Inventor Hiroyuki Miyazawa 5-2-1, Josuihonmachi, Kodaira-shi, Tokyo Musashi Factory, Hitachi, Ltd. (72) Inventor Masato Sadaoka 5-20-1, Josuihoncho, Kodaira-shi, Tokyo Hitachi, Ltd. Inside the Kura Factory (72) Kazuya Kadota, Inventor 5-20-1, Josuihoncho, Kodaira City, Tokyo Inside Musashi Factory, Hitachi, Ltd. (72) Inventor Tadashi Chikawara 5-chome, Josuihoncho, Kodaira City, Tokyo No. 1 Inside the Musashi Factory of Hitachi, Ltd. (72) Kazuo Nojiri 5--20-1, Kamisumihonmachi, Kodaira-shi, Tokyo Inside the Musashi Factory of Hitachi, Ltd. Address Hitachi Research Laboratories, Hitachi, Ltd. (56) References IEICE Transactions '85 / 5Vol. J68-C No. 5 pp. 325− 332 (58) Field surveyed (Int.Cl. 6 , DB name) H01L 21/8242 H01L 27/108 H01L 21/027

Claims (7)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】夫々のメモリセルがMISFETと容量素子とを
含み行方向及び列方向に配置された複数のメモリセルで
あって、ワード線とデータ線とに連結された複数のメモ
リセルを有し、 前記MISFETは、ゲート電極とソース領域及びドレイン領
域とを有し、 前記容量素子は、前記ソース領域及びドレイン領域の一
方に連結された第1電極と、前記第1電極上に形成され
た第2電極と、前記第1電極と第2電極との間に形成さ
れた誘電体膜とを有し、 前記データ線が前記ソース領域及びドレイン領域の他方
に連結された半導体集積回路装置の製造方法であって、 半導体基板の主面上に、前記MISFTのゲート電極を形成
する工程と、 前記ゲート電極の両側の半導体基板主面に不純物を導入
することにより前記MISFETのソース領域及びドレイン領
域を形成する工程と、 前記半導体基板主面上に前記MISFTを覆う第1導電膜を
形成する工程と、 前記第1導電膜を略四角形のパターンを有するマスクを
用いたフォトリソグラフィプロセスによりパターニング
することによって、前記ソース領域及びドレイン領域の
一方及びゲート電極の上に、前記容量素子の第1電極を
形成する工程と、 前記容量素子の第1電極上に前記誘電体膜を形成する工
程と、 前記誘電体膜上に前記容量素子の第2電極を形成する工
程とを有し、 前記第1電極は、平面的に略四角形であり、隣接する複
数の第1電極同士の間隔が広い部分と狭い部分とを有
し、 前記略四角形のパターンは、前記隣接する第1電極同士
の間隔が広い部分に補正パターンを有することを特徴と
する半導体集積回路装置の製造方法。
A plurality of memory cells each including a MISFET and a capacitance element and arranged in a row direction and a column direction, each of the memory cells including a plurality of memory cells connected to a word line and a data line; The MISFET has a gate electrode, a source region, and a drain region, and the capacitor is formed on the first electrode connected to one of the source region and the drain region, and on the first electrode. Manufacturing of a semiconductor integrated circuit device having a second electrode and a dielectric film formed between the first electrode and the second electrode, wherein the data line is connected to the other of the source region and the drain region Forming a gate electrode of the MISFT on a main surface of a semiconductor substrate; and introducing a source region and a drain region of the MISFET by introducing impurities into a main surface of the semiconductor substrate on both sides of the gate electrode. Form Forming a first conductive film covering the MISFT on the main surface of the semiconductor substrate; and patterning the first conductive film by a photolithography process using a mask having a substantially square pattern. Forming a first electrode of the capacitive element on one of the source region and the drain region and the gate electrode; forming the dielectric film on the first electrode of the capacitive element; Forming a second electrode of the capacitor element thereon, wherein the first electrode has a substantially rectangular shape in plan view and includes a portion where a distance between a plurality of adjacent first electrodes is wide and a portion where the space is narrow. The method of manufacturing a semiconductor integrated circuit device, wherein the substantially rectangular pattern has a correction pattern in a portion where a space between the adjacent first electrodes is wide.
【請求項2】前記MISFETを覆う第1導電膜を形成する前
記工程以前に、前記ゲート電極の側面にサイドウォール
スペーサを形成する工程を含むことを特徴とする請求項
1に記載の半導体集積回路装置の製造方法。
2. The semiconductor integrated circuit according to claim 1, further comprising a step of forming a sidewall spacer on a side surface of said gate electrode before said step of forming a first conductive film covering said MISFET. Device manufacturing method.
【請求項3】前記サイドウォールスペーサ形成工程以前
に、前記ゲート電極上に前記ゲー卜電極と同一パターン
の第1絶縁膜を形成する工程を含むことを特徴とする請
求項2に記載の半導体集積回路装置の製造方法。
3. The semiconductor integrated circuit according to claim 2, further comprising a step of forming a first insulating film having the same pattern as the gate electrode on the gate electrode before the step of forming the sidewall spacer. A method for manufacturing a circuit device.
【請求項4】前記サイドウォールスペーサ形成工程が、
前記第1絶縁膜上にゲート電極を覆うように第2絶縁膜
を形成する工程と、前記第2絶縁膜を異方性エッチング
によってエッチングする工程とを含むことを特徴とする
請求項3に記載の半導体集積回路装置の製造方法。
4. The method according to claim 1, wherein the step of forming the sidewall spacer comprises:
4. The method according to claim 3, further comprising: forming a second insulating film on the first insulating film so as to cover the gate electrode; and etching the second insulating film by anisotropic etching. Of manufacturing a semiconductor integrated circuit device.
【請求項5】前記容量素子の第2電極を形成する工程以
後に、前記MISFETと前記容量素子とを覆う第3絶縁膜で
あって、前記ソース領域及びドレイン領域の他方の一部
を露出させる開口を有する第3絶縁膜を形成する工程
と、前記第3絶縁膜上及び前記開口中に、導電層を形成
する工程とを含み、前記導電層は前記データ線として用
いられ、かつ前記ソース領域及びドレイン領域の他方と
電気的に接続されることを特徴とする請求項4に記載の
半導体集積回路装置の製造方法。
5. A third insulating film covering the MISFET and the capacitor, after the step of forming a second electrode of the capacitor, exposing another part of the source region and the drain region. Forming a third insulating film having an opening; and forming a conductive layer on the third insulating film and in the opening, wherein the conductive layer is used as the data line and the source region 5. The method according to claim 4, wherein the semiconductor integrated circuit device is electrically connected to the other of the drain region and the drain region.
【請求項6】前記補正パターンは、前記データ線と前記
ソース領域及びドレイン領域の他方との接続部側に位置
する前記第1電極に対応するレジストマスクの一部が、
前記ホトリソグラフィプロセスにおける過剰露光によっ
て、設計値より小さく形成されることを防止するために
形成されていることを特徴とする請求項1に記載の半導
体集積回路装置の製造方法。
6. The correction pattern according to claim 1, wherein a part of a resist mask corresponding to the first electrode located on a side of a connection portion between the data line and the other of the source region and the drain region includes:
2. The method of manufacturing a semiconductor integrated circuit device according to claim 1, wherein the semiconductor integrated circuit device is formed in order to prevent the semiconductor integrated circuit device from being formed smaller than a design value due to excessive exposure in the photolithography process.
【請求項7】半導体基板主面上に第1導電膜を形成する
工程と、 前記第1導電膜を略四角形のパターンを有するマスクを
用いたフォトリソグラフィプロセスによりパターニング
することによって、複数の第1電極を形成する工程とを
有し、 前記第1電極は、平面的に略四角形であり、隣接する複
数の第1電極同士の間隔が広い部分と狭い部分とを有
し、 前記略四角形のパターンは、前記隣接する第1電極同士
の間隔が広い部分に補正パターンを有することを特徴と
する半導体集積回路装置の製造方法。
7. A method of forming a first conductive film on a main surface of a semiconductor substrate, and patterning the first conductive film by a photolithography process using a mask having a substantially square pattern, thereby forming a plurality of first conductive films. Forming an electrode, wherein the first electrode is substantially square in plan view, and has a portion where a space between a plurality of adjacent first electrodes is wide and a portion which is narrow; The method of manufacturing a semiconductor integrated circuit device according to claim 1, wherein a correction pattern is provided in a portion where the distance between the adjacent first electrodes is large.
JP1065848A 1987-09-19 1989-03-20 Method for manufacturing semiconductor integrated circuit device Expired - Lifetime JP2866390B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP1065848A JP2866390B2 (en) 1989-03-20 1989-03-20 Method for manufacturing semiconductor integrated circuit device
JP1069069A JPH02248048A (en) 1989-03-20 1989-03-20 Manufacture of semiconductor device
KR1019900002582A KR100235529B1 (en) 1989-03-20 1990-02-28 Fabrication of semiconductor integrated circuit device
US07/881,314 US5264712A (en) 1989-03-20 1992-05-07 Semiconductor integrated circuit, method of fabricating the same and apparatus for fabricating the same
KR1019950002873A KR100191021B1 (en) 1989-03-20 1995-02-16 Ic device
US08/674,185 US5734188A (en) 1987-09-19 1996-07-01 Semiconductor integrated circuit, method of fabricating the same and apparatus for fabricating the same
US08/979,543 US5917211A (en) 1988-09-19 1997-11-25 Semiconductor integrated circuit, method of fabricating the same and apparatus for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1065848A JP2866390B2 (en) 1989-03-20 1989-03-20 Method for manufacturing semiconductor integrated circuit device

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP8064745A Division JP2813571B2 (en) 1996-03-21 1996-03-21 Semiconductor integrated circuit device
JP8064746A Division JP2818144B2 (en) 1996-03-21 1996-03-21 Semiconductor integrated circuit device

Publications (2)

Publication Number Publication Date
JPH02246150A JPH02246150A (en) 1990-10-01
JP2866390B2 true JP2866390B2 (en) 1999-03-08

Family

ID=13298844

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1065848A Expired - Lifetime JP2866390B2 (en) 1987-09-19 1989-03-20 Method for manufacturing semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP2866390B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5219778A (en) * 1990-10-16 1993-06-15 Micron Technology, Inc. Stacked V-cell capacitor
US5236855A (en) * 1990-11-06 1993-08-17 Micron Technology, Inc. Stacked V-cell capacitor using a disposable outer digit line spacer
US5155057A (en) * 1990-11-05 1992-10-13 Micron Technology, Inc. Stacked v-cell capacitor using a disposable composite dielectric on top of a digit line
JP3266644B2 (en) * 1991-04-08 2002-03-18 テキサス インスツルメンツ インコーポレイテツド Gate array device
US5275962A (en) * 1991-04-08 1994-01-04 Texas Instruments Incorporated Mask programmable gate array base cell
JP2003332465A (en) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp Method of manufacturing semiconductor memory device
KR100521386B1 (en) * 2004-01-12 2005-10-12 삼성전자주식회사 Bit cell array for prevent coupling effect in read only memory
CN108611189B (en) * 2016-12-09 2023-02-21 丰益(上海)生物技术研发中心有限公司 Refining process for controlling bisphenol A and alkylphenol in grease

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
電子通信学会論文誌 ’85/5Vol.J68−C No.5 pp.325−332

Also Published As

Publication number Publication date
JPH02246150A (en) 1990-10-01

Similar Documents

Publication Publication Date Title
US5504029A (en) Method of producing semiconductor integrated circuit device having memory cell and peripheral circuit MISFETs
KR100201182B1 (en) Semiconductor integatrated circuit device
JP2859288B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
KR100249268B1 (en) Semiconductor memory circuit device and method for fabricating same
US5734188A (en) Semiconductor integrated circuit, method of fabricating the same and apparatus for fabricating the same
JPH0294472A (en) Semiconductor device and manufacture thereof
US6307217B1 (en) Semiconductor memory device having driver and load MISFETs and capacitor elements
JP2866389B2 (en) Semiconductor integrated circuit device
JP2866390B2 (en) Method for manufacturing semiconductor integrated circuit device
JPH0250476A (en) Semiconductor memory and manufacture thereof
JP2749689B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
JP2813571B2 (en) Semiconductor integrated circuit device
JP2818144B2 (en) Semiconductor integrated circuit device
JP2749687B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
KR100235529B1 (en) Fabrication of semiconductor integrated circuit device
JP2769011B2 (en) Semiconductor integrated circuit device
KR100191021B1 (en) Ic device
JP3177436B2 (en) Semiconductor integrated circuit device
JP3115818B2 (en) Semiconductor integrated circuit device
JP3381935B2 (en) Semiconductor integrated circuit device
JPH05299611A (en) Formation of semiconductor integrated circuit device
JP2749688B2 (en) Semiconductor integrated circuit device
JPH05299610A (en) Semiconductor integrated circuit device and formation thereof
JP3425157B2 (en) Semiconductor memory circuit device and method of manufacturing the same
JP2770416B2 (en) Semiconductor storage device

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

Free format text: JAPANESE INTERMEDIATE CODE: R313115

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

Free format text: JAPANESE INTERMEDIATE CODE: R313115

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071218

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081218

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081218

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091218

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091218

Year of fee payment: 11