JP3177436B2 - Semiconductor integrated circuit device - Google Patents

Semiconductor integrated circuit device

Info

Publication number
JP3177436B2
JP3177436B2 JP06407696A JP6407696A JP3177436B2 JP 3177436 B2 JP3177436 B2 JP 3177436B2 JP 06407696 A JP06407696 A JP 06407696A JP 6407696 A JP6407696 A JP 6407696A JP 3177436 B2 JP3177436 B2 JP 3177436B2
Authority
JP
Japan
Prior art keywords
film
region
memory cell
insulating film
dram
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP06407696A
Other languages
Japanese (ja)
Other versions
JPH08241969A (en
Inventor
順 杉浦
修 土屋
誠 小笠原
文雄 大塚
和功 鳥居
勇 浅野
伸郎 大和田
光明 堀内
剛 田丸
英雄 青木
伸宏 大塚
精一郎 白井
雅一 佐川
良広 池田
徹 加賀
正年 恒岡
朋次 新名
秀次 大岸
修 笠原
弘充 榎並
篤志 若原
裕之 秋森
慎一 鈴木
圭亮 船津
義直 川崎
恒彦 坪根
正佳 古賀野
賢 津金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi Solutions Technology Ltd
Original Assignee
Hitachi Ltd
Hitachi ULSI Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi ULSI Systems Co Ltd filed Critical Hitachi Ltd
Priority to JP06407696A priority Critical patent/JP3177436B2/en
Publication of JPH08241969A publication Critical patent/JPH08241969A/en
Application granted granted Critical
Publication of JP3177436B2 publication Critical patent/JP3177436B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/45124Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/4554Coating
    • H01L2224/45565Single coating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/4554Coating
    • H01L2224/45599Material
    • H01L2224/4569Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/4826Connecting between the body and an opposite side of the item with respect to the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/852Applying energy for connecting
    • H01L2224/85201Compression bonding
    • H01L2224/85203Thermocompression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/852Applying energy for connecting
    • H01L2224/85201Compression bonding
    • H01L2224/85205Ultrasonic bonding

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体技術に関
し、特に、DRAM(ynamic andom
ccess emory)を有する半導体集積回路
装置及びその形成技術に適用して有効な技術に関するも
のである。
The present invention relates to relates to semiconductor technology, in particular, DRAM (D ynamic R andom
When applied to a semiconductor integrated circuit device and a forming technique having A ccess M emory) a technique effectively.

【0002】[0002]

【従来の技術】DRAMの1[bit]の情報を保持するメ
モリセルはメモリセル選択用MISFETと情報蓄積用
容量素子との直列回路で構成されている。前記メモリセ
ルのメモリセル選択用MISFETは半導体基板(又は
ウエル領域)の活性領域の主面に構成されている。この
半導体基板の活性領域は前記半導体基板の非活性領域に
形成された素子間分離用絶縁膜(フィールド絶縁膜)及び
チャネルストッパ領域で周囲を規定された領域内に設け
られている。前記メモリセル選択用MISFETのゲー
ト電極は行方向に延在するワード線に接続されている。
メモリセル選択用MISFETの一方の半導体領域は相
補性データ線に接続されている。他方の半導体領域は前
記情報蓄積用容量素子の一方の電極に接続されている。
情報蓄積用容量素子の他方の電極には所定電位が印加さ
れている。
2. Description of the Related Art A memory cell of a DRAM for holding 1-bit information is composed of a series circuit of a memory cell selection MISFET and an information storage capacitor. The memory cell selection MISFET of the memory cell is formed on the main surface of the active region of the semiconductor substrate (or well region). The active region of the semiconductor substrate is provided in a region defined by an inter-element isolation insulating film (field insulating film) and a channel stopper region formed in the non-active region of the semiconductor substrate. The gate electrode of the memory cell selection MISFET is connected to a word line extending in the row direction.
One semiconductor region of the memory cell selection MISFET is connected to a complementary data line. The other semiconductor region is connected to one electrode of the information storage capacitor.
A predetermined potential is applied to the other electrode of the information storage capacitor.

【0003】この種のDRAMは大容量化のために集積
化され、メモリセルのサイズが縮小される傾向にある。
メモリセルのサイズが縮小された場合、情報蓄積用容量
素子のサイズも縮小されるので、情報となる電荷蓄積量
が低下する。電荷蓄積量の低下はα線ソフトエラー耐圧
を低下させる。このため、特に1[Mbit]以上の大容量
を有するDRAMはこのα線ソフトエラー耐圧の向上が
重要な技術的課題の一つとなっている。
[0003] DRAMs of this kind are integrated for increasing the capacity, and the size of memory cells tends to be reduced.
When the size of the memory cell is reduced, the size of the information storage capacitor is also reduced, so that the amount of charge stored as information is reduced. A decrease in the amount of charge storage lowers the α-ray soft error withstand voltage. For this reason, especially in a DRAM having a large capacity of 1 [Mbit] or more, improvement of the α-ray soft error withstand voltage is one of the important technical issues.

【0004】このような技術的課題に基づき、DRAM
のメモリセルの情報蓄積用容量素子にスタックド構造
(STC構造)が採用される傾向にある。このスタックド
構造の情報蓄積用容量素子は、下層電極層、誘電体膜、
上層電極層の夫々を順次積層し構成されている。下層電
極層は、メモリセル選択用MISFETの他方の半導体
領域に一部が接続され、他の領域がゲート電極上まで引
き伸ばされている。上層電極層は前記下層電極層の表面
上に誘電体膜を介在させて形成されている。この上層電
極層は、隣接する他のメモリセルのスタックド構造の情
報蓄積用容量素子の上層電極層と一体に構成され、共通
プレート電極として使用されている。
On the basis of such technical problems, DRAMs
Stacked structure in the information storage capacitance element of the memory cell
(STC structure) tends to be adopted. The information storage capacitor having the stacked structure includes a lower electrode layer, a dielectric film,
Each of the upper electrode layers is sequentially laminated. The lower electrode layer is partially connected to the other semiconductor region of the memory cell selection MISFET, and the other region is extended above the gate electrode. The upper electrode layer is formed on the surface of the lower electrode layer with a dielectric film interposed. The upper electrode layer is formed integrally with the upper electrode layer of the information storage capacitor having a stacked structure of another adjacent memory cell, and is used as a common plate electrode.

【0005】なお、スタックド構造の情報蓄積用容量素
子でメモリセルを構成するDRAMについては例えば特
願昭62−235906号に記載されている。
A DRAM in which a memory cell is composed of a stacked structure information storage capacitor is described in Japanese Patent Application No. 62-235906, for example.

【0006】[0006]

【発明が解決しようとする課題】本発明者は16[Mbi
t]の大容量を有するDRAMの開発中に以下に記載する
問題点を見出した。
SUMMARY OF THE INVENTION The present inventor has proposed that 16 [Mbi
During the development of a DRAM having a large capacity of [t], the following problems were found.

【0007】DRAMにおいて、現在、メモリセル間の
分離は素子間分離用絶縁膜及びチャネルストッパ領域で
行っている。素子間分離用絶縁膜は、半導体基板の活性
領域の主面上に形成された耐酸化マスク(窒化珪素膜)を
用い、半導体基板の非活性領域の主面を酸化することに
より形成されている。一方、チャネルストッパ領域は、
半導体基板の活性領域(メモリセルアレイのみ)及び非活
性領域の主面部に導入された不純物例えばBにより形成
されている。この不純物は、素子間分離用絶縁膜を形成
した後、この素子間分離用絶縁膜を通過する程度の高エ
ネルギのイオン打込法により導入されている。つまり、
半導体基板の非活性領域の素子間分離用絶縁膜下の主面
部に導入された不純物が前記チャネルストッパ領域とし
て形成される。半導体基板の活性領域の主面部に導入さ
れた不純物は、非活性領域の主面部に導入された不純物
に比べて深い領域に導入されるので、メモリセルに悪影
響を与えることがない。この高エネルギのイオン打込法
を使用するチャネルストッパ領域の形成方法はメモリセ
ル選択用MISFETの挟チャネル効果を低減すること
ができる特徴がある。つまり、前記形成方法は、素子間
分離用絶縁膜に対して自己整合的にチャネルストッパ領
域を形成することができるので、チャネルストッパ領域
を形成する不純物の活性領域側の拡散量を低減すること
ができる。
In the DRAM, the isolation between memory cells is currently performed by using an insulating film for isolation between elements and a channel stopper region. The element isolation insulating film is formed by oxidizing the main surface of the non-active region of the semiconductor substrate using an oxidation-resistant mask (silicon nitride film) formed on the main surface of the active region of the semiconductor substrate. . On the other hand, the channel stopper region
The active region (only the memory cell array) and the non-active region of the semiconductor substrate are formed of impurities, for example, B introduced into the main surface portion. This impurity is introduced by an ion implantation method having a high energy enough to pass through the inter-element isolation insulating film after forming the inter-element isolation insulating film. That is,
Impurities introduced into the main surface of the inactive region of the semiconductor substrate under the inter-element isolation insulating film are formed as the channel stopper region. The impurity introduced into the main surface of the active region of the semiconductor substrate is introduced into a region deeper than the impurity introduced into the main surface of the non-active region, and thus does not adversely affect the memory cell. The method of forming the channel stopper region using the high-energy ion implantation method has a feature that the narrow channel effect of the memory cell selecting MISFET can be reduced. In other words, according to the formation method, the channel stopper region can be formed in a self-alignment manner with the inter-element isolation insulating film. it can.

【0008】ところが、本発明者が開発中のDRAM
は、16[Mbit]の大容量化がなされ、メモリセル面積
及びメモリセル間の分離面積を充分に確保することが難
い。つまり、前記素子間分離用絶縁膜は横方向の酸化量
(バーズビーク)が大きいので、素子間分離用絶縁膜の面
積が必要以上に増大する。この素子間分離用絶縁膜の面
積の増大は逆にメモリセル面積を必要以上に縮小する。
そこで、前記素子間分離用絶縁膜の膜厚を薄くし、横方
向の酸化量を低減した場合、半導体基板の活性領域の主
面部の浅い領域にチャネルストッパ領域を形成する不純
物が導入される。この半導体基板の活性領域の主面部に
導入された不純物は、表面の不純物濃度を高めるので、
メモリセルのメモリセル選択用MISFETのしきい値
電圧を変動させる。このため、メモリセル面積を確保し
かつメモリセル間の分離面積を縮小することができない
ので、DRAMの高集積化を図ることができないという
問題があった。
However, a DRAM being developed by the present inventor
In this case, the capacity is increased to 16 [Mbit], and it is difficult to sufficiently secure the memory cell area and the separation area between the memory cells. That is, the insulating film for element isolation has a lateral oxidation amount.
Since (bird's beak) is large, the area of the insulating film for element isolation increases more than necessary. The increase in the area of the insulating film for element isolation conversely reduces the memory cell area more than necessary.
Therefore, when the thickness of the inter-element isolation insulating film is reduced and the amount of oxidation in the lateral direction is reduced, an impurity for forming a channel stopper region is introduced into a shallow region of the main surface of the active region of the semiconductor substrate. The impurities introduced into the main surface of the active region of the semiconductor substrate increase the impurity concentration on the surface.
The threshold voltage of the memory cell selecting MISFET of the memory cell is changed. For this reason, it is impossible to secure the memory cell area and to reduce the separation area between the memory cells, so that there is a problem that it is not possible to achieve high integration of the DRAM.

【0009】本発明の目的は下記のとおりである。The objects of the present invention are as follows.

【0010】(1)記憶機能を有する半導体集積回路装
置において、集積度を向上することが可能な技術を提供
することにある。
(1) An object of the present invention is to provide a technique capable of improving the degree of integration in a semiconductor integrated circuit device having a storage function.

【0011】(2)前記半導体集積回路装置において、
電気的信頼性を向上することが可能な技術を提供するこ
とにある。
(2) In the semiconductor integrated circuit device,
An object of the present invention is to provide a technology capable of improving electrical reliability.

【0012】(3)前記半導体集積回路装置において、
ソフトエラー耐圧を向上することが可能な技術を提供す
ることにある。
(3) In the semiconductor integrated circuit device,
It is an object of the present invention to provide a technique capable of improving a soft error withstand voltage.

【0013】(4)前記半導体集積回路装置において、
製造工程数を低減することが可能な技術を提供すること
にある。
(4) In the semiconductor integrated circuit device,
It is to provide a technique capable of reducing the number of manufacturing steps.

【0014】(5)前記半導体集積回路装置において、
製造上の加工精度を向上することが可能な技術を提供す
ることにある。
(5) In the semiconductor integrated circuit device,
It is an object of the present invention to provide a technique capable of improving processing accuracy in manufacturing.

【0015】(6)前記半導体集積回路装置において、
半導体素子の駆動能力を向上することが可能な技術を提
供することにある。
(6) In the semiconductor integrated circuit device,
It is an object of the present invention to provide a technique capable of improving the driving capability of a semiconductor element.

【0016】(7)前記半導体集積回路装置において、
製造上の歩留りを向上することが可能な技術を提供する
ことにある。
(7) In the semiconductor integrated circuit device,
It is an object of the present invention to provide a technology capable of improving the production yield.

【0017】(8)前記半導体集積回路装置において、
動作速度の高速化を図ることが可能な技術を提供するこ
とにある。
(8) In the semiconductor integrated circuit device,
It is an object of the present invention to provide a technique capable of increasing the operation speed.

【0018】(9)前記半導体集積回路装置において、
配線の断線不良を防止することが可能な技術を提供する
ことにある。
(9) In the semiconductor integrated circuit device,
An object of the present invention is to provide a technique capable of preventing a disconnection failure of a wiring.

【0019】(10)前記半導体集積回路装置におい
て、耐湿性を向上することが可能な技術を提供すること
にある。
(10) It is an object of the present invention to provide a technique capable of improving moisture resistance in the semiconductor integrated circuit device.

【0020】(11)冗長用ヒューズ素子を有する半導
体集積回路装置において、前記冗長用ヒューズ素子の形
成工程を簡単化することが可能な技術を提供することに
ある。
(11) In a semiconductor integrated circuit device having a redundant fuse element, it is an object of the present invention to provide a technique capable of simplifying a step of forming the redundant fuse element.

【0021】(12)前記半導体集積回路装置におい
て、それに使用される膜の膜質を向上することが可能な
技術を提供することにある。
(12) An object of the present invention is to provide a technique capable of improving the film quality of a film used for the semiconductor integrated circuit device.

【0022】(13)前記(12)の製造装置を提供す
ることにある。
(13) An object of the present invention is to provide the manufacturing apparatus of the above (12).

【0023】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述及び添付図面によって明らか
になるであろう。
The above and other objects and novel features of the present invention will become apparent from the description of the present specification and the accompanying drawings.

【0024】[0024]

【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
下記のとおりである。
SUMMARY OF THE INVENTION Among the inventions disclosed in the present application, the outline of a representative one will be briefly described.
It is as follows.

【0025】(1)半導体基板上に所定の間隔で規則的
に配置されており、所定の膜厚を有する複数のアルミニ
ウム配線と、テトラエトキシシランをソースガスとする
CVD法を用いて、前記アルムニウム配線上に形成され
た第1絶縁膜と、前記第1絶縁膜上にプラズマCVD法
で形成された第2絶縁膜とを有する半導体集積回路装置
において、前記第1絶縁膜は、前記アルミニウム配線の
間隔の2分の1以上の膜厚を有することを特徴とする半
導体集積回路装置。
(1) A plurality of aluminum wirings which are regularly arranged on a semiconductor substrate at predetermined intervals and have a predetermined thickness, and the aluminum is formed by a CVD method using tetraethoxysilane as a source gas. In a semiconductor integrated circuit device having a first insulating film formed on a wiring and a second insulating film formed on the first insulating film by a plasma CVD method, the first insulating film is formed of the aluminum wiring. A semiconductor integrated circuit device having a film thickness of one half or more of an interval.

【0026】(2)更に、前記第2絶縁膜は、ナイトラ
イド膜である。
(2) Further, the second insulating film is a nitride film.

【0027】(3)更に、前記アルミニウム配線の膜厚
は、前記配線の配置間隔よりも大である。
(3) Further, the film thickness of the aluminum wiring is larger than the interval between the wirings.

【0028】(4)更に、前記半導体基板上に形成され
たメモリセル選択用MISFETと、前記メモリセル選
択用MISFETに直列接続され、前記メモリセル選択
用MISFETの上部に形成された情報蓄積用容量素子
と、前記メモリセル選択用MISFETに電気的に接続
され、前記半導体基板上所定の間隔で、規則的に配置さ
れた複数の第1ワード線と、前記第1ワード線上に絶縁
膜を介して所定の間隔で規則的に配置され、前記第1ワ
ード線と同じ方向に延び、かつ前記第1ワード線に電気
的に接続された複数の第2ワード線とを有し、前記複数
のアルミニウム配線は、前記第2ワード線である。
(4) Further, a memory cell selecting MISFET formed on the semiconductor substrate, and an information storage capacitor connected in series with the memory cell selecting MISFET and formed on the memory cell selecting MISFET. A plurality of first word lines electrically connected to the element and the memory cell selecting MISFET and arranged at predetermined intervals on the semiconductor substrate; and an insulating film on the first word line. A plurality of second word lines regularly arranged at predetermined intervals, extending in the same direction as the first word lines, and electrically connected to the first word lines; Is the second word line.

【0029】(作用)上述した手段によれば、前記パッ
シベーション膜の下層の酸化珪素膜は、前記配線を溶融
しない低温度でしかも高ステップカバレッジで堆積する
ことができ、前記配線層で形成される段差形状を平坦化
することができるので、前記パッシベーション膜の上層
の耐湿性に優れた窒化珪素膜を前記段差形状に基づく巣
を生じることなく形成することができる。この結果、前
記パッシベーション膜の上層の窒化珪素膜に巣が発生し
ないので、前記窒化珪素膜の割れの発生や前記巣に水分
が溜まることがないので、前記パッシベーション膜の耐
湿性を向上することができる。
(Operation) According to the above-described means, the silicon oxide film under the passivation film can be deposited at a low temperature at which the wiring is not melted and at a high step coverage, and is formed by the wiring layer. Since the step shape can be flattened, a silicon nitride film having excellent moisture resistance on the passivation film can be formed without forming a nest based on the step shape. As a result, no cavities are generated in the silicon nitride film above the passivation film, so that cracks in the silicon nitride film and moisture do not accumulate in the cavities, thereby improving the moisture resistance of the passivation film. it can.

【0030】以下、本発明の構成について、メモリセル
選択用MISFETとスタックド構造の情報蓄積用容量
素子との直列回路でメモリセルを構成するDRAMに本
発明を適用した一実施例とともに説明する。
Hereinafter, the configuration of the present invention will be described together with an embodiment in which the present invention is applied to a DRAM in which a memory cell is formed by a series circuit of a memory cell selecting MISFET and a stacked information storage capacitor.

【0031】なお、実施例を説明するための全図におい
て、同一機能を有するものは同一符号を付け、その繰り
返しの説明は省略する。
In all the drawings for explaining the embodiments, parts having the same functions are given the same reference numerals and their repeated explanation is omitted.

【0032】[0032]

【発明の実施の形態】BEST MODE FOR CARRYING OUT THE INVENTION

(実施の形態1)本発明の実施の形態1であるDRAM
を封止する樹脂封止型半導体装置を図2(部分断面斜視
図)で示す。
(Embodiment 1) DRAM according to Embodiment 1 of the present invention
Is shown in FIG. 2 (partial cross-sectional perspective view).

【0033】図2に示すように、DRAM(半導体ペレ
ット)1はSOJ(mall ut-line J-bend)型の樹脂
封止型半導体装置2で封止されている。前記DRAM1
は、16[Mbit]×1[bit]の大容量で構成され、16.
48[mm]×8.54[mm]の平面長方形状で構成され
ている。このDRAM1は400[mil]の樹脂封止型
半導体装置2に封止される。
As shown in FIG. 2, DRAM (semiconductor pellet) 1 is sealed with SOJ (S mall O ut-line J-bend) type resin-encapsulated semiconductor device 2. DRAM 1
Is configured with a large capacity of 16 [Mbit] × 1 [bit].
It is configured in a plane rectangular shape of 48 [mm] × 8.54 [mm]. The DRAM 1 is sealed in a resin-sealed semiconductor device 2 of 400 [mil].

【0034】前記DRAM1の主面には主にメモリセル
アレイ及び周辺回路が配置されている。メモリセルアレ
イは後に詳述するが1[bit]の情報を記憶するメモリセ
ル(記憶素子)を行列状に複数配置している。前記周辺回
路は直接周辺回路及び間接周辺回路で構成されている。
直接周辺回路はメモリセルの情報書込み動作や情報読出
し動作を直接制御する回路である。直接周辺回路はロウ
アドレスデコーダ回路、カラムアドレスデコーダ回路、
センスアンプ回路等を含む。間接周辺回路は前記直接周
辺回路の動作を間接的に制御する回路である。間接周辺
回路はクロック信号発生回路、バッファ回路等を含む。
The main surface of the DRAM 1 is mainly provided with a memory cell array and peripheral circuits. As will be described in detail later, the memory cell array has a plurality of memory cells (storage elements) for storing 1-bit information arranged in a matrix. The peripheral circuit includes a direct peripheral circuit and an indirect peripheral circuit.
The direct peripheral circuit is a circuit that directly controls the information writing operation and the information reading operation of the memory cell. Direct peripheral circuits are row address decoder circuits, column address decoder circuits,
It includes a sense amplifier circuit and the like. The indirect peripheral circuit is a circuit that indirectly controls the operation of the direct peripheral circuit. The indirect peripheral circuit includes a clock signal generation circuit, a buffer circuit, and the like.

【0035】前記DRAM1の主面つまり前記メモリセ
ルアレイ及び周辺回路を配置した表面上にはインナーリ
ード3Aを配置している。DRAM1とインナーリード
3Aとの間には絶縁性フィルム4を介在している。絶縁
性フィルム4は例えばポリイミド系樹脂膜で形成されて
いる。この絶縁性フィルム4のDRAM1側、インナー
リード3A側の夫々の表面には接着層(図示しない)が設
けられている。接着層としては例えばポリエーテルアミ
ドイミド系樹脂やエポキシ系樹脂を使用する。この種の
樹脂封止型半導体装置2はDRAM1上にインナーリー
ド3Aを配置したLOC(ead n hip)構造を採用
している。LOC構造を採用する樹脂封止型半導体装置
2は、DRAM1の形状に規制されずにインナーリード
3Aを自由に引き回せるので、この引き回しに相当する
分、サイズの大きなDRAM1を封止することができ
る。つまり、LOC構造を採用する樹脂封止型半導体装
置2は、大容量化に基づきDRAM1のサイズが大型化
しても、封止サイズは小さく抑えられるので、実装密度
を高めることができる。
An inner lead 3A is arranged on the main surface of the DRAM 1, that is, on the surface on which the memory cell array and the peripheral circuits are arranged. An insulating film 4 is interposed between the DRAM 1 and the inner lead 3A. The insulating film 4 is formed of, for example, a polyimide resin film. An adhesive layer (not shown) is provided on each surface of the insulating film 4 on the DRAM 1 side and the inner lead 3A side. As the adhesive layer, for example, a polyetheramideimide resin or an epoxy resin is used. Resin-sealed semiconductor device 2 of this type employs a LOC (L ead O n C hip ) structure in which the inner leads 3A on DRAM 1. In the resin-encapsulated semiconductor device 2 employing the LOC structure, the inner leads 3A can be freely routed without being restricted by the shape of the DRAM 1, so that the DRAM 1 having a large size can be sealed by an amount corresponding to the routing. . In other words, in the resin-sealed semiconductor device 2 employing the LOC structure, even if the size of the DRAM 1 is increased due to the increase in capacity, the sealing size can be kept small, so that the mounting density can be increased.

【0036】前記インナーリード3Aはその一端側をア
ウターリード3Bと一体に構成している。アウターリー
ド3Bは、標準規格に基づき、夫々に印加される信号が
規定され、番号が付されている。同図2中、左端手前は
1番端子、右端手前は14番端子である。右端裏側(端
子番号はインナーリード3Aに示す)は15番端子、左
端裏側は28番端子である。つまり、この樹脂封止型半
導体装置2は1〜6番端子、9〜14番端子、15〜2
0番端子、23〜28番端子の合計24端子で構成され
ている。
The inner lead 3A has one end integrally formed with the outer lead 3B. Signals to be applied to the outer leads 3B are defined and numbered based on the standard. In FIG. 2, the terminal on the left side is terminal No. 1, and the terminal on the right side is terminal No. 14. The right back side (the terminal number is shown on the inner lead 3A) is the 15th terminal, and the left back side is the 28th terminal. That is, the resin-sealed semiconductor device 2 has terminals 1 to 6, terminals 9 to 14, and 15 to 2.
It is composed of a total of 24 terminals, the 0th terminal and the 23rd to 28th terminals.

【0037】前記1番端子は電源電圧Vcc端子である。
前記電源電圧Vccは例えば回路の動作電圧5[V]であ
る。2番端子はデータ入力信号端子(D)、3番端子は空
き端子、4番端子はライトイネーブル信号端子(W)、5
番端子はロウアドレスストローブ信号端子(RE)、6番
端子はアドレス信号端子(A11)である。
The first terminal is a power supply voltage Vcc terminal.
The power supply voltage Vcc is, for example, an operation voltage 5 [V] of the circuit. Terminal 2 is a data input signal terminal (D), terminal 3 is an empty terminal, terminal 4 is a write enable signal terminal (W), 5
The terminal No. is a row address strobe signal terminal (RE), and the terminal No. 6 is an address signal terminal (A 11 ).

【0038】9番端子はアドレス信号端子(A10)、10
番端子はアドレス信号端子(A0)、11番端子はアドレ
ス信号端子(A1)、12番端子はアドレス信号端子
(A2)、13番端子はアドレス信号端子(A3)である。1
4番端子は電源電圧Vcc端子である。
No. 9 terminals are address signal terminals (A 10 ), 10
Terminal No. is an address signal terminal (A 0 ), Terminal No. 11 is an address signal terminal (A 1 ), Terminal No. 12 is an address signal terminal
(A 2 ), the thirteenth terminal is an address signal terminal (A 3 ). 1
The fourth terminal is a power supply voltage Vcc terminal.

【0039】15番端子は基準電圧Vss端子である。前
記基準電圧Vssは例えば回路の基準電圧0[V]である。
16番端子はアドレス信号端子(A4)、17番端子はア
ドレス信号端子(A5)、18番端子はアドレス信号端子
(A6)、19番端子はアドレス信号端子(A7)、20番端
子はアドレス信号端子(A8)である。
The 15th terminal is a reference voltage Vss terminal. The reference voltage Vss is, for example, a reference voltage 0 [V] of the circuit.
Terminal 16 is an address signal terminal (A 4 ), terminal 17 is an address signal terminal (A 5 ), and terminal 18 is an address signal terminal.
(A 6 ), the 19th terminal is an address signal terminal (A 7 ), and the 20th terminal is an address signal terminal (A 8 ).

【0040】23番端子はアドレス信号端子(A9)、2
4番端子は空き端子、25番端子はカラムアドレススト
ローブ信号端子(CE)、26番端子は空き端子、27番
端子はデータ出力信号端子である。28番端子は基準電
圧Vss端子である。
Terminal 23 is an address signal terminal (A 9 ), 2
The fourth terminal is an empty terminal, the 25th terminal is a column address strobe signal terminal (CE), the 26th terminal is an empty terminal, and the 27th terminal is a data output signal terminal. The 28th terminal is a reference voltage Vss terminal.

【0041】前記インナーリード3Aの他端側は、DR
AM1の長方形状の夫々の長辺を横切り、DRAM1の
中央側に引き伸ばされている。インナーリード3Aの他
端側の先端はボンディングワイヤ5を介在させてDRA
M1の中央部分に配列された外部端子(ボンディングパ
ッド)BPに接続されている。ボンディングワイヤ5は
アルミニウム(Al)ワイヤを使用する。また、ボンディ
ングワイヤ5としては、金(Au)ワイヤ、銅(Cu)ワイ
ヤ、金属ワイヤの表面に絶縁性樹脂を被覆した被覆ワイ
ヤ等を使用してもよい。ボンディングワイヤ5は熱圧着
に超音波振動を併用したボンディング法によりボンディ
ングされている。
The other end of the inner lead 3A is connected to the DR
It extends across each long side of the rectangular shape of AM1 and extends to the center side of DRAM1. The other end of the inner lead 3A is connected to the DRA with the bonding wire 5 interposed.
It is connected to external terminals (bonding pads) BP arranged at the center of M1. The bonding wire 5 uses an aluminum (Al) wire. Further, as the bonding wire 5, a gold (Au) wire, a copper (Cu) wire, a coated wire in which a surface of a metal wire is coated with an insulating resin, or the like may be used. The bonding wire 5 is bonded by a bonding method using ultrasonic vibration in combination with thermocompression bonding.

【0042】前記インナーリード3Aのうち1番端子、
14番端子の夫々のインナーリード(Vcc)3Aは一体に
構成され、DRAM1の中央部分をその長辺に平行に引
き伸ばされている。同様に、15番端子、28番端子の
夫々のインナーリード(Vss)3Aは一体に構成さ
れ、DRAM1の中央部分をその長辺に平行に引き伸ば
されている。インナーリード(Vcc)3A、インナーリ
ード(Vss)3Aの夫々は、その他のインナーリード3A
の他端側の先端で規定された領域内において平行に延在
させている。このインナーリード(Vcc)3A、インナー
リード(Vss)3Aの夫々はDRAM1の主面のどの位置
においても電源電圧Vcc、基準電圧Vssを供給すること
ができるように構成されている。つまり、この樹脂封止
型半導体装置2は、電源ノイズを吸収し易く構成され、
DRAM1の動作速度の高速化を図れるように構成され
ている。
No. 1 terminal of the inner lead 3A,
The respective inner leads (Vcc) 3A of the 14th terminal are integrally formed, and a central portion of the DRAM 1 is extended in parallel with its long side. Similarly, the inner leads (Vss) 3A of the 15th terminal and the 28th terminal are integrally formed, and the central portion of the DRAM 1 is extended in parallel with its long side. Each of the inner lead (Vcc) 3A and the inner lead (Vss) 3A is other inner lead 3A.
Are extended in parallel within a region defined by the tip on the other end side. Each of the inner lead (Vcc) 3A and the inner lead (Vss) 3A is configured to supply the power supply voltage Vcc and the reference voltage Vss at any position on the main surface of the DRAM 1. That is, the resin-encapsulated semiconductor device 2 is configured to easily absorb power supply noise,
The configuration is such that the operation speed of the DRAM 1 can be increased.

【0043】前記DRAM1の長方形状の短辺にはペレ
ット支持用リード3Cが設けられている。
The rectangular short side of the DRAM 1 is provided with a pellet supporting lead 3C.

【0044】前記インナーリード3A、アウターリード
3B、ペレット支持用リード3Cの夫々はリードフレー
ムから切断されかつ成型されている。リードフレームは
例えばFe−Ni(例えばNi含有率42又は50
[%])合金、Cu等で形成されている。
Each of the inner lead 3A, outer lead 3B, and pellet supporting lead 3C is cut and molded from a lead frame. The lead frame is made of, for example, Fe—Ni (for example, Ni content 42 or 50).
[%]) It is formed of an alloy, Cu, or the like.

【0045】前記DRAM1、ボンディングワイヤ5、
インナーリード3A及びペレット支持用リード3Cは樹
脂封止部6で封止されている。樹脂封止部6は、低応力
化を図るために、フェノール系硬化剤、シリコーンゴム
及びフィラーが添加されたエポキシ系樹脂を使用してい
る。シリコーンゴムはエポキシ系樹脂の熱膨張率を低下
させる作用がある。フィラーは球形の酸化珪素粒で形成
されており、同様に熱膨張率を低下させる作用がある。
The DRAM 1, the bonding wire 5,
The inner leads 3A and the pellet supporting leads 3C are sealed with a resin sealing portion 6. The resin sealing portion 6 uses an epoxy resin to which a phenolic curing agent, silicone rubber, and a filler are added in order to reduce stress. Silicone rubber has the effect of lowering the coefficient of thermal expansion of the epoxy resin. The filler is formed of spherical silicon oxide particles, and similarly has the effect of reducing the coefficient of thermal expansion.

【0046】次に、前記樹脂封止型半導体装置2に封止
されたDRAM1の概略構成を図3(チップレイアウト
図)に示す。
Next, the schematic configuration of the DRAM 1 sealed in the resin-sealed semiconductor device 2 is shown in FIG. 3 (chip layout diagram).

【0047】図3に示すように、DRAM1の表面の略
全域にメモリセルアレイ(MA)11が配置されている。
本実施の形態のDRAM1は、これに限定されないが、
メモリセルアレイ11は大きく4個のメモリセルアレイ
11A〜11Dに分割されている。同図3中、DRAM
1の上側に2個のメモリセルアレイ11A及び11Bが
配置され、下側に2個のメモリセルアレイ11C及び1
1Dが配置されている。この4個に分割されたメモリセ
ルアレイ11A〜11Dの夫々はさらに16個のメモリ
セルアレイ(MA)11Eに細分化されている。つまり、
DRAM1は64個のメモリセルアレイ11Eを配置す
る。この64個に細分化された1個のメモリセルアレイ
11Eは256[Kbit]の容量で構成されている。
As shown in FIG. 3, a memory cell array (MA) 11 is arranged over substantially the entire surface of the DRAM 1.
Although the DRAM 1 of the present embodiment is not limited to this,
The memory cell array 11 is roughly divided into four memory cell arrays 11A to 11D. In FIG.
1, two memory cell arrays 11A and 11B are arranged above, and two memory cell arrays 11C and 11B are arranged below.
1D is arranged. Each of the four divided memory cell arrays 11A to 11D is further subdivided into 16 memory cell arrays (MA) 11E. That is,
The DRAM 1 arranges 64 memory cell arrays 11E. One memory cell array 11E divided into 64 pieces has a capacity of 256 [Kbit].

【0048】前記DRAM1の64個に細分化されたう
ちの2個のメモリセルアレイ11Eの間には夫々センス
アンプ回路(SA)13が配置されている。センスアンプ
回路13は相補型MISFET(CMOS)で構成されて
いる。DRAM1の4個に分割されたうちのメモリセル
アレイ11A、11Bの夫々の下側の一端にはカラムア
ドレスデコーダ回路(YDEC)12が配置されている。
同様に、メモリセルアレイ11C、11Dの夫々の上側
の一端にはカラムアドレスデコーダ回路(YDEC)12
が配置されている。
A sense amplifier circuit (SA) 13 is arranged between two memory cell arrays 11E of the DRAM 1 divided into 64 pieces. The sense amplifier circuit 13 is configured by a complementary MISFET (CMOS). A column address decoder circuit (YDEC) 12 is disposed at one lower end of each of the four memory cell arrays 11A and 11B of the DRAM 1.
Similarly, a column address decoder circuit (YDEC) 12 is provided at one upper end of each of the memory cell arrays 11C and 11D.
Is arranged.

【0049】前記DRAM1の4個に分割されたうちの
メモリセルアレイ11A、11Cの夫々の右側の一端に
はワードドライバ回路(WD)14、ロウアドレスデコー
ダ回路(XDEC)15、単位マット制御回路16の夫々
が左側から右側に向って順次配置されている。同様に、
メモリセルアレイ11B、11Dの夫々の左側の一端に
はワードドライバ回路14、ロウアドレスデコーダ回路
15、単位マット制御回路16の夫々が右側から左側に
向って順次配置されている。
The memory cell arrays 11A and 11C, which are divided into four parts of the DRAM 1, have a word driver circuit (WD) 14, a row address decoder circuit (XDEC) 15, and a unit mat control circuit 16 at one right end. Each is sequentially arranged from left to right. Similarly,
At one end on the left side of each of the memory cell arrays 11B and 11D, a word driver circuit 14, a row address decoder circuit 15, and a unit mat control circuit 16 are sequentially arranged from right to left.

【0050】前記センスアンプ回路13、カラムアドレ
スデコーダ回路12、ワードドライバ回路14、ロウア
ドレスデコーダ回路15の夫々はDRAM1の周辺回路
のうちの直接周辺回路を構成する。この直接周辺回路は
メモリセルアレイ11の細分化されたメモリセルアレイ
11Eに配置されたメモリセルを直接制御する回路であ
る。
Each of the sense amplifier circuit 13, column address decoder circuit 12, word driver circuit 14, and row address decoder circuit 15 constitutes a direct peripheral circuit among the peripheral circuits of the DRAM 1. This direct peripheral circuit is a circuit for directly controlling the memory cells arranged in the memory cell array 11E obtained by dividing the memory cell array 11.

【0051】前記DRAM1の4個に分割されたうちの
メモリセルアレイ11A、11Bの夫々の間、メモリセ
ルアレイ11C、11Dの夫々の間には、夫々周辺回路
17及び外部端子BPが配置されている。周辺回路17
としてはメインアンプ回路1701、出力バッファ回路
1702、基板電位発生回路(Vssジェネレータ回路)
1703、電源回路1704の夫々を配置している。メ
インアンプ回路1701は4個単位に合計16個配置さ
れている。出力バッファ回路1702は合計4個配置さ
れている。
A peripheral circuit 17 and an external terminal BP are respectively disposed between the memory cell arrays 11A and 11B and between the memory cell arrays 11C and 11D of the DRAM 1 divided into four. Peripheral circuit 17
Amplifier circuit 1701, output buffer circuit 1702, substrate potential generation circuit (Vss generator circuit)
1703 and a power supply circuit 1704 are arranged. A total of 16 main amplifier circuits 1701 are arranged in units of 4. A total of four output buffer circuits 1702 are arranged.

【0052】前記外部端子BPは、前記樹脂封止型半導
体装置2をLOC構造で構成し、DRAM1の中央部ま
でインナーリード3Aを引き伸しているので、DRAM
1の中央部分に配置されている。外部端子BPは、メモ
リセルアレイ11A及び11C、11B及び11Dの夫
々で規定された領域内に、DRAM1の上端側から下端
側に向って配置されている。外部端子BPに印加される
信号は、前述の図2に示す樹脂封止型半導体装置2にお
いて説明したので、ここでの説明は省略する。基本的に
は、DRAM1の表面上の上端側から下端側に向って基
準電圧(Vss)、電源電圧(Vcc)の夫々が印加されたイン
ナーリード3Aが延在するので、DRAM1はその延在
方向に沿って基準電圧(Vss)用、電源電圧(Vcc)用の夫
々の外部端子BPを複数配置している。つまり、DRA
M1は基準電圧(Vss)、電源電圧(Vcc)の夫々の電源の
供給が充分に行えるように構成されている。データ入力
信号(D)、データ出力信号(Q)、アドレス信号(A0〜A
11)、クロック系信号、制御信号の夫々はDRAM1の
中央部分に集中的に配置されている。
The external terminals BP are formed by forming the resin-encapsulated semiconductor device 2 in a LOC structure and extending the inner leads 3A to the center of the DRAM 1.
1 is located at the center. The external terminal BP is arranged from the upper end side to the lower end side of the DRAM 1 in a region defined by each of the memory cell arrays 11A and 11C, 11B and 11D. Since the signal applied to the external terminal BP has been described in the resin-encapsulated semiconductor device 2 shown in FIG. 2 described above, the description is omitted here. Basically, the inner lead 3A to which the reference voltage (Vss) and the power supply voltage (Vcc) are applied extends from the upper end side to the lower end side on the surface of the DRAM 1, so that the DRAM 1 extends in the extending direction. A plurality of external terminals BP for the reference voltage (Vss) and the power supply voltage (Vcc) are arranged along the line. That is, DRA
M1 is configured to sufficiently supply the respective powers of the reference voltage (Vss) and the power supply voltage (Vcc). Data input signal (D), data output signal (Q), address signal (A 0 -A
11 ), each of the clock system signal and the control signal is intensively arranged in the central portion of the DRAM 1.

【0053】前記DRAM1の4個に分割されたうちの
メモリセルアレイ11A、11Cの夫々の間、11B、
11Dの夫々の間には夫々周辺回路18が配置されてい
る。周辺回路18のうち左側にはロウアドレスストロー
ブ(RE)系回路1801、ライトイネーブル(W)系回路
1802、データ入力バッファ回路1803、VCC用
リミッタ回路1804、Xアドレスドライバ回路(論理
段)1805、X系冗長回路1806、Xアドレスバッ
ファ回路1807の夫々が配置されている。周辺回路1
8のうち右側にはカラムアドレスストローブ(CE)系
回路1808、テスト回路1809、VDL用リミッタ
回路1810、Yアドレスドライバ回路(論理段)181
1、Y系冗長回路1812、Yアドレスバッファ回路1
813の夫々が配置されている。周辺回路18のうち中
央にはYアドレスドライバ回路(ドライブ段)1814、
Xアドレスドライバ回路(ドライブ段)1815、マット
選択信号回路(ドライブ段)1816の夫々が配置されて
いる。
Each of the memory cell arrays 11A and 11C of the DRAM 1 divided into four parts, 11B,
A peripheral circuit 18 is arranged between each of the 11Ds. On the left side of the peripheral circuit 18, a row address strobe (RE) circuit 1801, a write enable (W) circuit 1802, a data input buffer circuit 1803, a VCC limiter circuit 1804, an X address driver circuit (logic stage) 1805, X A system redundancy circuit 1806 and an X address buffer circuit 1807 are arranged. Peripheral circuit 1
8, a column address strobe (CE) circuit 1808, a test circuit 1809, a VDL limiter circuit 1810, a Y address driver circuit (logical stage) 181
1, Y-system redundant circuit 1812, Y address buffer circuit 1
813 are arranged. In the center of the peripheral circuit 18, a Y address driver circuit (drive stage) 1814,
An X address driver circuit (drive stage) 1815 and a mat selection signal circuit (drive stage) 1816 are arranged.

【0054】前記周辺回路17、18(16も含む)はD
RAM1の間接周辺回路として使用されている。
The peripheral circuits 17 and 18 (including 16)
It is used as an indirect peripheral circuit of the RAM 1.

【0055】次に、前記DRAM1の16個に細分化さ
れたメモリセルアレイ11Eの要部及びその周辺回路の
要部について、図4(要部等価回路図)を用いて説明す
る。
Next, the main part of the memory cell array 11E divided into 16 parts of the DRAM 1 and the main part of its peripheral circuits will be described with reference to FIG. 4 (equivalent part schematic diagram).

【0056】図4に示すように、前記DRAM1はフォ
ールデットビットライン方式(折り返しビット線方式又
は2交点方式)で構成されている。DRAM1の16個
に細分化された夫々のメモリセルアレイ11E内には行
列状にメモリセルMを複数配置している。メモリセルM
は相補性データ線(相補性ビット線)DL,DLとワー
ド線WLとの交差部分に配置されている。相補性データ
線DLは、同図4中行方向に延在し、列方向に複数本配
置されている。ワード線WLは、列方向に延在し、行方
向に複数本配置されている。行方向に延在する相補性デ
ータ線DLにはシェアードセンス方式のセンスアンプ回
路Sa、プリチャージ回路DP、入出力信号選択回路V
Oの夫々が接続されている。前記ワード線WLは前記図
3に示すワードドライバ回路(WD)14を介在させてロ
ウアドレスデコーダ回路(XDEC)15に接続されてい
る。同図4においては図示しないが、前記ワード線WL
に沿った位置には列方向に延在するシャント用ワード線
WLが配置されている。シャント用ワード線WLは、所
定部において(例えば所定数のメモリセル毎に)ワード
線WLと短絡され、ワード線WLの比抵抗を低減するよ
うに構成されている。
As shown in FIG. 4, the DRAM 1 is constructed by a folded bit line system (a folded bit line system or a two-intersection system). A plurality of memory cells M are arranged in rows and columns in each of the 16 memory cell arrays 11E of the DRAM 1. Memory cell M
Are arranged at intersections between complementary data lines (complementary bit lines) DL, DL and word lines WL. The plurality of complementary data lines DL extend in the row direction in FIG. 4 and are arranged in a plurality in the column direction. The word lines WL extend in the column direction, and a plurality of word lines WL are arranged in the row direction. Shared sense type sense amplifier circuit Sa, precharge circuit DP, input / output signal selection circuit V are provided to complementary data lines DL extending in the row direction.
Each of O is connected. The word line WL is connected to a row address decoder circuit (XDEC) 15 via the word driver circuit (WD) 14 shown in FIG. Although not shown in FIG. 4, the word line WL
A shunt word line WL extending in the column direction is arranged at a position along. The shunt word line WL is short-circuited with the word line WL in a predetermined portion (for example, for each predetermined number of memory cells), and is configured to reduce the specific resistance of the word line WL.

【0057】前記メモリセルMはメモリセル選択用MI
SFETQsと情報蓄積用容量素子Cとの直列回路で構
成されている。メモリセル選択用MISFETQsはn
チャネルで構成されている。メモリセル選択用MISF
ETQsの一方の半導体領域は前記相補性データ線DL
に接続されている。他方の半導体領域は情報蓄積用容量
素子Cの一方の電極(下層電極層)に接続されている。ゲ
ート電極はワード線WLに接続されている。情報蓄積用
容量素子Cの他方の電極は低電源電圧1/2Vccに接続
されている。前記DRAM1は外部装置とのインターフ
ェイスとして使用される入力段回路及び出力段回路の動
作電圧に前述の電源電圧Vccつまり5[V]を使用してい
る。DRAM1の内部回路すなわちメモリセルアレイ1
1、直接周辺回路(12,13,14,15)、間接周
辺回路(16,17,18)の夫々の動作電圧に電源電圧V
ccよりも低い低電源電圧Vcc例えば3.3[V]を使用し
ている。低電源電圧Vccは、特にDRAM1の情報書込
み動作及び情報読出し動作時において、相補性データ線
DLの充放電量を低減することができるので、DRAM
1の消費電力を低減することができる。したがって、前
記低電源電圧1/2Vccは低電源電圧Vccと基準電圧V
ssとの中間の電位約1.65[V]である。
The memory cell M is a memory cell selecting MI.
It is composed of a series circuit of SFET Qs and information storage capacitor C. MISFET Qs for memory cell selection is n
Consists of channels. MISF for memory cell selection
One semiconductor region of the ETQs is the complementary data line DL.
It is connected to the. The other semiconductor region is connected to one electrode (lower electrode layer) of the information storage capacitor C. The gate electrode is connected to the word line WL. The other electrode of the information storage capacitor C is connected to a low power supply voltage of 1/2 Vcc. The DRAM 1 uses the above-described power supply voltage Vcc, that is, 5 [V] as the operating voltage of the input stage circuit and the output stage circuit used as an interface with an external device. Internal circuit of DRAM 1, ie, memory cell array 1
1. The operating voltage of the direct peripheral circuits (12, 13, 14, 15) and the indirect peripheral circuits (16, 17, 18)
A low power supply voltage Vcc lower than cc, for example, 3.3 [V] is used. The low power supply voltage Vcc can reduce the amount of charge / discharge of the complementary data line DL particularly during the information writing operation and the information reading operation of the DRAM 1, so that the DRAM
1 can be reduced. Therefore, the low power supply voltage 1/2 Vcc is equal to the low power supply voltage Vcc and the reference voltage Vcc.
It is about 1.65 [V], which is an intermediate potential with ss.

【0058】前記プリチャージ回路DPは、プリチャー
ジ信号線φpcに夫々ゲート電極が接続された2個のプリ
チャージ用MISFET、同様にプリチャージ信号線φ
pcにゲート電極が接続された1個の短絡用MISFET
で構成されている。プリチャージ用MISFETは、一
方の半導体領域を相補性データ線DLに接続し、他方の
半導体領域をコモンソース線(基準電圧Vss)PNに接続
している。短絡用MISFETの夫々の半導体領域は相
補性データ線DLの夫々に接続されている。プリチャー
ジ用MISFET、短絡用MISFETの夫々はnチャ
ネルで構成されている。
The precharge circuit DP includes two precharge MISFETs each having a gate electrode connected to a precharge signal line φpc, and similarly a precharge signal line φ.
One short-circuit MISFET with gate electrode connected to pc
It is composed of The precharge MISFET has one semiconductor region connected to the complementary data line DL and the other semiconductor region connected to a common source line (reference voltage Vss) PN. Each semiconductor region of the short-circuit MISFET is connected to each of the complementary data lines DL. Each of the precharge MISFET and the short-circuit MISFET has an n-channel.

【0059】センスアンプ回路Saは2個のnチャネル
MISFETQn及び2個のpチャネルMISFETQ
pで構成されている。センスアンプ回路Saのnチャネ
ルMISFETQnの夫々の一方の半導体領域は相補性
データ線DLに接続され、夫々の他方の半導体領域はコ
モンソース線(基準電位Vss)PNに接続されている。
nチャネルMISFETQnの夫々のゲート電極は互い
に交差し一方の半導体領域が接続された相補性データ線
DLの一方のデータ線と異なる他方のデータ線に接続さ
れている。センスアンプ回路SaのpチャネルMISF
ETQpの夫々の一方の半導体領域は相補性データ線D
Lに接続され、夫々の他方の半導体領域はコモンソース
線(Vcc:3.3[V])PPに接続されている。pチャネル
MISFETQpの夫々のゲート電極は同様に互いに交
差し一方の半導体領域が接続された相補性データ線DL
の一方のデータ線と異なる他方のデータ線に接続されて
いる。
The sense amplifier circuit Sa includes two n-channel MISFETs Qn and two p-channel MISFETs Qn.
p. One semiconductor region of each of the n-channel MISFETs Qn of the sense amplifier circuit Sa is connected to a complementary data line DL, and the other semiconductor region is connected to a common source line (reference potential Vss) PN.
Each gate electrode of the n-channel MISFET Qn is connected to the other data line different from one data line of the complementary data line DL to which one semiconductor region is connected and crosses each other. P channel MISF of sense amplifier circuit Sa
One semiconductor region of each of the ETQp is a complementary data line D
L, and each other semiconductor region is connected to a common source line (Vcc: 3.3 [V]) PP. The respective gate electrodes of the p-channel MISFETs Qp similarly cross each other and have complementary data lines DL connected to one semiconductor region.
Is connected to the other data line different from the other data line.

【0060】入出力信号選択回路VOはnチャネルで形
成された入出力選択用MISFET(カラムスイッチ)で
構成されている。この入出力選択用MISFETは相補
性データ線DLのデータ線毎に配置されている。入出力
選択用MISFETは一方の半導体領域を相補性データ
線DLに接続し他方の半導体領域を相補性入出力信号線
I/Oのいずれかに接続している。前記入出力選択用M
ISFETのゲート電極にはカラムセレクト信号線YS
Lが接続されている。カラムセレクト信号線YSLはカ
ラムアドレスデコーダ回路12に接続されている。
The input / output signal selection circuit VO is composed of an input / output selection MISFET (column switch) formed of n channels. The input / output selection MISFET is arranged for each data line of the complementary data lines DL. The input / output selection MISFET has one semiconductor region connected to the complementary data line DL and the other semiconductor region connected to one of the complementary input / output signal lines I / O. M for input / output selection
A column select signal line YS is connected to the gate electrode of the ISFET.
L is connected. The column select signal line YSL is connected to the column address decoder circuit 12.

【0061】前記センスアンプ回路13には上側のメモ
リセルアレイ11Eの相補性データ線DLとセンスアン
プ回路Saとの間、下側のメモリセルアレイ11Eの相
補性データ線DLと入出力信号選択回路VOとの間の夫
々にマット選択用MISFETが設けられている。この
マット選択用MISFETは、nチャネルで構成され、
マット選択信号SHL、SHRの夫々で制御されるよう
に構成されている。
In the sense amplifier circuit 13, the complementary data line DL of the lower memory cell array 11E and the input / output signal selection circuit VO are connected between the complementary data line DL of the upper memory cell array 11E and the sense amplifier circuit Sa. Are provided with a mat selection MISFET. This mat selection MISFET is composed of n channels,
It is configured to be controlled by each of the mat selection signals SHL and SHR.

【0062】前記センスアンプ回路13の近傍におい
て、メモリセルアレイ11Eには相補性データ線DLと
ダミーワード線DWLとの交差部にダミーセルDSが配
置されている。このダミーセルDSはnチャネルMIS
FETで構成されている。
In the vicinity of the sense amplifier circuit 13, a dummy cell DS is arranged in the memory cell array 11E at the intersection of the complementary data line DL and the dummy word line DWL. This dummy cell DS is an n-channel MIS
It is composed of an FET.

【0063】また、前記メモリセルアレイ11Eのワー
ド線WLのワードドライバ回路14に接続される側と反
対側にはクリア用MISFETが配置されている。この
クリア用MISFETはクリア信号WLCにより制御さ
れる。
A clear MISFET is arranged on the side of the memory cell array 11E opposite to the side connected to the word driver circuit 14 of the word line WL. This clear MISFET is controlled by a clear signal WLC.

【0064】次に、前記DRAM1のメモリセルM及び
周辺回路(センスアンプ回路やデコーダ回路等)を構成す
る素子の具体的な構造について説明する。メモリセルア
レイ11Eの平面構造は図5(要部平面図)で示す。メ
モリセルアレイ11Eの断面構造及び周辺回路の素子の
断面構造は図1(要部断面図)で示す。なお、図1の左
側に示すメモリセルMの断面構造は図5のI−I切断線で
切った部分の断面構造を示している。また、図1の右側
は周辺回路を構成する相補型MISFET(CMOS)
の断面構造を示している。
Next, the specific structure of the elements forming the memory cell M and the peripheral circuits (sense amplifier circuit, decoder circuit, etc.) of the DRAM 1 will be described. The plan structure of the memory cell array 11E is shown in FIG. A cross-sectional structure of the memory cell array 11E and a cross-sectional structure of a peripheral circuit element are shown in FIG. Note that the cross-sectional structure of the memory cell M shown on the left side of FIG. 1 shows a cross-sectional structure of a portion taken along the line II in FIG. 1 is a complementary MISFET (CMOS) constituting a peripheral circuit.
2 shows the cross-sectional structure of the device.

【0065】図1及び図5に示すように、DRAM1は
単結晶珪素からなるp−型半導体基板20で構成されて
いる。p−型半導体基板20は、(100)結晶面を素
子形成面として使用し、例えば10[Ω/cm]程度の
抵抗値で形成されている。p−型半導体基板20の一部
の主面はイオン打込法による約1015[atoms/cm2]以
上のn型不純物の導入が行われていない。一部の領域と
は少なくともメモリセルアレイ11Eの領域である。前
記n型不純物の導入は結晶欠陥を多量に発生させ、情報
となる電荷をリークさせてしまうので、不純物の導入の
領域が部分的に制限されている。したがって、Fe等の
重金属による汚染を低減するために、本実施の形態のD
RAM1は半導体基板20の深い領域にゲッタリング層
を有したものが使用されている。
As shown in FIGS. 1 and 5, the DRAM 1 is formed of a p-type semiconductor substrate 20 made of single crystal silicon. The p − type semiconductor substrate 20 is formed with a resistance value of, for example, about 10 [Ω / cm] using a (100) crystal plane as an element formation surface. Part of the main surface of the p − type semiconductor substrate 20 has not been doped with n-type impurities of about 10 15 [atoms / cm 2 ] or more by ion implantation. The partial region is at least a region of the memory cell array 11E. The introduction of the n-type impurity causes a large amount of crystal defects and leaks electric charges serving as information, so that the region for introducing the impurity is partially limited. Therefore, in order to reduce contamination by heavy metals such as Fe,
The RAM 1 has a gettering layer in a deep region of the semiconductor substrate 20.

【0066】前記p−型半導体基板20のメモリセルM
(メモリセルアレイ11E)、nチャネルMISFET
Qnの夫々の形成領域の主面部にはp−型ウエル領域2
2が設けられている。また、p−型半導体基板20のp
チャネルMISFETQpの形成領域の主面部にはn−
型ウエル領域21が設けられている。つまり、本実施の
形態のDRAM1はツインウエル構造で構成されてい
る。後述する製造方法において説明するが、p−型ウエ
ル領域22はn−型ウエル領域21に対して自己整合で
形成されている。
The memory cell M of the p− type semiconductor substrate 20
(Memory cell array 11E), n-channel MISFET
The p-type well region 2 is formed on the main surface of each formation region of Qn.
2 are provided. Also, the p-type semiconductor substrate 20
The main surface of the formation region of the channel MISFET Qp has n-
A mold well region 21 is provided. That is, the DRAM 1 of the present embodiment has a twin-well structure. As will be described in a manufacturing method described later, the p-type well region 22 is formed in a self-alignment with the n-type well region 21.

【0067】前記ウエル領域21、22の夫々の半導体
素子形成領域間の主面(非活性領域)上には素子間分離用
絶縁膜(フィールド絶縁膜)23が設けられている。前記
p−型ウエル領域22のメモリセルアレイ11Eの形成
領域の主面部において、素子間分離用絶縁膜23下(非
活性領域)にはp型チャネルストッパ領域25Aが設け
られている。素子間分離用絶縁膜23をゲート絶縁膜と
する寄生MOSはn型反転し易いので、チャネルストッ
パ領域は少なくともp−型ウエル領域22の主面部に設
けられている。p型チャネルストッパ領域25Aはp−
型半導体基板20、p−型ウエル領域22の夫々に比べ
て高い不純物濃度で構成されている。
An insulating film for field isolation (field insulating film) 23 is provided on the main surface (inactive region) between the semiconductor element forming regions of the well regions 21 and 22. A p-type channel stopper region 25A is provided below the element isolation insulating film 23 (inactive region) in the main surface of the region where the memory cell array 11E is formed in the p-type well region 22. Since the parasitic MOS having the element isolation insulating film 23 as the gate insulating film is easily inverted to the n-type, the channel stopper region is provided at least on the main surface of the p − -type well region 22. The p-type channel stopper region 25A is p-
It has a higher impurity concentration than each of the semiconductor substrate 20 and the p − well region 22.

【0068】メモリセルアレイ11EのメモリセルMの
形成領域において、p−型ウエル領域22の主面部には
p型半導体領域25Bが設けられている。p型半導体領
域25Bは実質的にメモリセルアレイ11Eの活性領域
の全面に設けられている。p型半導体領域25Bは前記
p型チャネルストッパ領域25Aと同一製造工程で形成
されている。p型半導体領域25B及びp型チャネルス
トッパ領域25Aは、後に詳細するが、前記素子間分離
用絶縁膜23を形成した後に、p−型ウエル領域22の
メモリセルアレイ11Eの活性領域、非活性領域の夫々
の主面部に不純物を導入し、この不純物を活性化するこ
とにより形成されている。不純物としては例えばBを使
用し、この不純物は高いエネルギのイオン打込法により
導入される。p−型ウエル領域22の非活性領域の主面
部には前記素子間分離用絶縁膜23を通過させて不純物
を導入している。p−型ウエル領域22の活性領域(メ
モリセルMの形成領域)の主面部には、前記素子間分離
用絶縁膜23の膜厚に相当する分、前記主面から深い位
置に前記不純物を導入している。
In the formation region of the memory cell M of the memory cell array 11E, a p-type semiconductor region 25B is provided on the main surface of the p − -type well region 22. The p-type semiconductor region 25B is provided substantially over the entire active region of the memory cell array 11E. The p-type semiconductor region 25B is formed in the same manufacturing process as the p-type channel stopper region 25A. Although the p-type semiconductor region 25B and the p-type channel stopper region 25A will be described later in detail, after forming the element isolation insulating film 23, the active region and the inactive region of the memory cell array 11E in the p − -type well region 22 are formed. It is formed by introducing an impurity into each main surface and activating the impurity. For example, B is used as an impurity, and the impurity is introduced by a high energy ion implantation method. An impurity is introduced into the main surface portion of the non-active region of the p − -type well region 22 through the element isolation insulating film 23. The impurity is introduced into the main surface portion of the active region (the region where the memory cell M is formed) of the p − -type well region 22 by a depth corresponding to the thickness of the inter-element isolation insulating film 23 from the main surface. are doing.

【0069】このように構成される前記p型チャネルス
トッパ領域25Aは素子間分離用絶縁膜23に対して自
己整合で形成され、かつ後述するが素子間分離用絶縁膜
23を形成する熱処理の後に形成しているので、前記p
型チャネルストッパ領域25Aを形成するp型不純物の
活性領域側への拡散量を低減することができる。このp
型不純物の拡散量の低減はメモリセルMのメモリセル選
択用MISFETQsの挟チャネル効果を低減すること
ができる。また、前記p型半導体領域25Bは、メモリ
セルM下に形成され、少数キャリアに対するポテンシャ
ルバリア領域として作用するので、α線ソフトエラー耐
圧を高めることができる。また、前記p型半導体領域2
5Bは、p−型ウエル領域22の主面の不純物濃度を若
干高め、メモリセル選択用MISFETQsのしきい値
電圧を高くすることができるので、非選択状態のワード
線WL等にノイズが発生しても誤導通することがない。
また、p型半導体領域25Bは、メモリセル選択用MI
SFETQsの情報蓄積用容量素子Cの電極と接続され
る側の半導体領域(29)に形成されるpn接合容量を増
加することができるので、情報蓄積用容量素子Cの電荷
蓄積量を高めることができる。
The p-type channel stopper region 25A thus configured is formed in self-alignment with the inter-element isolation insulating film 23, and after a heat treatment for forming the inter-element isolation insulating film 23, which will be described later. Because it is formed,
The amount of diffusion of the p-type impurity forming the type channel stopper region 25A toward the active region can be reduced. This p
The reduction in the diffusion amount of the type impurity can reduce the narrow channel effect of the memory cell selecting MISFET Qs of the memory cell M. Further, since the p-type semiconductor region 25B is formed below the memory cell M and acts as a potential barrier region for minority carriers, the α-ray soft error withstand voltage can be increased. Further, the p-type semiconductor region 2
5B can slightly increase the impurity concentration on the main surface of the p − -type well region 22 and increase the threshold voltage of the memory cell selecting MISFET Qs, so that noise is generated in the unselected word lines WL and the like. No erroneous conduction occurs.
The p-type semiconductor region 25B is provided with a memory cell selecting MI.
Since the pn junction capacitance formed in the semiconductor region (29) on the side connected to the electrode of the information storage capacitor C of the SFET Qs can be increased, the charge storage amount of the information storage capacitor C can be increased. it can.

【0070】前記メモリセルMのメモリセル選択用MI
SFETQsは図1、図5及び図6(所定の製造工程に
おける要部平面図)に示すようにp−型ウエル領域22
の主面部に構成されている。実際には、メモリセル選択
用MISFETQsは、p型半導体領域25Bで周囲を
覆われた、若干不純物濃度が高く形成されたp−型ウエ
ル領域22の主面部に構成されている。メモリセル選択
用MISFETQsは素子間分離用絶縁膜23及びp型
チャネルストッパ領域25Aで規定された領域内に構成
されている。メモリセル選択用MISFETQsは主に
p−型ウエル領域22、ゲート絶縁膜26、ゲート電極
27、ソース領域及びドレイン領域である一対のn型半
導体領域29で構成されている。
The memory cell selecting MI of the memory cell M
The SFET Qs has a p-type well region 22 as shown in FIG. 1, FIG. 5 and FIG.
Of the main surface. Actually, the memory cell selection MISFET Qs is formed on the main surface of the p − -type well region 22 which is covered with the p-type semiconductor region 25B and has a slightly higher impurity concentration. The memory cell selection MISFET Qs is formed in a region defined by the element isolation insulating film 23 and the p-type channel stopper region 25A. The memory cell selection MISFET Qs mainly includes a p − -type well region 22, a gate insulating film 26, a gate electrode 27, and a pair of n-type semiconductor regions 29 which are a source region and a drain region.

【0071】前記p−型ウエル領域22はチャネル形成
領域として使用されている。ゲート絶縁膜26はp−型
ウエル領域22の主面を酸化して形成した酸化珪素膜で
形成されている。また、ゲート絶縁膜26の薄膜化に伴
い絶縁耐圧を確保する場合には、ゲート絶縁膜26は酸
化珪素膜、窒化珪素膜の夫々を順次積層した複合膜で形
成してもよい。
The p-type well region 22 is used as a channel forming region. Gate insulating film 26 is formed of a silicon oxide film formed by oxidizing the main surface of p − -type well region 22. In the case where the withstand voltage is secured as the gate insulating film 26 becomes thinner, the gate insulating film 26 may be formed of a composite film in which a silicon oxide film and a silicon nitride film are sequentially stacked.

【0072】ゲート電極27はゲート絶縁膜26の上部
に設けられている。ゲート電極27は、例えば、CVD
法で堆積した多結晶珪素膜で形成し、200〜300
[nm]程度の膜厚で形成されている。この多結晶珪素
膜は抵抗値を低減するn型不純物(P或はAs)を導入
している。また、ゲート電極27は、遷移金属(高融点
金属Mo,Ti,Ta,W)膜や遷移金属シリサイド(高融点金
属シリサイドMoSi2,TiSi2,TaSi2,WSi2)膜の単
層で構成してもよい。また、ゲート電極27は、多結晶
珪素膜上に前記遷移金属膜や遷移金属シリサイド膜を積
層した複合膜で構成してもよい。
The gate electrode 27 is provided on the gate insulating film 26. The gate electrode 27 is formed, for example, by CVD.
Formed of a polycrystalline silicon film deposited by
It is formed with a thickness of about [nm]. This polycrystalline silicon film introduces an n-type impurity (P or As) for reducing the resistance value. The gate electrode 27 is composed of a single layer of a transition metal (high melting point metal Mo, Ti, Ta, W) film or a transition metal silicide (high melting point metal silicide MoSi 2 , TiSi 2 , TaSi 2 , WSi 2 ) film. You may. Further, the gate electrode 27 may be formed of a composite film in which the transition metal film or the transition metal silicide film is stacked on a polycrystalline silicon film.

【0073】ゲート電極27は、図5及び図6に示すよ
うに、列方向に延在するワード線(WL)27と一体に構
成されている。つまり、ゲート電極27、ワード線27
の夫々は同一導電層で形成されている。ワード線27は
列方向に配置された複数のメモリセルMのメモリセル選
択用MISFETQsの夫々のゲート電極27を接続す
るように構成されている。
As shown in FIGS. 5 and 6, the gate electrode 27 is formed integrally with a word line (WL) 27 extending in the column direction. That is, the gate electrode 27, the word line 27
Are formed of the same conductive layer. The word line 27 is configured to connect the respective gate electrodes 27 of the memory cell selecting MISFETs Qs of the plurality of memory cells M arranged in the column direction.

【0074】図6に示すように、メモリセル選択用MI
SFETQsのゲート電極27のゲート長寸法はワード
線27の幅寸法に比べて長く構成されている。例えば、
ゲート電極27のゲート長寸法は0.7[μm]に対して
ワード線27の幅寸法は0.5[μm]で構成されてい
る。つまり、メモリセル選択用MISFETQsは、実
効ゲート長(実効チャネル長)寸法を確保し、短チャネル
効果を低減できるように構成されている。一方、ワード
線27は、ワード線27間隔を最小限に小さくし、メモ
リセルMの面積を縮小して集積度を向上するように構成
されている。このワード線27は、後述するが、シャン
ト用ワード線(WL)55で抵抗値を低減しているので、
幅寸法を縮小しても情報書込み動作、情報読出し動作の
夫々の動作速度を低下することがない。なお、本実施例
においてDRAM1は最小加工寸法を0.5[μm]とす
る所謂0.5[μm]製造プロセスを採用している。
As shown in FIG. 6, a memory cell selecting MI
The gate length of the gate electrode 27 of the SFET Qs is longer than the width of the word line 27. For example,
The gate electrode 27 has a gate length of 0.7 [μm] and the word line 27 has a width of 0.5 [μm]. In other words, the memory cell selection MISFET Qs is configured to secure an effective gate length (effective channel length) dimension and reduce the short channel effect. On the other hand, the word lines 27 are configured to minimize the interval between the word lines 27, reduce the area of the memory cells M, and improve the degree of integration. As will be described later, since the resistance value of the word line 27 is reduced by the shunt word line (WL) 55,
Even if the width is reduced, the operation speed of each of the information writing operation and the information reading operation does not decrease. In this embodiment, the DRAM 1 employs a so-called 0.5 [μm] manufacturing process in which the minimum processing dimension is 0.5 [μm].

【0075】n型半導体領域29は、周辺回路を構成す
るMISFETQnのn+型半導体領域(32)に比べ
て、低不純物濃度で形成されている。具体的に、n型半
導体領域29は1×1014[atoms/cm2]未満の低不純
物濃度のイオン打込法で構成されている。つまり、n型
半導体領域29は、不純物の導入に起因する結晶欠陥の
発生を低減し、しかも不純物の導入後の熱処理によって
結晶欠陥を充分に回復できるように形成されている。し
たがって、n型半導体領域29は、p−型ウエル領域2
2とのpn接合部においてリーク電流量が少ないので、
情報蓄積用容量素子Cに蓄積された情報となる電荷を安
定に保持することができる。
The n-type semiconductor region 29 is formed with a lower impurity concentration than the n + -type semiconductor region (32) of the MISFET Qn constituting the peripheral circuit. Specifically, the n-type semiconductor region 29 is formed by ion implantation with a low impurity concentration of less than 1 × 10 14 [atoms / cm 2 ]. That is, the n-type semiconductor region 29 is formed so as to reduce the occurrence of crystal defects due to the introduction of the impurity and to sufficiently recover the crystal defect by heat treatment after the introduction of the impurity. Therefore, the n-type semiconductor region 29 is
2 has a small amount of leakage current at the pn junction,
The charge serving as information stored in the information storage capacitive element C can be stably held.

【0076】前記n型半導体領域29は、ゲート電極2
7に対して自己整合で形成され、チャネル形成領域側が
低不純物濃度で構成されているので、LDD(ightly
oped rain)構造のメモリセル選択用MISFETQ
sを構成する。
The n-type semiconductor region 29 has a gate electrode 2
7 is formed in a self-aligned manner, and the channel forming region side is
Because of the low impurity concentration, LDD (Lightly
DopedDMISFETQ for memory cell selection with rain) structure
s.

【0077】また、前記メモリセル選択用MISFET
Qsの一方(相補性データ線50の接続側)のn型半導体
領域29は、後述する接続孔(40A)で規定された領域
内において、相補性データ線(50)の下層の多結晶珪素
膜(50A)に導入されたn型不純物が拡散され、若干不
純物濃度が高く構成されている。このn型半導体領域2
9に導入されるn型不純物は、n型半導体領域29、相
補性データ線(50)の夫々をオーミック接続することが
できるので、接続部分の抵抗値を低減することができ
る。また、前記n型不純物は、n型半導体領域29と前
記接続孔(40A)との間に製造工程におけるマスク合せ
ずれが生じ、前記接続孔(40A)が素子間分離用絶縁膜
23に重合し、接続孔(40A)内にp−型ウエル領域2
2の主面が露出した場合においても、相補性データ線
(50)とp−型ウエル領域22が短絡しないように、n
型半導体領域を形成するようになっている。
The MISFET for selecting a memory cell
The n-type semiconductor region 29 on one side of Qs (on the side to which the complementary data line 50 is connected) is a polycrystalline silicon film under the complementary data line (50) in a region defined by a connection hole (40A) described later. The n-type impurity introduced into (50A) is diffused, so that the impurity concentration is slightly higher. This n-type semiconductor region 2
The n-type impurity introduced into 9 can ohmic-connect each of the n-type semiconductor region 29 and the complementary data line (50), so that the resistance of the connection portion can be reduced. Further, the n-type impurity causes a mask misalignment in a manufacturing process between the n-type semiconductor region 29 and the connection hole (40A), and the connection hole (40A) is polymerized on the element isolation insulating film 23. , P-type well region 2 in connection hole (40A)
2, the complementary data line
In order not to short-circuit (50) and p-type well region 22, n
A type semiconductor region is formed.

【0078】また、メモリセル選択用MISFETQs
の他方(情報蓄積用容量素子Cの接続側)のn型半導体領
域29は、接続孔(34)で規定される領域内において、
後述する情報蓄積用容量素子Cの下層電極層(35)に導
入されたn型不純物が拡散され、若干不純物濃度が高く
形成されている。このn型半導体領域29に導入される
n型不純物は、n型半導体領域29、下層電極層(35)
の夫々をオーミック接続することができるので、接続部
分の抵抗値を低減することができる。また、前記n型不
純物は、n型半導体領域29の不純物濃度を高め、n型
半導体領域29とp−型ウエル領域22とで形成される
pn接合容量を増加することができるので、情報蓄積用
容量素子Cの電荷蓄積量を増加することができる。
The memory cell selecting MISFET Qs
The n-type semiconductor region 29 on the other side (on the connection side of the information storage capacitive element C) is located within the region defined by the connection hole (34).
The n-type impurity introduced into the lower electrode layer (35) of the information storage capacitive element C described later is diffused, and is formed with a slightly higher impurity concentration. The n-type impurity introduced into the n-type semiconductor region 29 is the n-type semiconductor region 29 and the lower electrode layer (35).
Can be ohmic-connected, so that the resistance value of the connection portion can be reduced. Further, the n-type impurity can increase the impurity concentration of the n-type semiconductor region 29 and increase the pn junction capacitance formed by the n-type semiconductor region 29 and the p − -type well region 22. The amount of charge stored in the capacitor C can be increased.

【0079】前記メモリセル選択用MISFETQsの
ゲート電極27の上層には絶縁膜28が設けられ、ゲー
ト電極27、絶縁膜28の夫々の側壁にはサイドウォー
ルスペーサ31が設けられている。絶縁膜28は主にゲ
ート電極27、その上に形成される情報蓄積用容量素子
Cの各電極(特に35)の夫々を電気的に分離するように
構成されている。サイドウォールスペーサ31は、メモ
リセルMの形成領域において、メモリセル選択用MIS
FETQsのゲート電極27に対して自己整合で、他方
のn型半導体領域29、情報蓄積用容量素子Cの下層電
極層35の夫々を接続するために形成されている。ま
た、サイドウォールスペーサ31は、周辺回路の形成領
域において、CMOSをLDD構造にするために構成さ
れている。前記絶縁膜28、サイドウォールスペーサ3
1の夫々は、その製造方法については後述するが、無機
シランガス及び酸化窒素ガスをソースガスとするCVD
法で堆積された酸化珪素膜で形成されている。この酸化
珪素膜は、有機シランガスをソースガスとするCVD法
で堆積した酸化珪素膜に比べて、下地の段差部分でのス
テップカバレッジが高く、又膜の縮みが小さい。つま
り、この方法で形成される前記絶縁膜28、サイドウォ
ールスペーサ31の夫々は膜の縮みによる両者間の剥離
を低減することができるので、前記ゲート電極27とそ
れ以外の導電層例えば下層電極層35との間の短絡を防
止することができる。
An insulating film 28 is provided on the gate electrode 27 of the memory cell selecting MISFET Qs, and a sidewall spacer 31 is provided on each side wall of the gate electrode 27 and the insulating film 28. The insulating film 28 is configured to mainly electrically isolate the gate electrode 27 and each of the electrodes (especially 35) of the information storage capacitor C formed thereon. The side wall spacer 31 is provided in the memory cell M formation region in the memory cell selection MIS.
It is formed so as to be connected to the other n-type semiconductor region 29 and the lower electrode layer 35 of the information storage capacitor C in a self-aligned manner with respect to the gate electrode 27 of the FET Qs. Further, the sidewall spacer 31 is configured to make the CMOS have the LDD structure in the formation region of the peripheral circuit. The insulating film 28, the sidewall spacer 3
In each of the methods (1) and (2), the production method will be described later, but CVD using inorganic silane gas and nitrogen oxide gas as source gases
It is formed of a silicon oxide film deposited by a method. This silicon oxide film has higher step coverage at the step portion of the base and less shrinkage of the film than a silicon oxide film deposited by a CVD method using an organic silane gas as a source gas. In other words, the insulating film 28 and the sidewall spacers 31 formed by this method can reduce the separation between the two due to the shrinkage of the film, so that the gate electrode 27 and the other conductive layer such as the lower electrode layer 35 can be prevented.

【0080】前記メモリセルMの情報蓄積用容量素子C
は、図1、図5及び第7図(所定の製造工程における要
部平面図)に示すように、主に、下層電極層35、誘電
体膜36、上層電極層37の夫々を順次積層して構成さ
れている。情報蓄積用容量素子Cは所謂スタックド構造
(積層型:STC)で構成されている。
The information storage capacitor C of the memory cell M
As shown in FIG. 1, FIG. 5, and FIG. 7 (a plan view of a main part in a predetermined manufacturing process), mainly, a lower electrode layer 35, a dielectric film 36, and an upper electrode layer 37 are sequentially laminated. It is configured. The information storage capacitive element C has a so-called stacked structure
(Stacked type: STC).

【0081】このスタックド構造の情報蓄積用容量素子
Cの下層電極層35の一部(中央部分)はメモリセル選択
用MISFETQsの他方のn型半導体領域29に接続
されている。この接続は層間絶縁膜33に形成された接
続孔33A、サイドウォールスペーサ31及び33Bで
規定された接続孔34の夫々を通して行われている。接
続孔34の行方向の開口サイズはメモリセル選択用MI
SFETQsのゲート電極27、それに隣接するワード
線27の夫々の離隔寸法及びサイドウォールスペーサ3
1、33Bの夫々の膜厚で規定されている。接続孔33
Aの開口サイズと接続孔34の開口サイズとの差は少な
くとも製造工程におけるマスク合せ余裕寸法に相当する
分より大きくなっている。下層電極層35の他部(周辺
部分)はゲート電極27、ワード線27の夫々の上部ま
で引き伸ばされている。
A part (central part) of the lower electrode layer 35 of the information storage capacitor C having the stacked structure is connected to the other n-type semiconductor region 29 of the memory cell selection MISFET Qs. This connection is made through a connection hole 33A formed in the interlayer insulating film 33 and a connection hole 34 defined by the sidewall spacers 31 and 33B. The size of the opening in the row direction of the connection hole 34 is the MI for the memory cell selection.
Separation dimensions of the gate electrode 27 of the SFET Qs and the word line 27 adjacent thereto and the side wall spacer 3
It is defined by the respective film thicknesses of 1, 33B. Connection hole 33
The difference between the opening size of A and the opening size of the connection hole 34 is larger than at least the portion corresponding to the mask alignment margin in the manufacturing process. The other part (peripheral part) of the lower electrode layer 35 is extended to the upper part of each of the gate electrode 27 and the word line 27.

【0082】前記層間絶縁膜33はその下層の絶縁膜2
8、サイドウォールスペーサ31の夫々と同様の絶縁膜
で形成されている。つまり、無機シランガス及び酸化窒
素ガスをソースガスとするCVD法で堆積した酸化珪素
膜で形成されている。
The interlayer insulating film 33 is an underlying insulating film 2
8. Each of the side wall spacers 31 is formed of the same insulating film. That is, it is formed of a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas.

【0083】前記下層電極層35は例えばCVD法で堆
積した多結晶珪素膜で形成し、この多結晶珪素膜には抵
抗値を低減するn型不純物(As或はP)が高濃度に導入
されている。下層電極層35はその表面のうち側壁の面
積を増加してスタックド構造の情報蓄積用容量素子Cの
電荷蓄積量を増加するように構成されている。下層電極
層35は、その表面が平坦化されるように、前記接続孔
34の開口サイズのゲート長方向の2分の1のサイズと
同等か又はそれ以上の膜厚で形成されている。例えば、
下層電極層35は400〜600[nm]程度の比較的厚
い膜厚で形成されている。下層電極層35の平面形状
は、図5及び第7図に示すように、相補性データ線(5
0)が延在する行方向に長い長方形状で構成されてい
る。
The lower electrode layer 35 is formed of, for example, a polycrystalline silicon film deposited by a CVD method, and an n-type impurity (As or P) for reducing the resistance value is introduced into this polycrystalline silicon film at a high concentration. ing. The lower electrode layer 35 is configured to increase the area of the side wall of the surface thereof to increase the amount of charge stored in the information storage capacitor C having the stacked structure. The lower electrode layer 35 is formed so as to have a thickness equal to or larger than half the opening size of the connection hole 34 in the gate length direction so that the surface is flattened. For example,
The lower electrode layer 35 is formed with a relatively large thickness of about 400 to 600 [nm]. The planar shape of the lower electrode layer 35 is, as shown in FIG. 5 and FIG.
0) is formed in a rectangular shape long in the row direction.

【0084】誘電体膜36は、基本的には下層電極層
(多結晶珪素膜)35の上層(表面上)にCVD法で堆積
させた窒化珪素膜36A、この窒化珪素膜36Aを高圧
で酸化した酸化珪素膜36Bを積層した2層構造で構成
されている。実際には、誘電体膜36は、下層電極層3
5である多結晶珪素膜の表面に自然酸化珪素膜(5[n
m]未満の非常に薄い膜厚なので図示しない)が形成さ
れるので、自然酸化珪素膜、窒化珪素膜36A、酸化珪
素膜36Bの夫々を順次積層した3層構造で構成されて
いる。前記誘電体膜36の窒化珪素膜36Aは、CVD
法で堆積されるので、下地の多結晶珪素膜(下層電極層
35)の結晶状態や段差形状に影響されず、下地に対し
て独立なプロセス条件で形成することができる。つま
り、窒化珪素膜36Aは、多結晶珪素膜の表面を酸化し
て形成した酸化珪素膜に比べて、絶縁耐圧が高く、単位
面積当りの欠陥数が少ないので、リーク電流が非常に少
ない。しかも、窒化珪素膜36Aは酸化珪素膜に比べて
誘電率が高い特徴がある。酸化珪素膜36Bは、非常に
良質な膜で形成することができるので、前記窒化珪素膜
36Aの前記特性をさらに向上させることができる。ま
た、後に詳述するが、酸化珪素膜36Bは、高圧酸化
(1.5〜10[toll])で形成されるので、常圧酸化に比
べて短い酸化時間つまり熱処理時間で形成することがで
きる。
The dielectric film 36 is basically a silicon nitride film 36A deposited on the upper layer (on the surface) of the lower electrode layer (polycrystalline silicon film) 35 by the CVD method, and the silicon nitride film 36A is oxidized at a high pressure. It has a two-layer structure in which the formed silicon oxide films 36B are stacked. Actually, the dielectric film 36 is formed on the lower electrode layer 3.
The natural silicon oxide film (5 [n]
m], which is a very thin film thickness (not shown), so that it has a three-layer structure in which a natural silicon oxide film, a silicon nitride film 36A, and a silicon oxide film 36B are sequentially laminated. The silicon nitride film 36A of the dielectric film 36 is formed by CVD.
Since it is deposited by the method, it can be formed under independent process conditions for the base without being affected by the crystal state or the step shape of the base polycrystalline silicon film (lower electrode layer 35). That is, the silicon nitride film 36A has a higher dielectric breakdown voltage and a smaller number of defects per unit area than the silicon oxide film formed by oxidizing the surface of the polycrystalline silicon film, so that the leakage current is very small. In addition, the silicon nitride film 36A has a feature that the dielectric constant is higher than that of the silicon oxide film. Since the silicon oxide film 36B can be formed of a very high quality film, the characteristics of the silicon nitride film 36A can be further improved. As described later in detail, the silicon oxide film 36B is formed by high-pressure oxidation.
(1.5 to 10 [toll]), it can be formed in a shorter oxidation time, that is, a heat treatment time as compared with normal pressure oxidation.

【0085】誘電体膜36は、下層電極層35の上面及
び側壁に沿って設けられており、下層電極層35の側壁
部分を利用して高さ方向に面積を稼いでいる。誘電体膜
36の面積の増加はスタックド構造の情報蓄積用容量素
子Cの電荷蓄積量を向上することができる。この誘電体
膜36の平面形状は上層電極層37の平面形状で規定さ
れ、実質的に上層電極層37と同一形状で構成されてい
る。
The dielectric film 36 is provided along the upper surface and the side wall of the lower electrode layer 35, and uses the side wall portion of the lower electrode layer 35 to increase the area in the height direction. The increase in the area of the dielectric film 36 can improve the charge storage amount of the information storage capacitor C having the stacked structure. The planar shape of the dielectric film 36 is defined by the planar shape of the upper electrode layer 37, and is substantially the same as the upper electrode layer 37.

【0086】前記上層電極層37は誘電体膜36を介在
させて下層電極層35を覆うようにその上部に設けられ
ている。上層電極層37は隣接する他のメモリセルMの
スタックド構造の情報蓄積用容量素子Cの上層電極層3
7と一体に構成されている。上層電極層37には低電源
電圧1/2Vccが印加されている。上層電極層37は例
えばCVD法で堆積した多結晶珪素膜で形成され、この
多結晶珪素膜には抵抗値を低減するn型不純物が導入さ
れている。上層電極層37は例えば前記下層電極層35
に比べて薄い膜厚で形成されている。前記上層電極層3
7の表面には絶縁膜38が設けられている。絶縁膜38
は後述するが上層電極層37を加工した際に下地表面の
段差部分に残存するエッチング残りを除去する際に形成
される。
The upper electrode layer 37 is provided above the lower electrode layer 35 with the dielectric film 36 interposed therebetween so as to cover the lower electrode layer 35. The upper electrode layer 37 is an upper electrode layer 3 of an information storage capacitor C having a stacked structure of another adjacent memory cell M.
7 is formed integrally. A low power supply voltage of 1/2 Vcc is applied to the upper electrode layer 37. The upper electrode layer 37 is formed of, for example, a polycrystalline silicon film deposited by a CVD method, and an n-type impurity for reducing a resistance value is introduced into the polycrystalline silicon film. The upper electrode layer 37 is, for example, the lower electrode layer 35.
It is formed with a thin film thickness as compared with. The upper electrode layer 3
An insulating film 38 is provided on the surface of 7. Insulating film 38
As will be described later, it is formed when removing the etching residue remaining on the step portion of the base surface when the upper electrode layer 37 is processed.

【0087】前記スタックド構造の情報蓄積用容量素子
Cの誘電体膜36は、下層電極層35以外の領域におい
て、層間絶縁膜33上に形成されている。層間絶縁膜3
3は前述のように無機シランガス及び酸化窒素ガスをソ
ースガスとするCVD法で堆積した酸化珪素膜で形成さ
れている。つまり、誘電体膜36の特に下層の窒化珪素
膜36Aはそれに対して膜の縮みが少ない層間絶縁膜3
3に接触しているので、このスタックド構造の情報蓄積
用容量素子Cは誘電体膜36のストレスに基づく破壊を
防止することができるように構成されている。
The dielectric film 36 of the information storage capacitor C having the stacked structure is formed on the interlayer insulating film 33 in a region other than the lower electrode layer 35. Interlayer insulating film 3
Reference numeral 3 denotes a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas as described above. That is, the silicon nitride film 36A, which is the lower layer of the dielectric film 36, in particular, is less likely to shrink.
3, the information storage capacitance element C having the stacked structure is configured to prevent the dielectric film 36 from being broken due to stress.

【0088】前記メモリセルMは図1、図5、図6及び
図7に示すように行方向に隣接する他の1個のメモリセ
ルMと接続されている。つまり、行方向に隣接する2個
のメモリセルMは、夫々のメモリセル選択用MISFE
TQsの一方のn型半導体領域29を一体に構成し、そ
の部分を中心に反転パターンで構成されている。この2
個のメモリセルMは列方向に複数配置され、この2個の
メモリセルMと列方向に隣接する他の2個のメモリセル
Mとは行方向に2分の1ピッチずれて配置されている。
As shown in FIGS. 1, 5, 6, and 7, the memory cell M is connected to another memory cell M adjacent in the row direction. In other words, the two memory cells M adjacent in the row direction are the respective memory cell selecting MISFEs.
One n-type semiconductor region 29 of TQs is integrally formed, and is formed in an inverted pattern centering on that portion. This 2
The plurality of memory cells M are arranged in the column direction, and the two memory cells M and the other two memory cells M adjacent in the column direction are arranged with a shift of a half pitch in the row direction. .

【0089】メモリセルMのメモリセル選択用MISF
ETQsの一方のn型半導体領域29には図1及び図5
に示すように相補性データ線(DL)50が接続されてい
る。相補性データ線50は層間絶縁膜33、40の夫々
に形成された接続孔40Aを通してn型半導体領域29
に接続されている。
MISF for selecting memory cell of memory cell M
One of the n-type semiconductor regions 29 of ETQs is shown in FIGS.
As shown in the figure, a complementary data line (DL) 50 is connected. Complementary data line 50 is connected to n-type semiconductor region 29 through connection holes 40A formed in interlayer insulating films 33 and 40, respectively.
It is connected to the.

【0090】前記層間絶縁膜40は例えば無機シランガ
ス及び酸化窒素ガスをソースガスとするCVD法で堆積
した酸化珪素膜で形成されている。前記スタックド構造
の情報蓄積用容量素子Cは下層電極層35、誘電体膜3
6、上層電極層37の夫々を順次重ね合せしかも下層電
極層35の膜厚を厚く形成しているので段差形状が大き
くなる。そこで、層間絶縁膜40はその表面を平坦化し
ている。つまり、層間絶縁膜40は前記下層電極層35
の膜厚に相当する分表面の段差形状が大きく成長するの
で、前記下層電極層35と隣接する他の下層電極層35
との間を層間絶縁膜40で埋込むことにより、層間絶縁
膜40の表面は平坦化される。隣接するメモリセルMの
スタックド構造の情報蓄積用容量素子Cの下層電極層3
5間のうち、最小間隔の領域はアスペクト比が1以上の
大きな段差形状を形成する。本実施例において、下層電
極層35間の最小間隔は約0.5[μm]である。この下
層電極層35間には誘電体膜36及び上層電極層37を
介在する。したがって、層間絶縁膜40は前記誘電体膜
36及び上層電極層37を介在させた下層電極層35間
の最小間隔の2分の1以上の膜厚で形成する。しかも、
層間絶縁膜40は絶縁耐圧を確保しかつ寄生容量を低減
できる膜厚で形成する。層間絶縁膜40は例えば250
〜350[nm]程度の膜厚で形成されている。
The interlayer insulating film 40 is formed of, for example, a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas. The information storage capacitance element C having the stacked structure includes a lower electrode layer 35 and a dielectric film 3.
6. Since the upper electrode layers 37 are successively overlapped and the lower electrode layer 35 is formed thicker, the step shape becomes larger. Therefore, the surface of the interlayer insulating film 40 is flattened. That is, the interlayer insulating film 40 is formed on the lower electrode layer 35.
The stepped shape on the surface grows as much as the film thickness of the lower electrode layer 35, so that another lower electrode layer 35 adjacent to the lower electrode layer 35 is formed.
Is filled with an interlayer insulating film 40, whereby the surface of the interlayer insulating film 40 is planarized. Lower electrode layer 3 of information storage capacitive element C having a stacked structure of adjacent memory cells M
Of the five areas, the area of the minimum interval forms a large stepped shape having an aspect ratio of 1 or more. In this embodiment, the minimum distance between the lower electrode layers 35 is about 0.5 [μm]. A dielectric film 36 and an upper electrode layer 37 are interposed between the lower electrode layers 35. Therefore, the interlayer insulating film 40 is formed to have a thickness of at least half the minimum distance between the dielectric film 36 and the lower electrode layer 35 with the upper electrode layer 37 interposed therebetween. Moreover,
The interlayer insulating film 40 is formed with a film thickness that can secure a withstand voltage and reduce parasitic capacitance. The interlayer insulating film 40 is, for example, 250
It is formed with a film thickness of about 350 [nm].

【0091】前記相補性データ線50は多結晶珪素膜5
0A、遷移金属シリサイド膜50Bの夫々を順次積層し
た2層構造の複合膜で構成されている。下層の多結晶珪
素膜50Aは、CVD法で堆積し、例えば100〜15
0[nm]程度の膜厚で形成されている。この多結晶珪素
膜50Aには抵抗値を低減するn型不純物例えばPが導
入されている。下層の多結晶珪素膜50Aは、下地段差
部分においてステップカバレッジが良好であるので、相
補性データ線50の断線不良を低減することができる。
上層の遷移金属シリサイド膜50Bは、CVD法(又は
スパッタ法)で堆積され、例えば100〜200[nm]
程度の膜厚で形成されている。上層の遷移金属シリサイ
ド膜50Bは相補性データ線50の抵抗値を低減し、情
報書込み動作、情報読出し動作の夫々の動作速度を速く
することができる。また、上層の遷移金属シリサイド膜
50Bは下地段差部分においてステップカバレッジが良
好であるので、相補性データ線50の断線不良を低減す
ることができる。この相補性データ線50の下層の多結
晶珪素膜50A、上層の遷移金属シリサイド膜50Bの
夫々は耐熱性及び耐酸化性を有している。相補性データ
線50は例えば0.6[μm]程度の配線幅で形成されて
いる。
The complementary data line 50 is connected to the polycrystalline silicon film 5
0A and a transition metal silicide film 50B. The lower polycrystalline silicon film 50A is deposited by a CVD method, for example, 100 to 15
It is formed with a thickness of about 0 [nm]. An n-type impurity such as P for reducing the resistance value is introduced into the polycrystalline silicon film 50A. Since the lower polycrystalline silicon film 50A has good step coverage in the step portion of the base, the disconnection failure of the complementary data line 50 can be reduced.
The upper transition metal silicide film 50B is deposited by a CVD method (or a sputtering method), for example, 100 to 200 [nm].
It is formed with a film thickness of about. The upper transition metal silicide film 50B can reduce the resistance value of the complementary data line 50 and increase the operation speed of each of the information writing operation and the information reading operation. In addition, since the upper transition metal silicide film 50B has good step coverage in the underlying step, the disconnection failure of the complementary data line 50 can be reduced. Each of the lower polycrystalline silicon film 50A and the upper transition metal silicide film 50B of the complementary data line 50 has heat resistance and oxidation resistance. The complementary data line 50 is formed with a wiring width of, for example, about 0.6 [μm].

【0092】このように、(請求項23−手段14)一方
のn型半導体領域29に相補性データ線50が接続され
るメモリセル選択用MISFETQsと、その上層に形
成される下層電極層35、誘電体膜36、上層電極層3
7の夫々を順次積層したスタックド構造の情報蓄積用容
量素子Cとの直列回路でメモリセルMを構成するDRA
M1において、前記スタックド構造の情報蓄積用容量素
子Cの上層電極層37の上層に、層間絶縁膜40を介在
させ、CVD法で堆積した多結晶珪素膜50A、遷移金
属シリサイド膜50Bの夫々を順次積層した複合膜で形
成された前記相補性データ線50を構成し、前記上層電
極層37と相補性データ線50との間の層間絶縁膜40
の膜厚を、前記メモリセルMのスタックド構造の情報蓄
積用容量素子Cの下層電極層35と最小間隔で隣接する
他のメモリセルMのスタックド構造の情報蓄積用容量素
子Cの下層電極層35との間の前記上層電極層37を介
在させた間隔の2分の1よりも厚く構成する。この構成
により、前記相補性データ線50の上層の遷移金属シリ
サイド膜50Bは不純物の相互拡散を生じるので、層間
絶縁膜40としてBPSG膜やPSG膜を使用してフロ
ーを施し、前記相補性データ線50の下地表面の平坦化
を促進することができないが、前記最小間隔で隣接する
下層電極層35間の間隔の寸法に基づき前記層間絶縁膜
40の膜厚を制御し、前記下層電極層35間の間隔を前
記層間絶縁膜40で埋込みこの層間絶縁膜40の表面の
平坦化を図ることができるので、前記相補性データ線5
0の加工時に前記下層電極層35間において層間絶縁膜
40の段差部分に残存するエッチング残りに起因する相
補性データ線50間の短絡を防止し、電気的信頼性を向
上することができる。
As described above, the MISFET Qs for selecting a memory cell in which the complementary data line 50 is connected to one of the n-type semiconductor regions 29 and the lower electrode layer 35 formed thereabove. Dielectric film 36, upper electrode layer 3
And a memory cell M formed of a series circuit with a stacked information storage capacitor C in which each of the elements 7 is sequentially stacked.
In M1, a polycrystalline silicon film 50A and a transition metal silicide film 50B deposited by a CVD method with an interlayer insulating film 40 interposed therebetween are sequentially formed on the upper electrode layer 37 of the information storage capacitor C having the stacked structure. The complementary data line 50 formed of the laminated composite film is formed, and an interlayer insulating film 40 between the upper electrode layer 37 and the complementary data line 50 is formed.
The thickness of the lower electrode layer 35 of the stacked information storage capacitor C of the other memory cell M adjacent to the lower electrode layer 35 of the memory cell M having the stacked structure of the memory cell M at a minimum interval. The thickness is larger than one half of the interval between the upper electrode layers 37 between them. According to this configuration, the transition metal silicide film 50B in the upper layer of the complementary data line 50 causes interdiffusion of impurities. Therefore, a flow is performed using a BPSG film or a PSG film as the interlayer insulating film 40, and the complementary data line 50 is formed. Although the flattening of the underlayer surface cannot be promoted, the thickness of the interlayer insulating film 40 is controlled on the basis of the distance between the adjacent lower electrode layers 35 at the minimum distance. Can be buried in the interlayer insulating film 40 so that the surface of the interlayer insulating film 40 can be flattened.
At the time of processing 0, a short circuit between the complementary data lines 50 due to the etching residue remaining in the step portion of the interlayer insulating film 40 between the lower electrode layers 35 can be prevented, and the electrical reliability can be improved.

【0093】前記相補性データ線50の上層には層間絶
縁膜51を介在させてカラムセレクト信号線(YSL)5
2が構成されている。
The column select signal line (YSL) 5 is provided above the complementary data line 50 with an interlayer insulating film 51 interposed therebetween.
2 are configured.

【0094】前記層間絶縁膜51は例えばCVD法で堆
積した酸化珪素膜51A、CVD法で堆積したBPSG
膜51Bの夫々を順次積層した2層構造の複合膜で構成
されている。下層の酸化珪素膜51Aは上層のBPSG
膜51Bに添加されたBやPが下層に漏れることを防止
するために設けられている。下層の酸化珪素膜51Aは
例えば無機シランガス及び酸化窒素ガスをソースガスと
するCVD法で堆積した酸化珪素膜で形成されている。
下層の酸化珪素膜51Aは例えば100〜200[nm]
程度の膜厚で形成されている。上層のBPSG膜51B
はその表面を平坦化するようにフローが施されている。
BPSG膜51Bは例えば250〜350[nm]程度の
膜厚で形成されている。
The interlayer insulating film 51 is, for example, a silicon oxide film 51A deposited by the CVD method, and a BPSG deposited by the CVD method.
It is composed of a composite film having a two-layer structure in which each of the films 51B is sequentially laminated. The lower silicon oxide film 51A is made of an upper BPSG
It is provided to prevent B and P added to the film 51B from leaking to the lower layer. The lower silicon oxide film 51A is formed of, for example, a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas.
The lower silicon oxide film 51A is, for example, 100 to 200 [nm].
It is formed with a film thickness of about. Upper BPSG film 51B
Is flowed so as to flatten its surface.
The BPSG film 51B is formed with a thickness of, for example, about 250 to 350 [nm].

【0095】前記カラムセレクト信号線52は、下地の
層間絶縁膜51の表面上に堆積させるので、例えばスパ
ッタ法で堆積した遷移金属膜で形成されている。この遷
移金属膜は例えばW膜で形成する。カラムセレクト信号
線52は例えば350〜450[nm]程度の膜厚で形成
されている。このカラムセレクト信号線52は、前記相
補性データ線50と異なる上層で形成しているので、相
補性データ線50の配線ピッチに規定されず、又相補性
データ線50とメモリセルMとの接続部分を回避する必
要がない。つまり、カラムセレクト信号線52は、相補
性データ線50の配線幅寸法に比べて広く、又実質的に
直線的に延在させることができるので、抵抗値を低減す
ることができる。カラムセレクト信号線52は例えば
2.0[μm]程度の配線幅寸法で形成されている。
Since the column select signal line 52 is deposited on the surface of the underlying interlayer insulating film 51, it is formed of, for example, a transition metal film deposited by sputtering. This transition metal film is formed of, for example, a W film. The column select signal line 52 is formed with a thickness of, for example, about 350 to 450 [nm]. Since the column select signal line 52 is formed in an upper layer different from the complementary data line 50, it is not defined by the wiring pitch of the complementary data line 50, and the connection between the complementary data line 50 and the memory cell M is not limited. There is no need to avoid parts. That is, the column select signal line 52 is wider than the wiring width of the complementary data line 50 and can extend substantially linearly, so that the resistance value can be reduced. The column select signal line 52 is formed with a wiring width dimension of, for example, about 2.0 [μm].

【0096】前記カラムセレクト信号線52の上層には
層間絶縁膜53を介在させてシャント用ワード線(WL)
55が構成されている。シャント用ワード線55は、図
示しないが、数十〜数百個のメモリセルM毎に相当する
所定領域において、前記ワード線(WL)27に接続され
ている。ワード線27はメモリセルアレイ11Eにおい
て延在方向に複数個に分割されており、シャント用ワー
ド線55は前記分割された複数個の夫々のワード線27
に接続されている。シャント用ワード線55は、ワード
線27の抵抗値を低減し、情報書込み動作、情報読出し
動作の夫々においてメモリセルMの選択速度を速くでき
るように構成されている。
A shunt word line (WL) is formed above the column select signal line 52 with an interlayer insulating film 53 interposed therebetween.
55 are configured. Although not shown, the shunt word line 55 is connected to the word line (WL) 27 in a predetermined region corresponding to every several tens to several hundreds of memory cells M. The word line 27 is divided into a plurality in the extending direction in the memory cell array 11E, and the shunt word line 55 is divided into the plurality of divided word lines 27.
It is connected to the. The shunt word line 55 is configured to reduce the resistance value of the word line 27 and increase the selection speed of the memory cell M in each of the information writing operation and the information reading operation.

【0097】前記層間絶縁膜53は、図1に示すよう
に、酸化珪素膜(堆積型絶縁膜)53A、酸化珪素膜(塗
布型絶縁膜)53B、酸化珪素膜(堆積型絶縁膜)53C
の夫々を順次積層した複合膜で形成される3層構造で構
成されている。層間絶縁膜53の下層の酸化珪素膜53
A、上層の酸化珪素膜53Cの夫々はテトラエポキシシ
ラン(TEOS:Si(OC25)4)ガスをソースガスとす
るコンフォーマルプラズマCVD(以下、C−CVD)法
で堆積されている。C−CVD法で堆積された下層の酸
化珪素膜53A、上層の酸化珪素膜53Cの夫々は低温
度(約400[℃]以下)で堆積することができ、高いス
テップカバレッジを有している。下層の酸化珪素膜53
A、上層の酸化珪素膜53Cの夫々は例えば250〜3
50[nm]程度の膜厚で形成されている。層間絶縁膜5
3の中層の酸化珪素膜53BはSOG(pin n las
s)法で塗布した後ベーク処理を施した酸化珪素膜で形成
されている。この中層の酸化珪素膜53Bは層間絶縁膜
53の表面を平坦化する目的で形成されている。中層の
酸化珪素膜53Bは、塗布した後ベーク処理を施し、さ
らに全表面にエッチング処理を施して段差部の凹部のみ
に埋込むように形成されている。特に、中層の酸化珪素
膜53Bは後述するが層間絶縁膜53に形成される接続
孔53Dの内壁の表面において残存しないようにエッチ
ング処理により除去されている。つまり、中層の酸化珪
素膜53Bはそれに含まれる水分により前記シャント用
ワード線55のアルミニウム膜又はその合金膜が腐食さ
れることを低減できるように構成されている。中層の酸
化珪素膜53Bは例えば100[nm]程度の膜厚で塗布
される。
As shown in FIG. 1, the interlayer insulating film 53 includes a silicon oxide film (deposited insulating film) 53A, a silicon oxide film (coated insulating film) 53B, and a silicon oxide film (deposited insulating film) 53C.
Are formed in a three-layer structure formed by a composite film in which each of these is sequentially laminated. The silicon oxide film 53 under the interlayer insulating film 53
A, the upper layer of the silicon oxide film 53C each are tetraethoxysilane (TEOS: Si (OC 2 H 5) 4) conformal plasma CVD to gas source gas (hereinafter, C-CVD) is deposited in method. Each of the lower silicon oxide film 53A and the upper silicon oxide film 53C deposited by the C-CVD method can be deposited at a low temperature (approximately 400 ° C. or lower) and has a high step coverage. Lower silicon oxide film 53
A, each of the upper silicon oxide films 53C is, for example, 250 to 3
It is formed with a thickness of about 50 [nm]. Interlayer insulating film 5
Silicon oxide film 53B of the third intermediate layer is SOG (S pin O n G las
It is formed of a silicon oxide film which is baked after being applied by the method s). The intermediate silicon oxide film 53B is formed for the purpose of flattening the surface of the interlayer insulating film 53. The middle silicon oxide film 53B is formed so as to be baked after being applied, and then to be subjected to etching on the entire surface so as to be buried only in the concave portion of the step portion. In particular, the intermediate silicon oxide film 53B is removed by etching so that it does not remain on the surface of the inner wall of the connection hole 53D formed in the interlayer insulating film 53, as described later. That is, the middle silicon oxide film 53B is configured to reduce corrosion of the aluminum film or the alloy film of the shunt word line 55 by moisture contained therein. The middle silicon oxide film 53B is applied with a thickness of, for example, about 100 [nm].

【0098】前記シャント用ワード線55は、遷移金属
窒化膜(又は遷移金属シリサイド膜)55A、アルミニウ
ム合金膜(又はアルミニウム膜)55Bの夫々を順次積層
して形成された複合膜で構成されている。
The shunt word line 55 is composed of a composite film formed by sequentially laminating a transition metal nitride film (or transition metal silicide film) 55A and an aluminum alloy film (or aluminum film) 55B. .

【0099】下層の遷移金属窒化膜55Aは、上層のア
ルミニウム合金膜55BにCuが添加されている場合、
バリア性を有する例えばTiN膜で形成する。また、下
層の遷移金属窒化膜55Aは、上層のアルミニウム合金
膜55BにSiが添加されている場合、例えばTiN膜
で形成する。また、この場合、遷移金属シリサイド膜例
えばMoSi2等で形成する。この下層の遷移金属窒化
膜55Aは、例えばスパッタ法で堆積され、100[n
m]程度の膜厚で形成されている。下層の遷移金属窒化
膜55AとしてTiN膜を使用する場合、後に詳細する
が、(200)の結晶の配向性を有するTiN膜を使用
する。
When the lower transition metal nitride film 55A has Cu added to the upper aluminum alloy film 55B,
It is formed of, for example, a TiN film having a barrier property. The lower transition metal nitride film 55A is formed of, for example, a TiN film when Si is added to the upper aluminum alloy film 55B. In this case, a transition metal silicide film such as MoSi 2 is used. The lower transition metal nitride film 55A is deposited by, for example, a sputtering method and has a thickness of 100 [n].
m]. When a TiN film is used as the lower transition metal nitride film 55A, a TiN film having (200) crystal orientation is used, as will be described in detail later.

【0100】上層のアルミニウム合金膜55Bはアルミ
ニウムにCu及びSiを添加している。Cuは、マイグ
レーション現象を低減するために添加され、例えば0.
5[重量%]程度添加されている。Siは、アロイスパイ
ク現象を低減するために添加され、例えば1.5[重量
%]程度添加されている。アルミニウム合金膜50B
は、例えばスパッタ法で堆積され、600〜800[n
m]程度の膜厚で形成されている。
The upper aluminum alloy film 55B is obtained by adding Cu and Si to aluminum. Cu is added to reduce the migration phenomenon.
About 5% by weight is added. Si is added to reduce the alloy spike phenomenon, for example, about 1.5 [% by weight] is added. Aluminum alloy film 50B
Is deposited by, for example, a sputtering method, and 600 to 800 [n]
m].

【0101】前記シャント用ワード線55は例えば0.
7[μm]程度の配線幅寸法で構成されている。
The shunt word line 55 is, for example,
The wiring width is about 7 [μm].

【0102】このように、本実施の形態のDRAM1の
メモリセルアレイ11Eは4層ゲート配線構造上に2層
配線構造を設けた合計6層の多層配線構造で構成されて
いる。
As described above, the memory cell array 11E of the DRAM 1 according to the present embodiment has a multilayer wiring structure of a total of six layers in which a two-layer wiring structure is provided on a four-layer gate wiring structure.

【0103】前記4層ゲート配線構造はメモリセル選択
用MISFETQsのゲート電極27(又はワード線2
7)、スタックド構造の情報蓄積用容量素子Cの下層電
極層35、上層電極層37及び相補性データ線50で構
成されている。前記2層の配線構造はカラムセレクト信
号線52及びシャント用ワード線55で構成されてい
る。
The four-layer gate wiring structure is formed by the gate electrode 27 (or the word line 2) of the memory cell selecting MISFET Qs.
7), composed of the lower electrode layer 35, the upper electrode layer 37, and the complementary data line 50 of the stacked information storage capacitor C. The two-layer wiring structure includes a column select signal line 52 and a shunt word line 55.

【0104】前記DRAM1の周辺回路を構成するCM
OSは前記図1の右側に示すように構成されている。C
MOSのnチャネルMISFETQnは、素子間分離用
絶縁膜23及びp型チャネルストッパ領域24で周囲を
囲まれた領域内において、p−型ウエル領域22の主面
部に構成されている。nチャネルMISFETQnは、
主に、p−型ウエル領域22、ゲート絶縁膜26、ゲー
ト電極27、ソース領域及びドレイン領域である一対の
n型半導体領域29及び一対のn+型半導体領域32で
構成されている。
CM constituting peripheral circuit of DRAM 1
The OS is configured as shown on the right side of FIG. C
The MOS n-channel MISFET Qn is formed on the main surface of the p − -type well region 22 in a region surrounded by the element isolation insulating film 23 and the p-type channel stopper region 24. The n-channel MISFET Qn
It is mainly composed of a p-type well region 22, a gate insulating film 26, a gate electrode 27, a pair of n-type semiconductor regions 29 serving as source and drain regions, and a pair of n + -type semiconductor regions 32.

【0105】前記nチャネルMISFETQnの周囲を
囲むp型チャネルストッパ領域24は前記メモリセルM
のメモリセル選択用MISFETQsの周囲を囲むp型
チャネルストッパ領域25Aと別の製造工程で形成され
ている。p型チャネルストッパ領域24は、前記素子間
分離用絶縁膜23を形成するマスクと同一マスクを使用
してp型不純物を導入し、このp型不純物を素子間分離
用絶縁膜23を形成する熱処理で活性化することにより
形成されている。このp型チャネルストッパ領域24
は、素子間分離用絶縁膜23と同一製造工程で形成され
るので、p型不純物の活性領域側への拡散量が若干大き
いが、nチャネルMISFETQnはメモリセル選択用
MISFETQsに比べて大きいサイズで形成されてい
るので、前記p型不純物の拡散量は相対的に小さい。し
たがって、nチャネルMISFETQnは挟チャネル効
果の影響が小さい。逆に、p型チャネルストッパ領域2
4を形成するp型不純物は、p−型ウエル領域22の非
活性領域の主面部にしか導入しないので、p−型ウエル
領域22の活性領域の主面の不純物濃度を低くすること
ができる。つまり、nチャネルMISFETQnはしき
い値電圧を低くすることができるので、基板効果を低減
し、駆動能力を高めることができる。特に、nチャネル
MISFETQnを出力段回路として使用する場合、出
力信号レベルを充分に確保することができる。
The p-type channel stopper region 24 surrounding the periphery of the n-channel MISFET Qn is
And a p-type channel stopper region 25A surrounding the periphery of the memory cell selecting MISFET Qs. The p-type channel stopper region 24 is doped with a p-type impurity using the same mask as that for forming the inter-element isolation insulating film 23, and is subjected to a heat treatment for forming the inter-element isolation insulating film 23. It is formed by activating with. This p-type channel stopper region 24
Is formed in the same manufacturing process as the element isolation insulating film 23, the diffusion amount of the p-type impurity to the active region side is slightly large, but the n-channel MISFET Qn has a larger size than the memory cell selecting MISFET Qs. Since it is formed, the diffusion amount of the p-type impurity is relatively small. Therefore, the n-channel MISFET Qn is less affected by the narrow channel effect. Conversely, the p-type channel stopper region 2
Since the p-type impurity forming P <b> 4 is introduced only into the main surface of the non-active region of the p − -type well region 22, the impurity concentration on the main surface of the active region of the p − -type well region 22 can be reduced. That is, since the threshold voltage of the n-channel MISFET Qn can be lowered, the substrate effect can be reduced and the driving capability can be increased. In particular, when the n-channel MISFET Qn is used as an output stage circuit, a sufficient output signal level can be secured.

【0106】前記p−型ウエル領域22、ゲート絶縁膜
26、ゲート電極27及びn型半導体領域29の夫々
は、前記メモリセル選択用MISFETQsと同一製造
工程で構成され、実質的に同様の機能を有している。つ
まり、nチャネルMISFETQnはLDD構造で構成
されている。
Each of the p − -type well region 22, the gate insulating film 26, the gate electrode 27 and the n-type semiconductor region 29 is formed in the same manufacturing process as the memory cell selecting MISFET Qs, and has substantially the same function. Have. That is, the n-channel MISFET Qn has an LDD structure.

【0107】高不純物濃度のn+型半導体領域32はソ
ース領域、ドレイン領域の夫々の比抵抗値を低減するよ
うに構成されている。n+型半導体領域32は、ゲート
電極26の側壁に自己整合で形成されたサイドウォール
スペーサ31に規定されて形成され、ゲート電極27に
対して自己整合で形成される。前記サイドウォールスペ
ーサ31は前記LDD構造を形成するn型半導体領域2
9のゲート長方向の長さを規定するようになっている。
サイドウォールスペーサ31は、nチャネルMISFE
TQnの形成領域において単層で形成されているので、
前記n型半導体領域29のゲート長方向の寸法を短くす
ることができる。n型半導体領域29は不純物濃度が低
いので、高い抵抗値を有しているが、n型半導体領域2
9の長さが短いので、nチャネルMISFETQnは伝
達コンダクタンスを向上できるようになっている。
The n + type semiconductor region 32 having a high impurity concentration is configured to reduce the specific resistance of each of the source region and the drain region. The n + -type semiconductor region 32 is defined by a side wall spacer 31 formed on the side wall of the gate electrode 26 by self-alignment, and is formed by self-alignment on the gate electrode 27. The sidewall spacer 31 is an n-type semiconductor region 2 forming the LDD structure.
9 is defined in the gate length direction.
The side wall spacer 31 is an n-channel MISFE
Since it is formed as a single layer in the formation region of TQn,
The size of the n-type semiconductor region 29 in the gate length direction can be reduced. Since the impurity concentration of the n-type semiconductor region 29 is low, the n-type semiconductor region 29 has a high resistance value.
9 is short, so that the n-channel MISFET Qn can improve the transmission conductance.

【0108】nチャネルMISFETQnのうち、入出
力段回路で使用されるnチャネルMISFETQnは、
単一電源電圧Vcc(5[V])で外部装置とインターフェイ
スが行われるので、電源電圧Vccで駆動される。このn
チャネルMISFETQnは、例えばゲート長を8[μ
m]程度で構成し、ドレイン領域近傍での電界強度を緩
和している。一方、内部回路例えば直接周辺回路や間接
周辺回路で使用されるnチャネルMISFETQnは低
消費電力化を図るために低電源電圧Vcc(約3.3[V])
で駆動されている。このnチャネルMISFETQnは
高集積化を図るためにゲート長を例えば0.8〜1.4
[μm]程度の範囲で構成し、ドレイン領域近傍の電界強
度は低電源電圧Vccの導入で緩和されている。この入出
力段回路、内部回路の夫々のnチャネルMISFETQ
nは、ゲート長の寸法を変化させかつ使用電源を変える
だけで、実質的に同一構造で構成されている。つまり、
入出力段回路、内部回路の夫々のnチャネルMISFE
TQnは、ゲート絶縁膜26、ゲート電極27、n型半
導体領域29及びn+型半導体領域32で構成すること
ができる。さらに、夫々のnチャネルMISFETQn
はサイドウォールスペーサ31のゲート長方向のサイズ
を実質的に同一寸法で構成することができる。
Among the n-channel MISFETs Qn, the n-channel MISFET Qn used in the input / output stage circuit is:
Since the interface with the external device is performed by the single power supply voltage Vcc (5 [V]), the external device is driven by the power supply voltage Vcc. This n
The channel MISFET Qn has a gate length of 8 μm, for example.
m] to reduce the electric field intensity near the drain region. On the other hand, an n-channel MISFET Qn used in an internal circuit such as a direct peripheral circuit or an indirect peripheral circuit has a low power supply voltage Vcc (about 3.3 [V]) in order to reduce power consumption.
It is driven by. The gate length of the n-channel MISFET Qn is, for example, 0.8 to 1.4 in order to achieve high integration.
[μm], and the electric field strength near the drain region is reduced by introducing the low power supply voltage Vcc. The respective n-channel MISFETs Q of the input / output stage circuit and the internal circuit
n has substantially the same structure except that the size of the gate length is changed and the power supply used is changed. That is,
N-channel MISFE for input / output stage circuit and internal circuit
TQn can be composed of the gate insulating film 26, the gate electrode 27, the n-type semiconductor region 29, and the n + -type semiconductor region 32. Further, each n-channel MISFET Qn
The size of the sidewall spacer 31 in the gate length direction can be substantially the same.

【0109】このように、(11−6)入出力段回路とし
て使用されるLDD構造のnチャネルMISFETQ
n、内部回路として使用されるLDD構造のnチャネル
MISFETQnの夫々を有するDRAM1において、
前記入出力段回路のnチャネルMISFETQsの使用
電圧を前記内部回路のnチャネルMISFETQnの使
用電圧に比べて高く構成し、前記入出力段回路のnチャ
ネルMISFETQnのゲート長寸法を前記内部回路の
nチャネルMISFETQnのゲート長寸法に比べて長
く構成し、前記入出力段回路、内部回路の夫々のnチャ
ネルMISFETのLDD構造を形成する低不純物濃度
のn型半導体領域29のゲート長方向の寸法を実質的に
同一寸法で構成する。この構成により、前記入出力段回
路のnチャネルMISFETQnは、ゲート長寸法を長
くしてホットキャリア耐圧を向上したので、経時的なし
きい値電圧の劣下を低減し、電気的特性を向上すること
ができると共に、前記内部回路のnチャネルMISFE
TQnは、低電源電圧Vccを使用してホットキャリア耐
圧を確保しながら低電源電圧Vccの使用により低消費電
力化を図ることができ、しかも、前記入出力段回路のn
チャネルMISFETQnはゲート長寸法を長くし、内
部回路のnチャネルMISFETQnは低電源電圧Vcc
の使用により夫々ホットキャリア耐圧を向上しているの
で、前記LDD構造を形成する低不純物濃度のn型半導
体領域29のゲート長方向の長さを独立に制御すること
ができ、前記入出力段回路、内部回路の夫々のnチャネ
ルMISFETQnの夫々の低不純物濃度のn型半導体
領域29のゲート長方向の長さ(又はサイドウォールス
ペーサ31のゲート長方向の長さ)を実質的に同一にす
ることができる。つまり、DRAM1は、低消費電力化
を図ると共にホットキャリア耐圧を向上することがで
き、しかも後述するがnチャネルMISFETQnを形
成するための製造工程数を低減することができる。
As described above, (11-6) the n-channel MISFET Q having the LDD structure used as the input / output stage circuit.
n, in the DRAM 1 having each of the n-channel MISFETs Qn having the LDD structure used as an internal circuit,
The operating voltage of the n-channel MISFET Qs of the input / output stage circuit is configured to be higher than the operating voltage of the n-channel MISFET Qn of the internal circuit, and the gate length of the n-channel MISFET Qn of the input / output stage circuit is set to the n channel of the internal circuit. The gate length of the low impurity concentration n-type semiconductor region 29 forming the LDD structure of each of the n-channel MISFETs of the input / output stage circuit and the internal circuit is substantially longer than the gate length of the MISFET Qn. And have the same dimensions. With this configuration, the n-channel MISFET Qn of the input / output stage circuit has a longer gate length and improved hot carrier withstand voltage, so that deterioration of the threshold voltage with time can be reduced and electrical characteristics can be improved. And an n-channel MISFE of the internal circuit.
TQn can reduce power consumption by using the low power supply voltage Vcc while securing the hot carrier breakdown voltage by using the low power supply voltage Vcc.
The channel MISFET Qn has a longer gate length, and the n-channel MISFET Qn of the internal circuit has a lower power supply voltage Vcc.
Since the hot carrier withstand voltage is improved by the use of the semiconductor device, the length of the low impurity concentration n-type semiconductor region 29 forming the LDD structure in the gate length direction can be independently controlled. The length in the gate length direction (or the length in the gate length direction of the sidewall spacer 31) of each n-type semiconductor region 29 having a low impurity concentration in each n-channel MISFET Qn of the internal circuit is made substantially the same. Can be. That is, the DRAM 1 can reduce the power consumption and improve the hot carrier breakdown voltage, and can reduce the number of manufacturing steps for forming the n-channel MISFET Qn, which will be described later.

【0110】前記nチャネルMISFETQnのn+型
半導体領域32には層間絶縁膜40及び層間絶縁膜51
に形成された接続孔51Cを通して配線52が接続され
ている。配線52は前記カラムセレクト信号線52と同
一導電層である2層配線構造の下層の配線層で形成され
ている。
In the n + type semiconductor region 32 of the n-channel MISFET Qn, an interlayer insulating film 40 and an interlayer insulating film 51 are provided.
The wiring 52 is connected through a connection hole 51C formed in the wiring. The wiring 52 is formed of a lower wiring layer having a two-layer wiring structure that is the same conductive layer as the column select signal line 52.

【0111】CMOSのpチャネルMISFETQp
は、素子間分離用絶縁膜23で周囲を囲まれた領域内に
おいて、n−型ウエル領域21の主面部に構成されてい
る。pチャネルMISFETQpは、主に、n−型ウエ
ル領域21、ゲート絶縁膜26、ゲート電極27、ソー
ス領域及びドレイン領域である一対のp型半導体領域3
0及び一対のp+型半導体領域39で構成されている。
CMOS p-channel MISFET Qp
Are formed on the main surface of the n − -type well region 21 in a region surrounded by the element isolation insulating film 23. The p-channel MISFET Qp mainly includes an n − -type well region 21, a gate insulating film 26, a gate electrode 27, and a pair of p-type semiconductor regions 3 serving as a source region and a drain region.
0 and a pair of p + -type semiconductor regions 39.

【0112】n−型ウエル領域21、ゲート絶縁膜26
及びゲート電極27の夫々は、前記メモリセル選択用M
ISFETQs、nチャネルMISFETQnの夫々と
実質的に同様の機能を有している。
N-type well region 21 and gate insulating film 26
And the gate electrode 27 are connected to the memory cell selecting M
It has substantially the same function as each of the ISFET Qs and the n-channel MISFET Qn.

【0113】低不純物濃度のp型半導体領域30はLD
D構造のpチャネルMISFETQpを構成する。高不
純物濃度のp+型半導体領域39はゲート電極27の側
壁にそれに対して自己整合で形成されたサイドウォール
スペーサ31及び33Cに対して自己整合で形成されて
いる。つまり、pチャネルMISFETQpの高不純物
濃度のp+型半導体領域39は、サイドウォールスペー
サ31の側壁にサイドウォールスペーサ33Cを積層し
た2層構造のもので形成されている。このサイドウォー
ルスペーサ31及び33Cは、nチャネルMISFET
Qnのサイドウォールスペーサ31に比べて、サイドウ
ォールスペーサ33Cに相当する分、ゲート長方向の寸
法が長く構成されている。つまり、サイドウォールスペ
ーサ31及び33Cは、そのゲート長方向の寸法を長く
し、前記p+型半導体領域39のp型不純物のチャネル
形成領域側への拡散量を低減することができるので、実
効チャネル長を確保し、pチャネルMISFETQpの
短チャネル効果を低減できるように構成されている。n
型不純物に比べてp型不純物は拡散係数が大きいので、
pチャネルMISFETQpは前述の構造で構成されて
いる。
The low impurity concentration p-type semiconductor region 30 is
A D-channel p-channel MISFET Qp is formed. The p + type semiconductor region 39 having a high impurity concentration is formed on the side wall of the gate electrode 27 by self-alignment with the side wall spacers 31 and 33C formed thereon. In other words, the p + -type semiconductor region 39 having a high impurity concentration of the p-channel MISFET Qp has a two-layer structure in which the sidewall spacer 33C is stacked on the sidewall of the sidewall spacer 31. These sidewall spacers 31 and 33C are n-channel MISFETs.
Compared with the sidewall spacer 31 of Qn, the dimension in the gate length direction is configured to be longer by an amount corresponding to the sidewall spacer 33C. In other words, the side wall spacers 31 and 33C can increase the dimension in the gate length direction and reduce the amount of diffusion of the p-type impurity in the p + -type semiconductor region 39 into the channel formation region, so that the effective channel length is reduced. And the short-channel effect of the p-channel MISFET Qp can be reduced. n
Since p-type impurities have a larger diffusion coefficient than p-type impurities,
The p-channel MISFET Qp has the above-described structure.

【0114】このように、(15−8)LDD構造のnチ
ャネルMISFETQn、LDD構造のpチャネルMI
SFETQpの夫々を有するDRAM1において、前記
pチャネルMISFETQpのゲート電極27の側壁に
それに対して自己整合で形成されるサイドウォールスペ
ーサ31及び33Cのゲート長方向の寸法を、前記nチ
ャネルMISFETQnのゲート電極27の側壁にそれ
に対して自己整合で形成されるサイドウォールスペーサ
31のゲート長方向の寸法に比べて長く構成する。この
構成により、前記nチャネルMISFETQnのサイド
ウォールスペーサ31のゲート長方向の寸法を短くし、
LDD構造を形成する低不純物濃度のn型半導体領域2
9のゲート長方向の長さを短くすることができるので、
nチャネルMISFETQnの伝達コンダクタンスを向
上し、動作速度の高速化を図ることができると共に、前
記pチャネルMISFETQpのサイドウォールスペー
サ31及び33Cのゲート長方向の寸法を長くし、高不
純物濃度のp+型半導体領域39のチャネル形成領域側
への回り込みを低減することができるので、pチャネル
MISFETQpの短チャネル効果を低減し、高集積化
を図ることができる。
As described above, the (15-8) n-channel MISFET Qn having the LDD structure and the p-channel MI
In the DRAM 1 having each of the SFETs Qp, the dimension in the gate length direction of the sidewall spacers 31 and 33C formed on the side wall of the gate electrode 27 of the p-channel MISFET Qp in a self-alignment manner is determined by the gate electrode 27 of the n-channel MISFET Qn. The side wall spacer 31 formed on the side wall is self-aligned with respect to the side wall, and is longer than the dimension in the gate length direction. With this configuration, the dimension of the sidewall spacer 31 of the n-channel MISFET Qn in the gate length direction is reduced,
Low impurity concentration n-type semiconductor region 2 forming LDD structure
9 can be shortened in the gate length direction.
The transfer conductance of the n-channel MISFET Qn can be improved, the operating speed can be increased, and the size of the sidewall spacers 31 and 33C of the p-channel MISFET Qp in the gate length direction can be increased to increase the impurity concentration of the p + type semiconductor. Since the wraparound of the region 39 toward the channel formation region can be reduced, the short-channel effect of the p-channel MISFET Qp can be reduced, and high integration can be achieved.

【0115】前記pチャネルMISFETQpのp+型
半導体領域39には前記接続孔51Cを通して配線52
が接続されている。
In the p + type semiconductor region 39 of the p-channel MISFET Qp, a wiring 52 is formed through the connection hole 51C.
Is connected.

【0116】前記図1の右側に示すように、配線52は
層間絶縁膜53に形成された接続孔53D内に埋込まれ
た遷移金属膜54を介在させて上層の配線55に接続さ
れている。前記層間絶縁膜53上に延在する配線55は
前記シャント用ワード線55と同一導電層である2層配
線構造の上層の配線層で形成されている。前記接続孔5
3D内に埋込まれた遷移金属膜54は例えば選択CVD
法で前記接続孔53D内から露出する配線52の表面上
に選択的に堆積したW膜で形成されている。前記遷移金
属膜54は配線55の接続孔53Dで形成される段差形
状においてステップカバレッジを向上するために形成さ
れている。
As shown on the right side of FIG. 1, the wiring 52 is connected to an upper wiring 55 via a transition metal film 54 embedded in a connection hole 53D formed in an interlayer insulating film 53. . The wiring 55 extending on the interlayer insulating film 53 is formed of an upper wiring layer of a two-layer wiring structure which is the same conductive layer as the shunt word line 55. Connection hole 5
The transition metal film 54 embedded in the 3D is formed, for example, by selective CVD.
It is formed of a W film selectively deposited on the surface of the wiring 52 exposed from the inside of the connection hole 53D by the method. The transition metal film 54 is formed in order to improve the step coverage in the step formed by the connection hole 53D of the wiring 55.

【0117】前記配線55(シャント用ワード線55も
含む)は前述のように遷移金属窒化膜55A、アルミニ
ウム合金膜55Bの夫々を順次積層した複合膜で形成さ
れている。配線55は主に上層のアルミニウム合金膜5
5Bにより信号伝達速度が律則されている。配線55の
下層の遷移金属窒化膜(遷移金属シリサイド膜)55A
は、上層のアルミニウム合金膜55BにSiが添加され
ている場合、配線55と接続孔53D内に埋込まれた遷
移金属膜54との接続部分を含む、上層のアルミニウム
合金膜55Bと層間絶縁膜53との間の全域に設けられ
ている。つまり、配線55は、上層のアルミニウム合金
膜55Bの下地の材質を前記接続孔53D部分、層間絶
縁膜53部分の夫々において均一化している。また、配
線55の下層の遷移金属膜55Aはマイグレーション耐
圧が上層のアルミニウム合金膜55Bに比べて高い。つ
まり、上層のアルミニウム合金膜55Bがマイグレーシ
ョン現象で断線した場合においても、下層の遷移金属膜
55Aで信号を伝達することができるので、配線55の
断線不良を低減することができる。
The wiring 55 (including the shunt word line 55) is formed of a composite film in which the transition metal nitride film 55A and the aluminum alloy film 55B are sequentially laminated as described above. The wiring 55 is mainly composed of the upper aluminum alloy film 5
5B regulates the signal transmission speed. Transition metal nitride film (transition metal silicide film) 55A below wiring 55
In the case where Si is added to the upper aluminum alloy film 55B, the upper aluminum alloy film 55B and the interlayer insulating film include a connection portion between the wiring 55 and the transition metal film 54 embedded in the connection hole 53D. 53 is provided in the entire area. That is, in the wiring 55, the material of the base of the upper aluminum alloy film 55B is made uniform in each of the connection hole 53D and the interlayer insulating film 53. Further, the transition metal film 55A in the lower layer of the wiring 55 has a higher migration breakdown voltage than the aluminum alloy film 55B in the upper layer. That is, even when the upper aluminum alloy film 55B is disconnected due to the migration phenomenon, a signal can be transmitted by the lower transition metal film 55A, so that the disconnection failure of the wiring 55 can be reduced.

【0118】このように、(29−16)下地の層間絶縁
膜53に形成された接続孔53D内に選択CVD法で埋
込まれた遷移金属膜54、前記層間絶縁膜53上に延在
するSiが添加されたアルミニウム合金膜55Bの夫々
を接続するDRAM1において、前記接続孔53内に埋
込まれた遷移金属膜54とアルミニウム合金膜55Bと
の間を含む前記アルミニウム合金膜55Bと下地の層間
絶縁膜53との間に遷移金属窒化膜(又は遷移金属シリ
サイド膜)55Aを設ける。この構成により、前記アル
ミニウム合金膜55Bの下地を前記接続孔53D内に埋
込まれた遷移金属膜54上、層間絶縁膜53上の夫々に
おいて均一化し、前記アルミニウム合金膜55Bに添加
されたSiが前記接続孔53D内に埋込まれた遷移金属
膜54とアルミニウム合金膜55Bとの界面に析出され
ることを低減することができるので、前記界面の抵抗値
を低減することができる。また、前記アルミニウム合金
膜55Bの下層に設けられた遷移金属窒化膜55Aは、
前記アルミニウム合金膜55Bが例えばマイグレーショ
ン現象により断線されてもこの断線部を介在させてアル
ミニウム合金膜55B間を接続することができるので、
配線55の断線不良を低減することができる。
As described above, (29-16) the transition metal film 54 buried by the selective CVD method in the connection hole 53D formed in the underlying interlayer insulating film 53, and extends on the interlayer insulating film 53. In the DRAM 1 for connecting each of the aluminum alloy films 55B to which Si is added, the aluminum alloy film 55B including the space between the transition metal film 54 and the aluminum alloy film 55B embedded in the connection hole 53 and the underlying interlayer. A transition metal nitride film (or transition metal silicide film) 55A is provided between the insulating film 53 and the insulating film 53. With this configuration, the base of the aluminum alloy film 55B is made uniform on the transition metal film 54 embedded in the connection hole 53D and on the interlayer insulating film 53, and Si added to the aluminum alloy film 55B is removed. Since precipitation at the interface between the transition metal film 54 and the aluminum alloy film 55B embedded in the connection hole 53D can be reduced, the resistance value at the interface can be reduced. The transition metal nitride film 55A provided below the aluminum alloy film 55B is
Even if the aluminum alloy film 55B is disconnected due to, for example, a migration phenomenon, the aluminum alloy film 55B can be connected with the broken portion interposed therebetween.
Disconnection failure of the wiring 55 can be reduced.

【0119】前記配線55(シャント用ワード線55も
含む)は、上層のアルミニウム合金膜55BにCuが添
加されている場合、少なくともアルミニウム合金膜55
Bと接続孔53D内に埋込まれた遷移金属膜54との接
続部分(界面部分)に遷移金属窒化膜55Aが設けられて
いる。この遷移金属窒化膜55Aは前述のようにバリア
性を有している。つまり、配線55は上層のアルミニウ
ム合金膜55Bのアルミニウムと接続孔53D内に埋込
まれた遷移金属膜54のWとの相互拡散による合金化反
応を防止するように構成されている。
When the Cu is added to the upper aluminum alloy film 55B, the wiring 55 (including the shunt word line 55) is formed of at least the aluminum alloy film 55B.
A transition metal nitride film 55A is provided at a connection portion (interface portion) between B and the transition metal film 54 embedded in the connection hole 53D. This transition metal nitride film 55A has a barrier property as described above. That is, the wiring 55 is configured to prevent an alloying reaction due to mutual diffusion between aluminum of the upper aluminum alloy film 55B and W of the transition metal film 54 embedded in the connection hole 53D.

【0120】このように、(31−17)下地の層間絶縁
膜53に形成された接続孔53D内に選択CVD法で埋
込まれた遷移金属膜54、前記層間絶縁膜53上に延在
するCuが添加されたアルミニウム合金膜55Bの夫々
を接続するDRAM1において、前記接続孔53D内に
埋込まれた遷移金属膜54とアルミニウム合金膜55B
との間にバリア性を有する遷移金属窒化膜55Aを設け
る。この構成により、前記接続孔53D内に埋込まれた
遷移金属膜54とアルミニウム合金膜55Bとの界面に
おいて、遷移金属とアルミニウムとの相互拡散よる合金
化反応を防止し、前記界面の抵抗値を低減することがで
きる。
As described above, (31-17) the transition metal film 54 buried by the selective CVD method in the connection hole 53D formed in the underlying interlayer insulating film 53, and extends over the interlayer insulating film 53. In the DRAM 1 connecting each of the Cu-added aluminum alloy films 55B, the transition metal film 54 and the aluminum alloy film 55B embedded in the connection holes 53D are used.
A transition metal nitride film 55A having a barrier property is provided between the first and second layers. With this configuration, at the interface between the transition metal film 54 and the aluminum alloy film 55B buried in the connection hole 53D, an alloying reaction due to mutual diffusion between the transition metal and aluminum is prevented, and the resistance value of the interface is reduced. Can be reduced.

【0121】前記配線55の下層の遷移金属窒化膜55
Aは前述のように結晶の配向性が(200)のものを積
極的に使用する。図8にスパッタ時のターゲット電圧
[KW]と比抵抗値[μΩ-cm]との関係を示す。データ
(A)、(B)の夫々は半導体ウエーハの表面にスパッタ法
で堆積されたTiN膜の半導体ウエーハの中心からの距
離を示している。データ(A)は半導体ウエーハの中心か
らの距離が0[μm]つまり半導体ウエーハの中心のTi
N膜の特性を表している。データ(B)は半導体ウエーハ
の中心からの距離が50[μm]の位置のTiN膜の特性
を表している。
The transition metal nitride film 55 under the wiring 55
As A, those having a crystal orientation of (200) as described above are positively used. Fig. 8 shows the target voltage during sputtering.
The relationship between [KW] and the specific resistance [μΩ-cm] is shown. data
(A) and (B) show the distance from the center of the semiconductor wafer of the TiN film deposited on the surface of the semiconductor wafer by the sputtering method. Data (A) indicates that the distance from the center of the semiconductor wafer is 0 [μm], that is, Ti at the center of the semiconductor wafer.
This shows the characteristics of the N film. Data (B) shows the characteristics of the TiN film at a position at a distance of 50 [μm] from the center of the semiconductor wafer.

【0122】同図8に示すように、データ(B)つまり半
導体ウエーハの中心からの距離が遠い程、TiN膜は比
抵抗値が低くなっている。この図8に示す比抵抗値が高
い領域C以上例えば約460[μΩ-cm]以上の領域に
おいて、TiN膜にX線回折スペクトルを行った結果を
図9(X線の入射角度とX線回折強度との関係を示す
図)に示す。また、比抵抗値が低い領域D以下例えば約
400[μΩ-cm]以下の領域において、TiN膜にX
線回折スペクトルを行った結果を図10(X線の入射角
度とX線回折強度との関係を示す図)に示す。前記図9
に示すように、比抵抗値が高い領域において、TiN膜
は(111)の結晶の配向、(200)の結晶の配向の
夫々が混り合っている。これに対して、図10に示すよ
うに、TiN膜は(200)の単独の結晶の配向を有し
ている。つまり、(200)の結晶の配向を有するTi
N膜は、(111)の単独や(111)及び(200)
の混在した結晶の配向を有するTiN膜に比べて、図8
に示すように比抵抗値が低いので膜密度が高い物理的性
質がある。したがって、この(200)の結晶の配向を
有するTiN膜は耐熱性(バリア性)に優れ、又Siの
析出を低減できる特徴がある。
As shown in FIG. 8, the data (B), that is, the farther the distance from the center of the semiconductor wafer, the lower the specific resistance value of the TiN film. The X-ray diffraction spectrum of the TiN film in the region C having a high specific resistance value shown in FIG. 8 or more, for example, in a region of about 460 [μΩ-cm] or more is shown in FIG. (A diagram showing the relationship with the strength). In a region D having a low specific resistance value or less, for example, in a region of about 400 [μΩ-cm] or less, X is applied to the TiN film.
The result of the X-ray diffraction spectrum is shown in FIG. 10 (a diagram showing the relationship between the X-ray incident angle and the X-ray diffraction intensity). FIG. 9
As shown in the figure, in the region where the specific resistance value is high, the orientation of the crystal of (111) and the orientation of the crystal of (200) are mixed in the TiN film. On the other hand, as shown in FIG. 10, the TiN film has a single crystal orientation of (200). That is, Ti having a (200) crystal orientation
The N film is composed of (111) alone or (111) and (200)
8 compared to a TiN film having a crystal orientation mixed with
As shown in (1), since the specific resistance is low, there is a physical property that the film density is high. Therefore, the TiN film having the crystal orientation of (200) is excellent in heat resistance (barrier property) and has a characteristic that Si deposition can be reduced.

【0123】このように、(33−18)前記配線55の
下層の遷移金属窒化膜55A特に少なくとも前記接続孔
53D内に埋込まれた遷移金属膜54と上層のアルミニ
ウム合金膜55Bとの間の遷移金属窒化膜55Aを結晶
の配向が(200)のTiN膜で構成する。この構成に
より、前記(200)の結晶の配向を有するTiN膜
は、(111)の結晶の配向を有するTiN膜や(11
1)と(200)との混合の結晶の配向を有するTiN
膜に比べてSiの析出量を低減することができるので、
前記界面(54−55B界面)の抵抗値をより低減する
ことができ、又前記他の結晶の配向を有するTiN膜に
比べて比抵抗値が小さいので、より前記界面での抵抗値
を低減することができ、又膜密度が高いので、よりバリ
ア性を向上することができる。
Thus, (33-18) the transition metal nitride film 55A in the lower layer of the wiring 55, in particular, the transition metal film 54 buried at least in the connection hole 53D and the upper aluminum alloy film 55B The transition metal nitride film 55A is composed of a TiN film having a crystal orientation of (200). With this configuration, the TiN film having the (200) crystal orientation can be used as the TiN film having the (111) crystal orientation or (11).
TiN having a mixed crystal orientation of (1) and (200)
Since the amount of Si deposited can be reduced compared to the film,
The resistance value at the interface (54-55B interface) can be further reduced, and since the specific resistance value is smaller than that of the TiN film having the other crystal orientation, the resistance value at the interface is further reduced. And the film density is high, so that the barrier properties can be further improved.

【0124】前記図1及び図15(前記図1に示す断面
構造と異なる位置の断面構造を示す要部断面図)に示す
ように、DRAM1の周辺回路の領域において、2層配
線構造のうちの下層の配線52は、高集積化で配線幅寸
法が縮小され、アルミニウム膜やアルミニウム合金膜で
はマイグレーション耐圧を確保できないので、前述のよ
うに遷移金属膜を使用している。周辺回路として特に直
接周辺回路は、メモリセルアレイ11EのメモリセルM
の配列ピッチに対応させてnチャネルMISFETQ
n、pチャネルMISFETQpの夫々を配置している
ので、配線52のレイアウトルールを厳しくしている。
As shown in FIG. 1 and FIG. 15 (a cross-sectional view of a principal part showing a cross-sectional structure at a position different from the cross-sectional structure shown in FIG. 1), in the peripheral circuit region of the DRAM 1, For the lower wiring 52, the transition metal film is used as described above because the wiring width dimension is reduced due to high integration and the migration withstand voltage cannot be ensured with an aluminum film or an aluminum alloy film. In particular, the direct peripheral circuit as the peripheral circuit is the memory cell M of the memory cell array 11E.
MISFET Q corresponding to the arrangement pitch of
Since each of the n-channel and p-channel MISFETs Qp is arranged, the layout rule of the wiring 52 is strict.

【0125】また、周辺回路の領域において、nチャネ
ルMISFETQnのn+型半導体領域32、pチャネ
ルMISFETQpのp+型半導体領域39の夫々を接
続する場合、遷移金属シリサイド膜又はその積層膜(例
えば相補性データ線50と同一導電層)で配線を形成し
た場合には不純物の相互拡散を生じる。したがって、配
線52は、前記メモリセルアレイ11Eで使用される相
補性データ線50と同一導電層を使用せずに、前記不純
物の相互拡散が生じない前述の遷移金属膜を使用してい
る。
In the case where the n + -type semiconductor region 32 of the n-channel MISFET Qn and the p + -type semiconductor region 39 of the p-channel MISFET Qp are connected in the region of the peripheral circuit, a transition metal silicide film or a laminated film thereof (for example, When a wiring is formed of the same conductive layer as the line 50), mutual diffusion of impurities occurs. Therefore, the wiring 52 does not use the same conductive layer as the complementary data line 50 used in the memory cell array 11E, and uses the above-described transition metal film that does not cause mutual diffusion of the impurities.

【0126】このように、(26−15)メモリセルアレ
イ11E上に相補性データ線、シャント用ワード線、カ
ラムセレクト信号線の夫々を有し、前記メモリセルアレ
イ11Eの周辺回路の領域に2層の配線層を有するDR
AM1において、前記メモリセルアレイ11E上の相補
性データ線50を、CVD法で堆積した多結晶珪素膜5
0A、遷移金属シリサイド膜50Bの夫々を順次積層し
た複合膜で構成し、前記カラムセレクト信号線52を、
前記相補性データ線50の上層に、スパッタ法で堆積し
た遷移金属膜で構成し、前記シャント用ワード線55
を、前記カラムセレクト信号線52の上層に、スパッタ
法で堆積したアルミニウム合金膜55B(遷移金属窒化
膜55Aも含む)で構成し、このシャント用ワード線5
5と同一導電層(55)、その下層のカラムセレクト信号
線52と同一導電層(52)の夫々を、両者間の層間絶縁
膜53に形成された接続孔53D内に、選択CVD法で
埋込まれた遷移金属膜54を介在させて接続し、前記周
辺回路の領域の2層の配線層のうち、下層の配線52は
前記カラムセレクト信号線52と同一導電層で構成さ
れ、前記2層の配線層のうち、上層の配線55は前記シ
ャント用ワード線55と同一導電層で構成され、前記2
層の配線層の下層の配線52、上層の配線55の夫々は
前記選択CVD法で接続孔53D内に埋込まれた遷移金
属膜54を介在させて接続する。この構成により以下の
効果を奏することができる。
As described above, (26-15) the complementary data line, the shunt word line, and the column select signal line are provided on the memory cell array 11E, and two layers are provided in the peripheral circuit area of the memory cell array 11E. DR with wiring layer
In AM1, the complementary data line 50 on the memory cell array 11E is connected to the polycrystalline silicon film 5 deposited by the CVD method.
0A and a transition metal silicide film 50B are sequentially laminated, and the column select signal line 52 is
The shunt word line 55 is formed of a transition metal film deposited by a sputtering method on the complementary data line 50.
Is formed by an aluminum alloy film 55B (including a transition metal nitride film 55A) deposited by a sputtering method on the column select signal line 52, and the shunt word line 5
5 and the lower layer column select signal line 52 and the same conductive layer (52) are buried by a selective CVD method in connection holes 53D formed in an interlayer insulating film 53 between them. The lower layer wiring 52 of the two wiring layers in the peripheral circuit region is formed of the same conductive layer as the column select signal line 52. Of the wiring layers, the upper wiring 55 is formed of the same conductive layer as the shunt word line 55,
Each of the lower layer wiring 52 and the upper layer wiring 55 of the layer wiring layer is connected via the transition metal film 54 embedded in the connection hole 53D by the selective CVD method. With this configuration, the following effects can be obtained.

【0127】(1)前記メモリセルアレイ11E上の相
補性データ線50は、耐熱処理性及び耐酸化性に優れ、
かつ下層のCVD法で堆積した多結晶珪素膜50Aのス
テップカバレッジが高いので断線不良を低減することが
できる。また、前記相補性データ線50は、上層の遷移
金属シリサイド膜50BをCVD法で堆積しているの
で、よりステップカバレッジを向上し、断線不良を低減
することができる。
(1) The complementary data lines 50 on the memory cell array 11E have excellent heat resistance and oxidation resistance.
In addition, since the step coverage of the polycrystalline silicon film 50A deposited by the lower CVD method is high, disconnection failure can be reduced. In addition, since the complementary data line 50 is formed by depositing the upper transition metal silicide film 50B by the CVD method, the step coverage can be further improved and the disconnection failure can be reduced.

【0128】(2)前記カラムセレクト信号線52は、
前記相補性データ線50の上層に形成し、相補性データ
線50とメモリセルMとの接続部(接続孔40A)を回避
することなく略直線状に延在させることができるので、
信号伝達速度を速めて情報書込み動作及び情報読出し動
作の夫々の速度を速くすることができると共に、前記相
補性データ線50と別層で形成したので、下層の相補性
データ線50の配線間隔を縮小して集積度を向上するこ
とができる。
(2) The column select signal line 52 is
Since it is formed in the upper layer of the complementary data line 50 and can be extended substantially linearly without avoiding the connection portion (connection hole 40A) between the complementary data line 50 and the memory cell M,
The signal transmission speed can be increased to increase the respective speeds of the information writing operation and the information reading operation. In addition, since the complementary data lines 50 are formed in a different layer from the complementary data lines 50, the wiring intervals of the complementary data lines 50 in the lower layer can be reduced. The degree of integration can be improved by reducing the size.

【0129】(3)前記シャント用ワード線55は、下
層の相補性データ線50やカラムセレクト信号線52に
比べて抵抗値が低いので、シャント用ワード線55の抵
抗値を低減し、情報書込み動作及び情報読出し動作の夫
々の速度を速くすることができる。
(3) Since the shunt word line 55 has a lower resistance than the complementary data line 50 and the column select signal line 52 in the lower layer, the resistance of the shunt word line 55 is reduced to write information. The respective speeds of the operation and the information reading operation can be increased.

【0130】(4)前記カラムセレクト信号線52と同
一導電層52、シャント用ワード線55と同一導電層
(55)の夫々を接続する遷移金属膜54は、上層のシャ
ント用ワード線55と同一導電層(55)の接続部でのス
テップカバレッジを補い、この導電層(55)の断線不良
を低減することができると共に、下地の導電層(52)を
同種の遷移金属膜(52)とすることで、下地の遷移金属
膜(52)との間のストレスを低減することができる。
(4) The same conductive layer 52 as the column select signal line 52 and the same conductive layer as the shunt word line 55
The transition metal film 54 connecting each of the (55) supplements the step coverage at the connection portion of the same conductive layer (55) as the upper shunt word line 55, and reduces disconnection failure of the conductive layer (55). In addition, by forming the underlying conductive layer (52) with the same type of transition metal film (52), stress between the underlying conductive layer (52) and the underlying transition metal film (52) can be reduced.

【0131】(5)前記周辺回路の領域の下層の配線5
2特に前記メモリセルアレイ11Eの直接周辺回路(セ
ンスアンプ回路やデコーダ回路)は、遷移金属膜なので
マイグレーション耐圧が高く、配線52幅を縮小する
(メモリセルMの配置ピッチに対応させて縮小する)こ
とができるので、集積度を向上することができる。
(5) Wiring 5 in the lower layer of the peripheral circuit area
2 Particularly, the direct peripheral circuits (sense amplifier circuits and decoder circuits) of the memory cell array 11E are transition metal films and therefore have a high migration breakdown voltage, and reduce the width of the wiring 52 (reduce in accordance with the arrangement pitch of the memory cells M). Therefore, the degree of integration can be improved.

【0132】前記図1に示すように、DRAM1のシャ
ント用ワード線55及び配線55の上層にはパッシベー
ション膜56が設けられている。パッシベーション膜5
6は酸化珪素膜56A、窒化珪素膜56Bの夫々を順次
積層した複合膜で構成されている。
As shown in FIG. 1, a passivation film 56 is provided on the shunt word line 55 and the wiring 55 of the DRAM 1. Passivation film 5
Reference numeral 6 denotes a composite film in which a silicon oxide film 56A and a silicon nitride film 56B are sequentially laminated.

【0133】下層の酸化珪素膜56Aはその表面つまり
上層の窒化珪素膜56Bの下地表面を平坦化するように
構成されている。下層の酸化珪素膜56Aは、その下層
のシャント用ワード線55、配線55の夫々の上層にア
ルミニウム合金膜55Bを形成しているので、このアル
ミニウム合金膜55Bを溶融させない低温度で堆積す
る。すなわち、下層の酸化珪素膜56Aは例えばテトラ
エポキシシランガスをソースガスとするC−CVD法で
堆積する。下層の酸化珪素膜56Aは、下地表面の段差
部分のステップカバレッジが良好であるので、表面を平
坦化するには、シャント用ワード線55間又は配線55
間とその膜厚との比であるアスペクト比が1以上の領域
において、前記シャント用ワード線55間又は配線55
間の2分の1以上の膜厚で形成する。前記アスペクト比
が1以上の領域は最小配線間隔又はそれに近い寸法に相
当し、アスペクト比が1以下の領域においては前記上層
の窒化珪素膜56のステップカバレッジが問題とならな
い。前記シャント用ワード線55間は約0.7[μm]程
度の配線間隔で形成されているので、前記下層の酸化珪
素膜56Aは350〜500[nm]程度の膜厚で形成す
る。
The lower silicon oxide film 56A is configured to planarize its surface, that is, the underlying surface of the upper silicon nitride film 56B. Since the lower silicon oxide film 56A has the aluminum alloy film 55B formed on the upper layers of the lower shunt word line 55 and the wiring 55, the aluminum oxide film 55B is deposited at a low temperature that does not melt the aluminum alloy film 55B. That is, the lower silicon oxide film 56A is deposited by a C-CVD method using, for example, tetraepoxysilane gas as a source gas. Since the lower silicon oxide film 56A has good step coverage at the step portion on the base surface, the surface can be flattened between the shunt word lines 55 or the wiring 55.
In a region where the aspect ratio, which is the ratio of the distance between the shunt and the film thickness, is 1 or more,
It is formed with a film thickness of half or more in between. The region having an aspect ratio of 1 or more corresponds to the minimum wiring interval or a size close to the minimum wiring interval. In the region having an aspect ratio of 1 or less, the step coverage of the upper silicon nitride film 56 does not matter. Since the space between the shunt word lines 55 is formed with a wiring interval of about 0.7 [μm], the lower silicon oxide film 56A is formed with a thickness of about 350 to 500 [nm].

【0134】前記パッシベーション膜56の上層の窒化
珪素膜56Bは耐湿性を向上するために形成されてい
る。この上層の窒化珪素膜56Bは、例えばプラズマC
VD法で堆積され、1000〜1200[nm]程度の膜
厚で形成されている。この上層の窒化珪素膜56Bは、
下層の酸化珪素膜56Aの表面が平坦化されているの
で、下地の段差部分においてオーバーハング形状の成長
による巣等の発生を防止することができる。
The silicon nitride film 56B on the passivation film 56 is formed to improve moisture resistance. The upper silicon nitride film 56B is, for example, a plasma C
The film is deposited by the VD method and has a thickness of about 1000 to 1200 [nm]. This upper silicon nitride film 56B
Since the surface of the lower silicon oxide film 56A is flattened, it is possible to prevent the formation of nests or the like due to the growth of the overhang shape in the step portion of the base.

【0135】このように、(34−19)アルミニウム合
金膜55Bを主体とした配線55上にパッシベーション
膜56が設けられたDRAM1において、前記パッシベ
ーション膜56を、テトラエポキシシランガスをソース
ガスとするC−CVD法で堆積した酸化珪素膜56A、
プラズマCVD法で堆積した窒化珪素膜56Bの夫々を
順次積層した複合膜で構成し、このパッシベーション膜
56の下層の酸化珪素膜56Aを、前記配線55間隔と
前記配線55の膜厚とのアスペクト比が1以上の領域の
前記配線55の間隔の2分の1又はそれ以上の膜厚で構
成する。この構成により、前記パッシベーション膜56
の下層の酸化珪素膜56Aは、前記配線55のアルミニ
ウム合金膜55Bを溶融しない低温度でしかも高ステッ
プカバレッジで堆積することができ、前記配線55で形
成される段差形状を平坦化することができるので、前記
パッシベーション膜56の上層の耐湿性に優れた窒化珪
素膜56Bを前記段差形状に基づく巣を生じることなく
形成することができる。この結果、前記パッシベーショ
ン膜56の上層の窒化珪素膜56Bに巣が発生しないの
で、前記窒化珪素膜56の割れの発生や前記巣に水分が
溜まることがないので、前記パッシベーション膜56の
耐湿性を向上することができる。
As described above, in the DRAM 1 in which the passivation film 56 is provided on the wiring 55 mainly composed of the (34-19) aluminum alloy film 55B, the passivation film 56 is formed of a C- A silicon oxide film 56A deposited by a CVD method,
Each of the silicon nitride films 56B deposited by the plasma CVD method is composed of a composite film in which the silicon nitride films 56B are sequentially stacked. Is formed with a film thickness that is one half or more of the interval between the wirings 55 in one or more regions. With this configuration, the passivation film 56
The lower silicon oxide film 56A can be deposited at a low temperature that does not melt the aluminum alloy film 55B of the wiring 55 and with high step coverage, and can flatten the step formed by the wiring 55. Therefore, the silicon nitride film 56B having excellent moisture resistance in the upper layer of the passivation film 56 can be formed without forming a cavity based on the step shape. As a result, no cavities are generated in the silicon nitride film 56B above the passivation film 56, and no cracks are generated in the silicon nitride film 56 and no water is accumulated in the cavities. Can be improved.

【0136】前記DRAM1のメモリセルアレイ(MA)
11Eと周辺回路との境界領域は図11(概略平面図)及
び図12(前記図11の要部拡大平面図)に示すように構
成されている。つまり、メモリセルアレイ11Eの非活
性領域に形成されるp型チャネルストッパ領域25A、
周辺回路の非活性領域に形成されるp型チャネルストッ
パ領域24の夫々は前記境界領域において重ね合せてい
ない。メモリセルアレイ11Eのp型チャネルストッパ
領域25A、周辺回路のp型チャネルストッパ領域24
の夫々は別々の製造工程で形成されているので、前記境
界領域で前記重合させずに、前記境界領域である非活性
領域の不純物濃度は低くされている。これは、活性領域
に形成されたn型半導体領域29、n+型半導体領域3
2の夫々とp−型ウエル領域22の前記境界領域の主面
部とのpn接合耐圧を高めることができる。ところが、
p−型ウエル領域22の前記境界領域の非活性領域の主
面の不純物濃度が低いので、寄生MOSのしきい値電圧
は低下し、n型反転層が発生しやすい。このn型反転層
はメモリセルアレイ11Eを取り囲む大面積で形成さ
れ、前記境界領域を横切るように又はその近傍に活性領
域が存在すると、活性領域の面積が前記n型反転層の面
積に相当する分増加する。これは、見かけ上、pn接合
面積を増大し、pn接合部においてリーク電流量を増加
する。したがって、図12に示すように、活性領域Ac
t例えば周辺回路のnチャネルMISFETQnは前記
境界領域から離隔させる(前記境界領域を横切らない)。
この離隔は、少なくとも製造工程におけるマスク合せず
れ量、及びn型半導体領域29、n+型半導体領域32
の夫々のn型不純物の拡散量を考慮した寸法で行う。
Memory cell array (MA) of DRAM 1
The boundary area between the peripheral circuit 11E and the peripheral circuit is configured as shown in FIG. 11 (schematic plan view) and FIG. 12 (an enlarged plan view of the main part of FIG. 11). That is, the p-type channel stopper region 25A formed in the inactive region of the memory cell array 11E,
Each of the p-type channel stopper regions 24 formed in the inactive region of the peripheral circuit does not overlap in the boundary region. The p-type channel stopper region 25A of the memory cell array 11E and the p-type channel stopper region 24 of the peripheral circuit
Are formed in different manufacturing steps, the impurity concentration in the non-active region, which is the boundary region, is reduced without being polymerized in the boundary region. This is because the n-type semiconductor region 29 and the n + -type semiconductor region 3 formed in the active region
2 and the pn junction breakdown voltage between the main surface portion of the boundary region of the p − -type well region 22 can be increased. However,
Since the impurity concentration on the main surface of the inactive region of the boundary region of the p − -type well region 22 is low, the threshold voltage of the parasitic MOS is reduced, and an n-type inversion layer is easily generated. The n-type inversion layer is formed with a large area surrounding the memory cell array 11E. If an active region exists across or near the boundary region, the active region has an area corresponding to the area of the n-type inversion layer. To increase. This apparently increases the pn junction area and increases the amount of leakage current at the pn junction. Therefore, as shown in FIG.
t For example, the n-channel MISFET Qn of the peripheral circuit is separated from the boundary region (does not cross the boundary region).
This separation is caused by at least the amount of mask misalignment in the manufacturing process, the n-type semiconductor region 29, and the n + -type semiconductor region 32.
The size is determined in consideration of the diffusion amount of each n-type impurity.

【0137】また、前記メモリセルアレイ(MA)11E
と周辺回路との境界領域は図13(概略平面図)及び図1
4(前記図13の要部拡大平面図)に示すように構成して
もよい。つまり、メモリセルアレイ11Eのp型チャネ
ルストッパ領域25A、周辺回路のp型チャネルストッ
パ領域24の夫々は前記境界領域で重ね合せる。この重
ね合せは少なくとも製造工程におけるマスク合せ余裕寸
法に相当する分重ね合せる。p型チャネルストッパ領域
24、25Aの夫々を重ね合せた場合は非活性領域の前
記境界領域の不純物濃度が高くなる。p−型ウエル領域
22の非活性領域の主面部の不純物濃度が高くなると、
寄生MOSのしきい値電圧を高めて分離能力を向上する
ことができるが、逆に前記境界領域と活性領域に形成さ
れたn型半導体領域29、n+型半導体領域32の夫々
とのpn接合耐圧が劣化する。
The memory cell array (MA) 11E
FIG. 13 (schematic plan view) and FIG.
4 (enlarged plan view of the main part of FIG. 13). That is, the p-type channel stopper region 25A of the memory cell array 11E and the p-type channel stopper region 24 of the peripheral circuit are overlapped at the boundary region. This overlapping is performed at least by an amount corresponding to a mask alignment allowance in the manufacturing process. When each of the p-type channel stopper regions 24 and 25A is overlapped, the impurity concentration in the boundary region of the non-active region increases. When the impurity concentration in the main surface portion of the non-active region of the p-type well region 22 increases,
The separation capability can be improved by increasing the threshold voltage of the parasitic MOS. Deteriorates.

【0138】したがって、図14に示すように、活性領
域Act例えば周辺回路のnチャネルMISFETQn
は前記境界領域から離隔させる。この離隔は、少なくと
も製造工程におけるマスク合せずれ量、及びp型チャネ
ルストッパ領域24、25Aの夫々のp型不純物やn型
半導体領域29、n+型半導体領域32の夫々のn型不
純物の拡散量を考慮した寸法で行う。
Therefore, as shown in FIG. 14, active region Act, for example, n-channel MISFET Qn
Is separated from the boundary area. This separation is determined by at least the amount of mask misalignment in the manufacturing process and the amount of diffusion of the respective p-type impurities in the p-type channel stopper regions 24 and 25A and the respective n-type impurities in the n-type semiconductor region 29 and the n + -type semiconductor region 32. Perform with the dimensions considered.

【0139】前記境界領域には通常基板電位発生回路
(VBBジェネレータ回路)1703から発生する少数キ
ャリアがメモリセルアレイ11Eに侵入することを防止
する図示しないガードリング領域が配置されている。こ
のガードリング領域は、メモリセルアレイ11Eの周辺
に配置され、n型半導体領域29又はn+型半導体領域
32で構成されている。このガードリング領域は、前記
p型チャネルストッパ領域25A、24の夫々の境界領
域の内側であるメモリセルアレイ11E内(前記境界領
域とは離隔させる)に設ける。このガードリング領域の
上部には、前記メモリセルMのスタックド構造の情報蓄
積用容量素子Cの下層電極層35、上層電極層37又は
両者の層と同一導電層で形成された段差緩和層が設けら
れている。この段差緩和層は、メモリセルアレイ11E
と周辺回路との間に発生する段差形状を緩和し、上層配
線例えばカラムセレクト信号線52やシャント用ワード
線55の加工精度の向上や断線不良の低減を図るように
構成されている。
A guard ring region (not shown) for preventing minority carriers generated from a normal substrate potential generation circuit ( VBB generator circuit) 1703 from entering the memory cell array 11E is arranged in the boundary region. The guard ring region is arranged around the memory cell array 11E, and is composed of the n-type semiconductor region 29 or the n + -type semiconductor region 32. The guard ring region is provided in the memory cell array 11E (separated from the boundary region) inside each boundary region of the p-type channel stopper regions 25A and 24. Above the guard ring region, there is provided a step reducing layer formed of the same conductive layer as the lower electrode layer 35, the upper electrode layer 37, or both layers of the information storage capacitor C having the stacked structure of the memory cell M. Have been. This step reducing layer is provided in the memory cell array 11E.
The configuration is such that the step formed between the semiconductor device and the peripheral circuit is reduced, and the processing accuracy of the upper layer wiring, for example, the column select signal line 52 and the shunt word line 55 is improved and the disconnection failure is reduced.

【0140】このように、(8−5)p−型ウエル領域2
2の非活性領域の主面部に形成されたp型チャネルスト
ッパ領域で周囲を規定された、前記p−型ウエル領域2
2の夫々異なる活性領域内の主面にメモリセルM、周辺
回路のnチャネルMISFETQnの夫々を配置する、
DRAM1において、前記メモリセルMの周囲を囲むp
型チャネルストッパ領域25A、前記周辺回路のnチャ
ネルMISFETQnの周囲を囲むp型チャネルストッ
パ領域24の夫々を別々の製造工程で独立に構成し、前
記p型チャネルストッパ領域25A、p型チャネルスト
ッパ領域24の夫々の境界領域には前記メモリセルM、
前記周辺回路のnチャネルMISFETQn等、活性領
域Actを配置しない。この構成により、前記p型チャ
ネルストッパ領域25A、p型チャネルストッパ領域2
4の夫々が前記境界領域で離隔する場合は前記境界領域
にその面積に対応した大きなn型反転層が発生し易くな
り、前記境界領域に活性領域Actが存在するとこの活
性領域Actに形成されるn型半導体領域29やn+型
半導体領域32の面積が見かけ上前記n型反転層を加算
した分増加し、p−型ウエル領域22とn型半導体領域
29やn+型半導体領域32との接合部においてリーク
電流量が増大するが、前記境界領域には活性領域Act
は配置していないので、前記接合部においてリーク電流
量を低減することができる。また、前記p型チャネルス
トッパ領域25A、p型チャネルストッパ領域24の夫
々が前記境界領域で重なる場合はその領域の不純物濃度
が高くなるが、前記境界領域には活性領域Actは配置
していないので、p−型ウエル領域22とn型半導体領
域29やn+型半導体領域32とのpn接合耐圧を向上
することができる。
As described above, the (8-5) p-type well region 2
P-type well region 2 whose periphery is defined by a p-type channel stopper region formed in the main surface of the non-active region 2
2, the memory cell M and the n-channel MISFET Qn of the peripheral circuit are respectively arranged on the main surface in different active regions.
In the DRAM 1, p surrounding the periphery of the memory cell M
The channel stopper region 25A and the p-type channel stopper region 24 surrounding the periphery of the n-channel MISFET Qn of the peripheral circuit are separately formed in separate manufacturing steps. The memory cells M,
The active region Act such as the n-channel MISFET Qn of the peripheral circuit is not arranged. With this configuration, the p-type channel stopper region 25A, the p-type channel stopper region 2
When each of 4 is separated at the boundary region, a large n-type inversion layer corresponding to the area of the region is easily generated in the boundary region. When an active region Act exists in the boundary region, the active region Act is formed in the active region Act. The area of the n-type semiconductor region 29 or the n + type semiconductor region 32 apparently increases by the sum of the n-type inversion layers, and the junction between the p− type well region 22 and the n-type semiconductor region 29 or the n + type semiconductor region 32 is increased. , The amount of leakage current increases, but the boundary region has an active region Act
Is not arranged, so that the amount of leak current at the junction can be reduced. When each of the p-type channel stopper region 25A and the p-type channel stopper region 24 overlaps at the boundary region, the impurity concentration of the region becomes high, but the active region Act is not arranged at the boundary region. , The pn junction breakdown voltage between the p − well region 22 and the n type semiconductor region 29 or the n + type semiconductor region 32 can be improved.

【0141】次に、前述のDRAM1の具体的な製造方
法について、図16乃至図49(各製造工程毎に示す要
部断面図)を用いて簡単に説明する。
Next, a specific method of manufacturing the above-described DRAM 1 will be briefly described with reference to FIGS. 16 to 49 (a cross-sectional view of a main portion showing each manufacturing step).

【0142】まず、単結晶珪素からなるp−型半導体基
板20を用意する。
First, a p − type semiconductor substrate 20 made of single crystal silicon is prepared.

【0143】(ウエル形成工程)次に、前記p−型半導
体基板20の主面上に酸化珪素膜60、窒化珪素膜61
の夫々を順次積層する。酸化珪素膜60は、約900〜
1000[℃]程度の高温度のスチーム酸化法により形成
し、例えば40〜50[nm]程度の膜厚で形成する。こ
の酸化珪素膜60はバッファ層として使用される。前記
窒化珪素膜61は不純物導入マスク、耐酸化マスクの夫
々に使用する。窒化珪素膜61は、例えばCVD法で堆
積させ、40〜60[nm]程度の膜厚で形成する。
(Well Forming Step) Next, a silicon oxide film 60 and a silicon nitride film 61 are formed on the main surface of the p− type semiconductor substrate 20.
Are sequentially laminated. The silicon oxide film 60 has a thickness of about 900 to
It is formed by a steam oxidation method at a high temperature of about 1000 ° C., for example, with a film thickness of about 40 to 50 nm. This silicon oxide film 60 is used as a buffer layer. The silicon nitride film 61 is used as an impurity introduction mask and an oxidation resistant mask. The silicon nitride film 61 is deposited by, for example, a CVD method, and is formed with a thickness of about 40 to 60 [nm].

【0144】次に、n−型ウエル領域(21)形成領域の
窒化珪素膜61を除去し、マスクを形成する。マスク
(61)の形成はフォトリソグラフィ技術(フォトレジス
トマスクの形成技術)及びエッチング技術を用いて行
う。
Next, the silicon nitride film 61 in the n-type well region (21) formation region is removed, and a mask is formed. mask
The formation of (61) is performed using a photolithography technique (a technique for forming a photoresist mask) and an etching technique.

【0145】次に、図16に示すように、前記マスク
(61)を用い、酸化珪素膜60を通してp−型半導体基
板20の主面部にn型不純物21nを導入する。n型不
純物21nは、例えば1013[atoms/cm2]程度の不純
物濃度のPを用い、120〜130[KeV]程度のエネ
ルギのイオン打込法で導入する。
Next, as shown in FIG.
Using (61), an n-type impurity 21n is introduced into the main surface of the p − -type semiconductor substrate 20 through the silicon oxide film 60. The n-type impurity 21n is introduced, for example, by ion implantation using P having an impurity concentration of about 10 13 [atoms / cm 2 ] and energy of about 120 to 130 [KeV].

【0146】次に、前記マスク(61)を用い、図17に
示すように、マスクから露出する酸化珪素膜60を成長
させ、それに比べて厚い酸化珪素膜60Aを形成する。
酸化珪素膜60Aは、n−型ウエル領域(21)形成領域
だけに形成され、前記マスク(61)を除去するマスク及
び不純物導入マスクとして使用される。酸化珪素膜60
Aは、約900〜1000[℃]程度の高温度のスチーム
酸化法により形成し、例えば最終的に110〜130
[nm]程度の膜厚になるように形成する。この酸化珪素
膜60Aを形成する熱処理工程によって、前記導入され
たn型不純物21nは若干拡散される。
Next, using the mask (61), as shown in FIG. 17, a silicon oxide film 60 exposed from the mask is grown, and a silicon oxide film 60A thicker than that is formed.
The silicon oxide film 60A is formed only in the n-type well region (21) formation region and is used as a mask for removing the mask (61) and an impurity introduction mask. Silicon oxide film 60
A is formed by a steam oxidation method at a high temperature of about 900 to 1000 [° C.].
It is formed so as to have a thickness of about [nm]. By the heat treatment step for forming the silicon oxide film 60A, the introduced n-type impurity 21n is slightly diffused.

【0147】次に、前記マスク(61)を例えば熱リン酸
で選択的に除去する。
Next, the mask (61) is selectively removed with, for example, hot phosphoric acid.

【0148】次に、図18に示すように、前記酸化珪素
膜60Aを不純物導入マスクとして用い、酸化珪素膜6
0を通したp−型半導体基板20の主面部にp型不純物
22pを導入する。このp型不純物22pは、例えば1
12〜1013[atoms/cm2]程度の不純物濃度のB(又
はBF2)を用い、20〜30[KeV]程度のエネルギの
イオン打込法で導入する。このp型不純物22pは、酸
化珪素膜60Aの膜厚を厚く形成しているので、n−型
ウエル領域(21)の形成領域には導入されない。
Next, as shown in FIG. 18, using the silicon oxide film 60A as an impurity introduction mask, the silicon oxide film
A p-type impurity 22p is introduced into the main surface portion of the p − -type semiconductor substrate 20 through which the p-type impurity 22p passes. This p-type impurity 22p is, for example, 1
B (or BF 2 ) having an impurity concentration of about 0 12 to 10 13 [atoms / cm 2 ] is used, and ion implantation is performed with an energy of about 20 to 30 [KeV]. The p-type impurity 22p is not introduced into the region where the n − -type well region (21) is formed because the silicon oxide film 60A has a large thickness.

【0149】次に、前記n型不純物21n、p型不純物
22pの夫々に引き伸し拡散を施し、図19に示すよう
に、n−型ウエル領域21及びp−型ウエル領域22を
形成する。n−型ウエル領域21及びp−型ウエル領域
22は1100〜1300[℃]程度の高温度の雰囲気中
で熱処理を施すことによって形成する。結果的に、p−
型ウエル領域22はn−型ウエル領域21に対して自己
整合で形成される。
Next, the n-type impurity 21n and the p-type impurity 22p are respectively extended and diffused to form an n-type well region 21 and a p-type well region 22, as shown in FIG. The n-type well region 21 and the p-type well region 22 are formed by performing a heat treatment in a high temperature atmosphere of about 1100 to 1300 [° C.]. Consequently, p-
The type well region 22 is formed in self-alignment with the n − type well region 21.

【0150】(分離領域形成工程)次に、前記酸化珪素
膜60、60Aの夫々を除去し、n−型ウエル領域2
1、p−型ウエル領域22の夫々の主面を露出する。
(Isolation Region Forming Step) Next, each of the silicon oxide films 60 and 60A is removed, and the n − -type well region 2 is removed.
1. The respective main surfaces of the p-type well region 22 are exposed.

【0151】次に、図20に示すように、前記n−型ウ
エル領域21、p−型ウエル領域22の夫々の主面上
に、酸化珪素膜62、窒化珪素膜63、多結晶珪素膜6
4の夫々を順次積層する。前記下層の酸化珪素膜62は
バッファ層として使用される。
Then, as shown in FIG. 20, a silicon oxide film 62, a silicon nitride film 63, and a polycrystalline silicon film 6
4 are sequentially laminated. The lower silicon oxide film 62 is used as a buffer layer.

【0152】この酸化珪素膜62は、例えば約900〜
1000[℃]程度の高温度のスチーム酸化法により形成
し、15〜25[nm]程度の膜厚で形成される。中層の
窒化珪素膜63は主に耐酸化マスクとして使用される。
この窒化珪素膜63は、例えばCVD法で堆積し、15
0〜250[nm]程度の膜厚で形成される。上層の多結
晶珪素膜64は、主に、その下層の窒化珪素膜63のエ
ッチングマスク、溝堀深さ判定用マスク、サイドウォー
ルスペーサの長さ制御用マスクの夫々として使用され
る。前記多結晶珪素膜64は、例えばCVD法で堆積
し、80〜120[nm]程度の膜厚で形成される。
The silicon oxide film 62 has a thickness of about 900 to 900, for example.
It is formed by a steam oxidation method at a high temperature of about 1000 [° C.] and has a thickness of about 15 to 25 [nm]. The middle silicon nitride film 63 is mainly used as an oxidation resistant mask.
This silicon nitride film 63 is deposited by, for example, a CVD method,
It is formed with a film thickness of about 0 to 250 [nm]. The upper polycrystalline silicon film 64 is mainly used as an etching mask for the lower silicon nitride film 63, a mask for determining the trench depth, and a mask for controlling the length of the sidewall spacer. The polycrystalline silicon film 64 is deposited by, for example, a CVD method and is formed with a thickness of about 80 to 120 [nm].

【0153】次に、図21に示すように、n−型ウエル
領域21、p−型ウエル領域22の夫々の非活性領域の
主面上の上層の多結晶珪素膜64を除去し、活性領域に
残存する多結晶珪素膜64でマスクを形成する。このマ
スク(64)はフォトリソグラフィ技術及びエッチング技
術を用いて形成される。マスク(64)を形成した後は前
記フォトリソグラフィ技術で形成されたエッチングマス
ク(フォトレジスト膜)は除去される。
Next, as shown in FIG. 21, the upper polycrystalline silicon film 64 on the main surface of each of the inactive regions of the n-type well region 21 and the p-type well region 22 is removed to remove the active region. Is formed using the remaining polycrystalline silicon film 64. This mask (64) is formed using a photolithography technique and an etching technique. After forming the mask (64), the etching mask (photoresist film) formed by the photolithography technique is removed.

【0154】次に、図22に示すように、前記マスク
(64)をエッチングマスクとして用い、非活性領域に露
出された窒化珪素膜63を除去し、前記マスク(64)下
にマスク(63)を形成する。このマスク(63)のパター
ンニングは、フォトレジスト膜からの汚染物質がn−型
ウエル領域21、p−型ウエル領域22の夫々の主面や
酸化珪素膜62中に捕獲されることを防止するため、前
記マスク(64)をパターンニングするフォトレジスト膜
を使用せずにマスク(64)で行っている。
Next, as shown in FIG.
Using (64) as an etching mask, the silicon nitride film 63 exposed in the non-active region is removed, and a mask (63) is formed under the mask (64). The patterning of the mask (63) prevents contaminants from the photoresist film from being trapped in the main surfaces of the n − -type well region 21 and the p − -type well region 22 and in the silicon oxide film 62. Therefore, the mask (64) is used without using a photoresist film for patterning the mask (64).

【0155】次に、図23に示すように、前記マスク
(64)上を含む基板全面に窒化珪素膜65、酸化珪素膜
66の夫々を順次積層する。下層の窒化珪素膜65は、
主に耐酸化マスクとして使用され、前記マスク(63)に
比べて薄い膜厚で形成されている。この窒化珪素膜65
は、例えばCVD法で堆積し、15〜25[nm]程度の
膜厚で形成される。上層の酸化珪素膜66は主にエッチ
ングマスクとして使用される。この酸化珪素膜66は、
例えば無機シランガス(SiH4又はSiH2Cl2)及び
酸化窒素ガス(N2O)をソースガスとするCVD法で堆
積し、150〜250[nm]程度の膜厚で形成される。
Next, as shown in FIG.
(64) A silicon nitride film 65 and a silicon oxide film 66 are sequentially laminated on the entire surface of the substrate including the upper surface. The lower silicon nitride film 65
It is mainly used as an oxidation resistant mask, and is formed with a smaller film thickness than the mask (63). This silicon nitride film 65
Is deposited by, for example, a CVD method and is formed with a film thickness of about 15 to 25 [nm]. The upper silicon oxide film 66 is mainly used as an etching mask. This silicon oxide film 66
For example, it is deposited by a CVD method using an inorganic silane gas (SiH 4 or SiH 2 Cl 2 ) and a nitrogen oxide gas (N 2 O) as a source gas, and is formed with a thickness of about 150 to 250 [nm].

【0156】次に、図24に示すように、前記酸化珪素
膜66、窒化珪素膜65の夫々に堆積された膜厚に相当
する分異方性エッチングを施し、前記マスク(63)及び
(64)の夫々の側壁にそれに対して自己整合でマスク
(65)、(66)の夫々を形成する。このマスク(65)、
(66)の夫々は所謂サイドウォールスペーサとして形成
されている。
Next, as shown in FIG. 24, anisotropic etching corresponding to the thicknesses of the silicon oxide film 66 and the silicon nitride film 65 is performed, and the mask (63) and the
Mask each side wall of (64) by self-alignment
Each of (65) and (66) is formed. This mask (65),
Each of (66) is formed as a so-called sidewall spacer.

【0157】次に、図25に示すように、前記マスク
(64)、(66)の夫々をエッチングマスクとして用い、
n−型ウエル領域21、p−型ウエル領域22の夫々の
非活性領域の主面に浅溝67を形成する。浅溝67は、
後の工程で形成される素子間分離用絶縁膜(23)の下面
の深さを例えばn型半導体領域(29)や(32)の接合深
さに比べて深く形成し、素子間の分離能力を高めるため
に形成されている。この浅溝67の深さは、前記マスク
(64)の膜厚で制御されている。つまり、浅溝67を形
成すると共にマスク(64)が除去され、このマスク(6
4)の反応ガス成分を検出し、このマスク(64)の反応
ガス成分がなくなった時点又はその近傍で浅溝67を形
成するエッチングを停止する。浅溝67は、例えばRI
E等の異方性エッチングで形成し、約80〜120[n
m]程度の深さで形成される。
Next, as shown in FIG.
Using each of (64) and (66) as an etching mask,
A shallow groove 67 is formed in the main surface of each of the inactive regions of the n-type well region 21 and the p-type well region 22. The shallow groove 67
The depth of the lower surface of the inter-element isolation insulating film (23) formed in a later step is formed to be deeper than the junction depth of, for example, the n-type semiconductor regions (29) and (32), so that the inter-element isolation capability is improved. It is formed to enhance. The depth of the shallow groove 67 depends on the mask.
It is controlled by the film thickness of (64). That is, the mask (64) is removed while the shallow groove 67 is formed, and the mask (6) is removed.
The reaction gas component of 4) is detected, and the etching for forming the shallow groove 67 is stopped at or near the time when the reaction gas component in the mask (64) is exhausted. The shallow groove 67 is made of, for example, RI
E is formed by anisotropic etching such as E.
m].

【0158】このように、(請求項3)前記n−型ウエル
領域21、p−型ウエル領域22の夫々と実質的に同等
のエッチング速度を有する材料で形成されたマスク(6
4)を用い、前記n−型ウエル領域21、p−型ウエル
領域22の夫々の非活性領域の主面を前記マスク(64)
の膜厚に相当する分エッチングして浅溝67を形成す
る。
Thus, (claim 3) a mask (6) formed of a material having an etching rate substantially equal to that of each of the n-type well region 21 and the p-type well region 22.
4), the main surfaces of the respective inactive regions of the n-type well region 21 and the p-type well region 22 are masked with the mask (64).
The shallow groove 67 is formed by etching corresponding to the film thickness.

【0159】この構成により、前記マスク(64)の膜厚
で浅溝67の深さを制御することができるので、前記浅
溝67の深さの制御性を向上することができる。
According to this configuration, the depth of the shallow groove 67 can be controlled by the thickness of the mask (64), so that the controllability of the depth of the shallow groove 67 can be improved.

【0160】次に、前記浅溝67を形成したことにより
露出されたn−型ウエル領域21、p−型ウエル領域2
2の夫々の非活性領域の主面上に酸化珪素膜62Aを形
成する。この酸化珪素膜62Aは不純物を導入する際の
バッファ層として使用される。酸化珪素膜62Aは、例
えば熱酸化法で形成し、8〜12[nm]程度の膜厚で形
成する。
Next, the n − -type well region 21 and the p − -type well region 2 exposed by the formation of the shallow groove 67 are formed.
A silicon oxide film 62A is formed on the main surface of each of the two inactive regions. This silicon oxide film 62A is used as a buffer layer when introducing impurities. The silicon oxide film 62A is formed by, for example, a thermal oxidation method and has a thickness of about 8 to 12 [nm].

【0161】次に、図26に示すように、周辺回路の形
成領域において、p−型ウエル領域22の非活性領域の
主面部に、前記酸化珪素膜62Aを通してp型不純物2
4pを導入する。p型不純物24pの導入には前記マス
ク(63)、(66)、図示しないフォトレジストマスクの
夫々を不純物導入マスクとして使用する。p型不純物2
4pは、例えば1013[atoms/cm2]程度の不純物濃度
のBF2を用い、50〜70[KeV]程度のエネルギの
イオン打込法で導入する。このp型不純物24pは、周
辺回路の形成領域において、活性領域に対して自己整合
で導入される。
Next, as shown in FIG. 26, in the peripheral circuit forming region, the p-type impurity 2 is formed on the main surface portion of the inactive region of the p − -type well region 22 through the silicon oxide film 62A.
4p is introduced. To introduce the p-type impurity 24p, the masks (63) and (66) and a photoresist mask (not shown) are used as impurity introduction masks. p-type impurity 2
4p is introduced by ion implantation at an energy of about 50 to 70 [KeV] using, for example, BF 2 having an impurity concentration of about 10 13 [atoms / cm 2 ]. This p-type impurity 24p is introduced in a peripheral circuit formation region in a self-aligned manner with respect to the active region.

【0162】次に、前記マスク(63)、(65)の夫々を
主に耐酸化マスクとして用い、n−型ウエル領域21、
p−型ウエル領域22の夫々の非活性領域の主面の酸化
珪素膜62A部分に素子間分離用絶縁膜(フィールド絶
縁膜)23を形成する。このとき、酸化珪素膜66は素
子間分離用絶縁膜23の形成前にフッ酸系エッチング液
により除去する。素子間分離用絶縁膜23は、例えば1
050〜1150[℃]程度のかなり高温度で酸素を微
量(約1[%]以下)含む窒素ガス雰囲気中において約3
0〜40[分]の熱処理を行った後、スチーム酸化法によ
り約30〜50[分]程度酸化することで形成することが
できる。素子間分離用絶縁膜23は例えば400〜60
0[nm]程度の膜厚で形成する。
Next, each of the masks (63) and (65) is mainly used as an oxidation resistant mask,
An isolation insulating film (field insulating film) 23 is formed on the silicon oxide film 62A on the main surface of each inactive region of the p − -type well region 22. At this time, the silicon oxide film 66 is removed with a hydrofluoric acid-based etchant before the formation of the element isolation insulating film 23. The element isolation insulating film 23 is,
In a nitrogen gas atmosphere containing a very small amount of oxygen (about 1 [%] or less) at a considerably high temperature of about 0
After performing a heat treatment of 0 to 40 [minutes], it can be formed by oxidizing about 30 to 50 [minutes] by a steam oxidation method. The element isolation insulating film 23 is, for example, 400 to 60.
It is formed with a thickness of about 0 [nm].

【0163】前記素子間分離用絶縁膜23の活性領域側
の端部は、薄い膜厚のマスク(65)を基板に直接々触さ
せているので、酸化初期の横方向(活性領域側)への成長
が低減され、かつ厚い膜厚のマスク(63)は酸化が進行
しても、横方向への成長を低減することができるので、
バーズビークを少なくすることができる。一方、薄い膜
厚のマスク(65)は、酸化が進むにつれ、バーズビーク
上に持ち上り、ストレスを緩和し、欠陥の発生を低減す
ることができる。つまり、素子間分離用絶縁膜23は、
バーズビークが少なく、厚い膜厚で形成することができ
る。したがって、素子間分離用絶縁膜23は、それを形
成するマスク(63)のサイズにある程度等しいサイズで
形成することができるので、素子間の分離面積を縮小す
ると共に活性領域の有効面積を増加することができる。
The end of the insulating film for element isolation 23 on the active region side has a thin mask (65) directly contacting the substrate, so that it is in the lateral direction (the active region side) at the beginning of oxidation. Is reduced, and the mask (63) having a large thickness can reduce the growth in the lateral direction even if the oxidation progresses.
Bird's beak can be reduced. On the other hand, the mask (65) having a small film thickness can be lifted on the bird's beak as the oxidation proceeds, so as to relieve stress and reduce occurrence of defects. That is, the inter-element isolation insulating film 23 is
Bird's beak is small and a thick film can be formed. Therefore, the inter-element isolation insulating film 23 can be formed to have a size that is somewhat equal to the size of the mask (63) forming the same, so that the inter-element isolation area is reduced and the effective area of the active region is increased. be able to.

【0164】前記素子間分離用絶縁膜23を形成する熱
処理により、実質的に同一製造工程によって、前記p−
型ウエル領域22の主面部に導入されたp型不純物24
pが引き伸し拡散され、p型チャネルストッパ領域24
が形成される。前記熱処理は、p型不純物24pを横方
向(活性領域側)へも拡散させるが、周辺回路のnチャネ
ルMISFETQnは、メモリセルMのメモリセル選択
用MISFETQsのサイズに比べて大きいので、前記
p型不純物24pの横方向の拡散量は相対的に小さい。
つまり、nチャネルMISFETQnは挟チャネル効果
の影響が小さい。
By the heat treatment for forming the element isolation insulating film 23, the p-type
P-type impurity 24 introduced into the main surface of p-type well region 22
The p is expanded and diffused, and the p-type channel stopper region 24 is formed.
Is formed. The heat treatment also diffuses the p-type impurity 24p in the lateral direction (on the active region side). The lateral diffusion amount of the impurity 24p is relatively small.
That is, the n-channel MISFET Qn is less affected by the narrow channel effect.

【0165】次に、前記マスク(63)、(65)、酸化珪
素膜62の夫々を除去し、n−型ウエル領域21、p−
型ウエル領域22の夫々の活性領域の主面を露出させ
る。この後、図27に示すように、前記露出させたn−
型ウエル領域21、p−型ウエル領域22の夫々の主面
上に酸化珪素膜68を形成する。酸化珪素膜68は、主
に素子間分離用絶縁膜23の形成の際に使用される窒化
珪素膜(マスク)63、65の夫々によって素子間分離用
絶縁膜23の端部に形成される珪素の窒化物所謂ホワイ
トリボンを酸化するために行う。酸化珪素膜68は、例
えば900〜1000[℃]程度の高温度のスチーム酸化
法で形成し、40〜100[nm]程度の膜厚で形成す
る。
Next, the masks (63) and (65) and the silicon oxide film 62 are removed, and the n-type well region 21 and the p-type well region 21 are removed.
The main surface of each active region of the mold well region 22 is exposed. Thereafter, as shown in FIG. 27, the exposed n-
A silicon oxide film 68 is formed on each main surface of the p-type well region 21 and the p-type well region 22. The silicon oxide film 68 is formed at the end of the inter-element isolation insulating film 23 by each of the silicon nitride films (masks) 63 and 65 mainly used when forming the inter-element isolation insulating film 23. This is performed to oxidize the nitride of so-called white ribbon. The silicon oxide film 68 is formed by, for example, a high-temperature steam oxidation method of about 900 to 1000 [° C.] and has a thickness of about 40 to 100 [nm].

【0166】次に、図28に示すように、メモリセルア
レイ11Eの形成領域において、p−型ウエル領域22
の主面部にp型チャネルストッパ領域25A、p型半導
体領域25Bの夫々を形成する。p型チャネルストッパ
領域25Aは素子間分離用絶縁膜23下の非活性領域に
形成される。p型半導体領域25BはメモリセルMの形
成領域である活性領域に形成される。前記p型チャネル
ストッパ領域25A、p型半導体領域25Bの夫々は、
例えば1012〜1013[atoms/cm2]程度の不純物濃度
のBを、200〜300[KeV]程度の高エネルギのイ
オン打込法で導入することにより形成される。p−型ウ
エル領域22の非活性領域の主面部においては、前記p
型不純物は素子分離用絶縁膜23を通して導入される。
活性領域の主面部においては、前記素子間分離用絶縁膜
23の膜厚に相当する分、前記p型不純物はp−型ウエ
ル領域22の主面部の深い位置に導入される。この方法
で形成されるp型チャネルストッパ領域25A、p型半
導体領域25Bの夫々は素子間分離用絶縁膜23に対し
て自己整合で形成されている。
Next, as shown in FIG. 28, in the formation region of the memory cell array 11E, the p − type well region 22 is formed.
A p-type channel stopper region 25A and a p-type semiconductor region 25B are formed on the main surface of the semiconductor device. The p-type channel stopper region 25A is formed in a non-active region below the element isolation insulating film 23. The p-type semiconductor region 25B is formed in an active region that is a region where the memory cell M is formed. Each of the p-type channel stopper region 25A and the p-type semiconductor region 25B
For example, it is formed by introducing B having an impurity concentration of about 10 12 to 10 13 [atoms / cm 2 ] by a high energy ion implantation method of about 200 to 300 [Kev]. In the main surface portion of the inactive region of the p− type well region 22,
The type impurity is introduced through the element isolation insulating film 23.
In the main surface portion of the active region, the p-type impurity is introduced into a deep portion of the main surface portion of the p − -type well region 22 by an amount corresponding to the thickness of the inter-element isolation insulating film 23. Each of the p-type channel stopper region 25A and the p-type semiconductor region 25B formed by this method is formed in self-alignment with the element isolation insulating film 23.

【0167】このように、(1−1)p−型ウエル領域2
2の非活性領域で周囲を囲まれた活性領域内の主面にメ
モリセル選択用MISFETQsを形成するDRAM1
において、前記p−型ウエル領域22の活性領域の主面
上にマスク(63)、(64)の夫々を順次積層した第1マ
スクを形成する工程と、この第1マスクの側壁にそれに
対して自己整合で形成された、前記第1マスクのマスク
(63)に比べて薄い膜厚のマスク(65)、(66)の夫々
を順次積層した第2マスクを形成する工程と、前記第1
マスク及び第2マスクを用いて前記p−型ウエル領域2
2の非活性領域の主面にエッチング処理を施し、このp
−型ウエル領域22の非活性領域に浅溝67を形成する
工程と、前記第1マスク及び第2マスクを用いて熱酸化
処理を施し、前記p−型ウエル領域22の非活性領域の
主面上に素子間分離用絶縁膜(フィールド絶縁膜)23を
形成する工程と、前記第1マスク及び第2マスクを除去
した後に、前記p−型ウエル領域22の活性領域及び非
活性領域を含むすべての主面部にp型不純物を導入し、
前記p−型ウエル領域22の素子間分離用絶縁膜23下
の主面部に前記p型チャネルストッパ領域25Aを形成
する工程とを備える。この構成により、前記素子間分離
用絶縁膜23の横方向の酸化量を低減することができる
ので、素子間分離用絶縁膜23のサイズを縮小し、かつ
その膜厚を厚くすることができ、前記浅溝67を利用し
て素子間分離用絶縁膜23の下面の位置をp−型ウエル
領域22の活性領域の主面に比べて深くし、メモリセル
選択用MISFETQs間の離隔寸法をp−型ウエル領
域22の深さ方向で稼ぐことができるので、メモリセル
選択用MISFETQs間の分離能力を高めることがで
き、前記素子間分離用絶縁膜23の膜厚を厚く形成し、
前記p型チャネルストッパ領域25Aを形成するp型不
純物を導入する際にp−型ウエル領域22の活性領域の
主面部に導入されるp型不純物をp−型ウエル領域22
の深い位置に導入することができるので、前記p型不純
物の導入に基づくメモリセル選択用MISFETQsの
しきい値電圧の変動を低減することができる。
As described above, (1-1) p-type well region 2
2 in which a MISFET Qs for selecting a memory cell is formed on a main surface in an active region surrounded by two non-active regions.
Forming a first mask in which masks (63) and (64) are sequentially laminated on the main surface of the active region of the p − -type well region 22, and forming a first mask on the side wall of the first mask. A mask of the first mask formed by self-alignment
Forming a second mask in which masks (65) and (66) each having a smaller thickness than that of (63) are sequentially laminated;
The p-type well region 2 is formed by using a mask and a second mask.
Etching is applied to the main surface of the non-active region 2
Forming a shallow groove 67 in an inactive region of the p-type well region 22; and performing a thermal oxidation process using the first mask and the second mask to form a main surface of the inactive region of the p-type well region 22. Forming an element isolation insulating film (field insulating film) 23 thereon; and removing the first mask and the second mask, and then removing the active region and the inactive region of the p-type well region 22. P-type impurities are introduced into the main surface of
Forming the p-type channel stopper region 25A in the main surface portion of the p-type well region 22 below the element isolation insulating film 23. With this configuration, the amount of lateral oxidation of the inter-element isolation insulating film 23 can be reduced, so that the size of the inter-element isolation insulating film 23 can be reduced and the film thickness can be increased. Utilizing the shallow groove 67, the position of the lower surface of the inter-element isolation insulating film 23 is made deeper than the main surface of the active region of the p − -type well region 22 so that the separation dimension between the memory cell selecting MISFETs Qs is p− Since the gain in the depth direction of the mold well region 22 can be increased, the separation capability between the memory cell selecting MISFETs Qs can be increased, and the inter-element isolation insulating film 23 is formed to have a large thickness.
When the p-type impurity for forming the p-type channel stopper region 25A is introduced, the p-type impurity introduced into the main surface portion of the active region of the p-type well region 22 is removed.
Can be introduced at a deep position, so that the variation of the threshold voltage of the memory cell selecting MISFET Qs due to the introduction of the p-type impurity can be reduced.

【0168】また、(4−2)前記素子間分離用絶縁膜2
3を形成する工程は約1050〜1150[℃]の範囲の
高温酸化法で行う。この構成により、前記素子間分離用
絶縁膜23を形成する際に高温酸化法に基づく酸化珪素
膜の流動性を促進し、素子間分離用絶縁膜23とn−型
ウエル領域21、p−型ウエル領域22の夫々の非活性
領域の主面との間に発生するストレスを低減することが
できるので、特にn−型ウエル領域21、p−型ウエル
領域22の夫々の非活性領域の主面に形成された浅溝6
7の角部分における結晶欠陥の発生を低減することがで
きる。
(4-2) Insulating film 2 for element isolation
Step 3 is performed by a high-temperature oxidation method in a range of about 1050 to 1150 [° C.]. With this configuration, when the inter-element isolation insulating film 23 is formed, the fluidity of the silicon oxide film based on the high-temperature oxidation method is promoted, and the inter-element isolation insulating film 23 and the n-type well region 21 and the p-type Since stress generated between the non-active region of the well region 22 and the main surface of each inactive region can be reduced, the main surface of each of the inactive regions of the n-type well region 21 and the p-type well region 22 can be reduced. Shallow groove 6 formed in
It is possible to reduce the occurrence of crystal defects at the corners of No. 7.

【0169】また、前記n−型ウエル領域21、p−型
ウエル領域22の夫々の非活性領域の主面に形成される
浅溝67は、結晶欠陥が回復できない場合や特に必要の
ない場合には形成しなくてもよい。この場合は、マスク
(64)をなくし、マスク(65)の膜厚を200〜300
[nm]としてもよい。
The shallow groove 67 formed on the main surface of each of the inactive regions of the n − -type well region 21 and the p − -type well region 22 is used when crystal defects cannot be recovered or when unnecessary. Need not be formed. In this case, the mask
(64) is eliminated, and the thickness of the mask (65) is reduced to 200 to 300.
[nm] may be used.

【0170】また、(5−3)メモリセルMを形成するメ
モリセル選択用MISFETQs、周辺回路を形成する
nチャネルMISFETQnの夫々が、p−型ウエル領
域22の素子間分離用絶縁膜23及びp型チャネルスト
ッパ領域で形成された非活性領域で周囲を囲まれた領域
内の活性領域の主面に構成されたDRAM1において、
前記p−型ウエル領域22のメモリセル選択用MISF
ETQsを形成する活性領域及びその周囲を囲む非活性
領域の主面部に、前記非活性領域は素子間分離用絶縁膜
23を通過させてp型不純物を導入して形成されるp型
チャネルストッパ領域25Aを設け、前記p−型ウエル
領域22のnチャネルMISFETQnを形成する活性
領域の周囲を囲む非活性領域の主面部に、p型不純物2
5pを導入してp型チャネルストッパ領域24を設け
る。この構成により、前記p型チャネルストッパ領域2
5Aで寄生MOSのしきい値電圧を高め、メモリセルM
及びそれを形成するメモリセル選択用MISFETQs
とその周囲のメモリセルMとの間の分離能力を確保し、
かつ前記p型チャネルストッパ領域25Aは前記素子間
分離用絶縁膜23に対して自己整合で形成され、p型チ
ャネルストッパ領域25Aを形成するp型不純物は活性
領域側への拡散量を小さくすることができるので、前記
メモリセル選択用MISFETQsの挟チャネル効果を
低減することができると共に、前記p型チャネルストッ
パ領域24を形成するp型不純物24pは非活性領域だ
けに導入され、前記nチャネルMISFETQnを形成
する活性領域には導入されないので、基板効果の影響を
低減し、nチャネルMISFETQnのしきい値電圧の
変動を低減することができる。
(5-3) The memory cell selecting MISFET Qs forming the memory cell M and the n-channel MISFET Qn forming the peripheral circuit are respectively formed by the element isolation insulating films 23 and p in the p − -type well region 22. DRAM 1 formed on a main surface of an active region in a region surrounded by an inactive region formed by a mold channel stopper region,
MISF for selecting memory cells in the p-type well region 22
On the main surface of the active region forming the ETQs and the non-active region surrounding the active region, the non-active region is formed by passing a p-type impurity through the element isolation insulating film 23 to form a p-type channel stopper region. 25A, a p-type impurity 2 is formed on the main surface of the inactive region surrounding the active region forming the n-channel MISFET Qn of the p − -type well region 22.
The p-type channel stopper region 24 is provided by introducing 5p. With this configuration, the p-type channel stopper region 2
5A, the threshold voltage of the parasitic MOS is increased, and the memory cell M
And memory cell selecting MISFET Qs forming the same
And the memory cells M surrounding the memory cells,
The p-type channel stopper region 25A is formed in self-alignment with the element isolation insulating film 23, and the amount of p-type impurities forming the p-type channel stopper region 25A is reduced toward the active region. Accordingly, the channel effect of the memory cell selecting MISFET Qs can be reduced, and the p-type impurity 24p forming the p-type channel stopper region 24 is introduced only into the non-active region, thereby reducing the n-channel MISFET Qn. Since it is not introduced into the active region to be formed, the influence of the substrate effect can be reduced, and the fluctuation of the threshold voltage of the n-channel MISFET Qn can be reduced.

【0171】なお、前述のように、前記nチャネルMI
SFETQnはメモリセルMのメモリセル選択用MIS
FETQsに比べてサイズが大きく構成されているの
で、nチャネルMISFETQnはp型チャネルストッ
パ領域24pを形成するp型不純物24pの活性領域側
への拡散量が相対的に小さく、挟チャネル効果をほとん
ど生じない。
Note that, as described above, the n-channel MI
The SFET Qn is a MIS for selecting a memory cell of the memory cell M.
Since the size is larger than that of the FET Qs, the n-channel MISFET Qn has a relatively small diffusion amount of the p-type impurity 24p forming the p-type channel stopper region 24p to the active region side, and almost causes a narrow channel effect. Absent.

【0172】また、前記nチャネルMISFETQn
は、活性領域にp型チャネルストッパ領域24を形成す
るp型不純物24pが導入されず、前記活性領域の表面
の不純物濃度を低減することができるので、しきい値電
圧を低減し、駆動能力を増大することができる。特に、
前記nチャネルMISFETQnは出力段回路として使
用する場合に出力信号レベルを充分に確保することがで
きる。
Further, the n-channel MISFET Qn
In this method, the p-type impurity 24p forming the p-type channel stopper region 24 is not introduced into the active region, and the impurity concentration on the surface of the active region can be reduced. Can increase. In particular,
When the n-channel MISFET Qn is used as an output stage circuit, a sufficient output signal level can be secured.

【0173】また、(7−4)前記メモリセルMのメモリ
セル選択用MISFETQs、nチャネルMISFET
Qnの夫々はp−型半導体基板20に比べて高い不純物
濃度を有するp−型ウエル領域22の主面部に設ける。
この構成により、前記p−型ウエル領域22のメモリセ
ル選択用MISFETQs、nチャネルMISFETQ
nの夫々のチャネル形成領域の不純物濃度を高くできる
ので、短チャネル効果を低減することができると共に、
前記p−型ウエル領域22、前記p−型半導体基板20
の夫々の不純物濃度の差でポテンシャルバリア領域を形
成することができるので、特にメモリセルMのα線ソフ
トエラー耐圧を向上することができる。
(7-4) MISFET Qs for selecting a memory cell of the memory cell M, n-channel MISFET
Each of Qn is provided on the main surface of p-type well region 22 having a higher impurity concentration than p-type semiconductor substrate 20.
With this configuration, the memory cell selecting MISFET Qs and the n-channel MISFET Q in the p − -type well region 22 are formed.
Since the impurity concentration of each of the n channel formation regions can be increased, the short channel effect can be reduced, and
The p-type well region 22 and the p-type semiconductor substrate 20
Since the potential barrier region can be formed by the difference between the respective impurity concentrations, the α-ray soft error withstand voltage of the memory cell M can be particularly improved.

【0174】また、前記nチャネルMISFETQn
は、カラムアドレスデコーダ回路(YDEC)12やセン
スアンプ回路(SA)13等の直接周辺回路を構成する場
合、同様にα線ソフトエラー耐圧を向上することができ
る。
The n-channel MISFET Qn
When a direct peripheral circuit such as the column address decoder circuit (YDEC) 12 or the sense amplifier circuit (SA) 13 is formed, similarly, the α-ray soft error withstand voltage can be improved.

【0175】(ゲート絶縁膜形成工程)次に、前記n−
型ウエル領域21、p−型ウエル領域22の夫々の活性
領域の主面上に酸化珪素膜68Aを形成する。酸化珪素
膜68Aは前記酸化珪素膜68を除去した後改めて形成
する。この酸化珪素膜68Aは15〜24[nm]程度の
膜厚でよい。
(Step of forming gate insulating film) Next, the n-
A silicon oxide film 68A is formed on the main surface of each of the active regions of the p-type well region 21 and the p-type well region 22. The silicon oxide film 68A is formed again after removing the silicon oxide film 68. The silicon oxide film 68A may have a thickness of about 15 to 24 [nm].

【0176】次に、第29図に示すように、周辺回路の
形成領域において、n−型ウエル領域21、p−型ウエ
ル領域22の夫々の素子間分離用絶縁膜23で規定され
る活性領域の主面部にしきい値電圧を調整するp型不純
物69pを導入する。p型不純物69pは、例えば10
12[atoms/cm2]程度の不純物濃度のBを用い、20〜
30[KeV]程度のエネルギのイオン打込法で導入す
る。このp型不純物69pは主にnチャネルMISFE
TQn、Qpの夫々のしきい値電圧を調整するために導
入されている。また、p型不純物69pはn−型ウエル
領域21、p−型ウエル領域22の夫々の主面部に別々
の工程により導入してもよい。
Next, as shown in FIG. 29, in the region where the peripheral circuit is formed, the active region defined by the inter-element isolation insulating film 23 of each of the n − -type well region 21 and the p − -type well region 22. A p-type impurity 69p for adjusting the threshold voltage is introduced into the main surface of the substrate. The p-type impurity 69p is, for example, 10
Using B having an impurity concentration of about 12 [atoms / cm 2 ],
It is introduced by an ion implantation method with an energy of about 30 [KeV]. This p-type impurity 69p is mainly composed of an n-channel MISFE
It is introduced to adjust the respective threshold voltages of TQn and Qp. Further, p-type impurity 69p may be introduced into the respective main surfaces of n-type well region 21 and p-type well region 22 by separate steps.

【0177】次に、前記酸化珪素膜68Aを選択的に除
去し、p−型ウエル領域22、n−型ウエル領域21の
夫々の主面を露出させる。
Next, the silicon oxide film 68A is selectively removed to expose the respective main surfaces of the p − -type well region 22 and the n − -type well region 21.

【0178】次に、露出させたp−型ウエル領域22、
n−型ウエル領域21の夫々の主面上にゲート絶縁膜2
6を形成する。ゲート絶縁膜26は、800〜1000
[℃]程度の高温度のスチーム酸化法で形成し、12〜1
8[nm]程度の膜厚で形成する。
Next, the exposed p-type well region 22,
A gate insulating film 2 is formed on each main surface of n-type well region 21.
6 is formed. The gate insulating film 26 has a thickness of 800 to 1000
Formed by steam oxidation at a high temperature of about [° C]
It is formed with a thickness of about 8 [nm].

【0179】(ゲート配線形成工程1)次に、ゲート絶
縁膜26上及び素子間分離用絶縁膜23上を含む基板全
面に多結晶珪素膜を形成する。多結晶珪素膜は、CVD
法で堆積させ、200〜300[nm]程度の膜厚で形成
する。多結晶珪素膜には、熱拡散法により、抵抗値を低
減するn型不純物例えばPが導入されている。この後、
多結晶珪素膜の表面上に図示しない酸化珪素膜を熱酸化
法により形成する。この多結晶珪素膜は製造工程におけ
る第1層目のゲート配線形成工程によって形成される。
(Gate Wiring Forming Step 1) Next, a polycrystalline silicon film is formed on the entire surface of the substrate including the gate insulating film 26 and the inter-element isolation insulating film 23. Polycrystalline silicon film is CVD
It is deposited by a method and formed to a thickness of about 200 to 300 [nm]. An n-type impurity such as P for reducing the resistance value is introduced into the polycrystalline silicon film by a thermal diffusion method. After this,
A silicon oxide film (not shown) is formed on the surface of the polycrystalline silicon film by a thermal oxidation method. This polycrystalline silicon film is formed in a first-layer gate wiring forming step in the manufacturing process.

【0180】次に、前記多結晶珪素膜上の全面に層間絶
縁膜28を形成する。この層間絶縁膜28は無機シラン
ガス及び酸化窒素ガスをソースガスとするCVD法で形
成する。層間絶縁膜28は例えば240〜350[nm]
程度の膜厚で形成する。
Next, an interlayer insulating film 28 is formed on the entire surface of the polycrystalline silicon film. This interlayer insulating film 28 is formed by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas. The interlayer insulating film 28 is, for example, 240 to 350 [nm].
It is formed with a film thickness of about

【0181】次に、図30に示すように、図示しないエ
ッチングマスクを用い、前記層間絶縁膜28、多結晶珪
素膜の夫々を順次エッチングし、ゲート電極27及びワ
ード線(WL)27を形成する。また、ゲート電極27、
ワード線27の夫々の上部には層間絶縁膜28を残存さ
せておく。前記エッチングは異方性エッチングで行う。
Next, as shown in FIG. 30, the interlayer insulating film 28 and the polycrystalline silicon film are sequentially etched using an etching mask (not shown) to form a gate electrode 27 and a word line (WL) 27. . Also, the gate electrode 27,
An interlayer insulating film 28 is left over each of the word lines 27. The etching is performed by anisotropic etching.

【0182】(低濃度の半導体領域形成工程)次に、不
純物導入に起因する汚染を低減するために、基板全面に
酸化珪素膜(符号を付けない)を形成する。この酸化珪素
膜は前記エッチングで露出されたp−型ウエル領域2
2、n−型ウエル領域21の夫々の主面上やゲート電極
27、ワード線27の夫々の側壁に形成される。酸化珪
素膜は、例えば850〜950[℃]程度の高温度の酸素
ガス雰囲気中で形成され、10〜20[nm]程度の膜厚
で形成される。
(Step of Forming Low Concentration Semiconductor Region) Next, in order to reduce contamination due to impurity introduction, a silicon oxide film (not denoted by a reference numeral) is formed on the entire surface of the substrate. This silicon oxide film is formed in the p-type well region 2 exposed by the etching.
2, formed on each main surface of the n − -type well region 21 and on each side wall of the gate electrode 27 and the word line 27. The silicon oxide film is formed in a high-temperature oxygen gas atmosphere of, for example, about 850 to 950 [° C.] and has a thickness of about 10 to 20 [nm].

【0183】次に、素子間分離用絶縁膜23及び層間絶
縁膜28(及びゲート電極27)を不純物導入マスクとし
て用い、メモリセルアレイ11E、nチャネルMISF
ETQnの夫々の形成領域において、p−型ウエル領域
22の主面部にn型不純物29nを導入する。n型不純
物29nはゲート電極27に対して自己整合で導入され
る。n型不純物29nは、例えば1013[atoms/cm2]
程度の不純物濃度のP(又はAs)を用い、30〜50
[KeV]程度のエネルギのイオン打込法で導入する。図
示しないが、このn型不純物29nの導入の際にはpチ
ャネルMISFETQpの形成領域は不純物導入マスク
(例えばフォトレジスト膜)で覆われている。
Next, the memory cell array 11E and the n-channel MISF are formed by using the element isolation insulating film 23 and the interlayer insulating film 28 (and the gate electrode 27) as an impurity introduction mask.
In each formation region of the ETQn, an n-type impurity 29n is introduced into the main surface of the p − -type well region 22. N-type impurity 29n is introduced in self-alignment with gate electrode 27. The n-type impurity 29n is, for example, 10 13 [atoms / cm 2 ]
Using P (or As) with an impurity concentration of about 30 to 50
It is introduced by an ion implantation method with energy of about [KeV]. Although not shown, when the n-type impurity 29n is introduced, the formation region of the p-channel MISFET Qp is covered with an impurity introduction mask (for example, a photoresist film).

【0184】次に、図31に示すように、素子間分離用
絶縁膜23及び層間絶縁膜28(及びゲート電極27)を
不純物導入マスクとして用い、pチャネルMISFET
Qpの形成領域において、n−型ウエル領域21の主面
部にp型不純物30pを導入する。p型不純物30pは
ゲート電極27に対して自己整合で導入される。p型不
純物30pは、例えば1012[atoms/cm2]程度の不純
物濃度のB(又はBF2)を用い、20〜30[KeV]程
度のエネルギのイオン打込法で導入する。図示しない
が、p型不純物30pの導入の際にはメモリセルアレイ
11E、nチャネルMISFETQnの夫々の形成領域
は不純物導入マスク(フォトレジスト膜)で覆れている。
Next, as shown in FIG. 31, the p-channel MISFET is formed by using the element isolation insulating film 23 and the interlayer insulating film 28 (and the gate electrode 27) as an impurity introduction mask.
In the Qp formation region, a p-type impurity 30p is introduced into the main surface of the n − -type well region 21. The p-type impurity 30p is introduced in self-alignment with the gate electrode 27. The p-type impurity 30p is, for example, B (or BF 2 ) having an impurity concentration of about 10 12 [atoms / cm 2 ] and is introduced by ion implantation at an energy of about 20 to 30 [Kev]. Although not shown, when the p-type impurity 30p is introduced, the respective formation regions of the memory cell array 11E and the n-channel MISFET Qn are covered with an impurity introduction mask (photoresist film).

【0185】(高濃度の半導体領域形成工程1)次に、
前記ゲート電極27、ワード線27、それらの上層の層
間絶縁膜28の夫々の側壁にサイドウォールスペーサ3
1を形成する。サイドウォールスペーサ31は、酸化珪
素膜を堆積し、この酸化珪素膜を堆積した膜厚に相当す
る分、RIE等の異方性エッチングを施すことにより形
成することができる。サイドウォールスペーサ31の酸
化珪素膜は前記層間絶縁膜28と同一膜質を有する、無
機シランガス及び酸化窒素ガスをソースガスとするCV
D法で形成する。この酸化珪素膜は例えば130〜18
0[nm]程度の膜厚で形成する。サイドウォールスペー
サ31のゲート長方向(チャネル長方向)の長さは約15
0[nm]程度で形成される。
(High concentration semiconductor region forming step 1)
Sidewall spacers 3 are provided on the respective side walls of the gate electrode 27, the word line 27, and the interlayer insulating film 28 thereon.
Form one. The side wall spacer 31 can be formed by depositing a silicon oxide film and performing anisotropic etching such as RIE by an amount corresponding to the thickness of the deposited silicon oxide film. The silicon oxide film of the sidewall spacer 31 has the same film quality as that of the interlayer insulating film 28, and has a CV having an inorganic silane gas and a nitrogen oxide gas as a source gas.
Formed by method D. This silicon oxide film is, for example, 130 to 18
It is formed with a thickness of about 0 [nm]. The length of the side wall spacer 31 in the gate length direction (channel length direction) is about 15
It is formed at about 0 [nm].

【0186】次に、周辺回路のnチャネルMISFET
Qnの形成領域において、図32に示すように、n型不
純物32nを導入する。n型不純物32nの導入に際し
ては主にサイドウォールスペーサ31を不純物導入マス
クとして用いて行う。また、nチャネルMISFETQ
nの形成領域以外の領域、つまり、メモリセルアレイ1
1E、pチャネルMISFETQpの夫々の形成領域
は、前記n型不純物32nの導入に際しては図示しない
不純物導入マスク(フォトレジスト膜)で覆われる。前記
n型不純物32nは、例えば1015[atoms/cm2]程度
の不純物濃度のAs(又はP)を用い、70〜90[Ke
V]程度のエネルギのイオン打込法で導入する。
Next, the n-channel MISFET of the peripheral circuit
As shown in FIG. 32, an n-type impurity 32n is introduced into the formation region of Qn. The introduction of the n-type impurity 32n is mainly performed using the sidewall spacer 31 as an impurity introduction mask. Also, an n-channel MISFET Q
n region other than the formation region, that is, the memory cell array 1
1E, the respective formation regions of the p-channel MISFET Qp are covered with an impurity introduction mask (photoresist film) (not shown) when introducing the n-type impurity 32n. As the n-type impurity 32n, for example, As (or P) having an impurity concentration of about 10 15 [atoms / cm 2 ] is used, and 70 to 90 [Ke] is used.
[V] is introduced by ion implantation.

【0187】次に、図33に示すように、熱処理を施
し、前述のn型不純物29n、n型不純物32n、p型
不純物30pの夫々に引き伸し拡散を施し、n型半導体
領域29、n+型半導体領域32、p型半導体領域30
の夫々を形成する。前記熱処理は例えば900〜100
0[℃]程度の高温度で20〜40[分]程度行う。前記n
型半導体領域29を形成することにより、メモリセルM
のLDD構造のメモリセル選択用MISFETQsが完
成する。また、n型半導体領域29及びn+型半導体領
域32を形成することにより、LDD構造のnチャネル
MISFETQnが完成する。このnチャネルMISF
ETQnは、DRAM1の周辺回路(低電圧用)及び入出
力段回路(高電圧用)で使用される。また、pチャネルM
ISFETQpのLDD構造を構成するp型半導体領域
30は完成するが、p+型半導体領域39はメモリセル
Mの完成後に形成されるので、pチャネルMISFET
Qpは後工程で完成される。
Next, as shown in FIG. 33, a heat treatment is performed to extend and diffuse each of the above-described n-type impurities 29n, n-type impurities 32n and p-type impurities 30p. Semiconductor region 32, p-type semiconductor region 30
To form each. The heat treatment is, for example, 900 to 100.
This is performed at a high temperature of about 0 ° C. for about 20 to 40 minutes. The n
By forming the type semiconductor region 29, the memory cell M
MISFET Qs for selecting a memory cell having the LDD structure is completed. Further, by forming the n-type semiconductor region 29 and the n + -type semiconductor region 32, the n-channel MISFET Qn having the LDD structure is completed. This n-channel MISF
The ETQn is used in a peripheral circuit (for low voltage) and an input / output stage circuit (for high voltage) of the DRAM 1. Also, the p-channel M
Although the p-type semiconductor region 30 constituting the LDD structure of the ISFET Qp is completed, the p-type semiconductor region 39 is formed after the completion of the memory cell M.
Qp is completed in a later step.

【0188】このように、(13−7)入出力段回路とし
て使用される高電圧用のLDD構造のnチャネルMIS
FETQn、周辺回路として使用される低電圧用のLD
D構造のnチャネルMISFETQnの夫々を有するD
RAM1において、p−型ウエル領域22の夫々異なる
活性領域の主面に前記高電圧用nチャネルMISFET
Qn、低電圧用nチャネルMISFETQnの夫々のゲ
ート絶縁膜26及びゲート電極27を同一製造工程で形
成する工程と、前記p−型ウエル領域22の夫々の活性
領域の主面部に前記高電圧用nチャネルMISFETQ
n、低電圧用nチャネルMISFETQnの夫々のゲー
ト電極27に対して自己整合でLDD構造を形成する低
不純物濃度のn型半導体領域29を同一製造工程で形成
する工程と、前記高電圧用nチャネルMISFETQ
n、低電圧用nチャネルMISFETQnの夫々のゲー
ト電極27の側壁にサイドウォールスペーサ31を同一
製造工程で形成する工程と、前記p−型ウエル領域22
の活性領域の前記高電圧用nチャネルMISFETQ
n、低電圧用nチャネルMISFETQnの夫々の主面
部にサイドウォールスペーサ31に対して自己整合で高
不純物濃度のn+型半導体領域32を形成する工程とを
備える。この構成により、前記高電圧用nチャネルMI
SFETQn、低電圧用nチャネルMISFETQnの
夫々の形成工程をすべて兼用し、特に夫々のサイドウォ
ールスペーサ31を同一製造工程で形成することができ
るので、DRAM1の製造工程数を低減することができ
る。
As described above, (13-7) the n-channel MIS having the LDD structure for the high voltage used as the input / output stage circuit.
FET Qn, LD for low voltage used as peripheral circuit
D having each of n-channel MISFETs Qn having a D structure
In the RAM 1, the high-voltage n-channel MISFET is provided on the main surface of each of the different active regions of the p − -type well region 22.
Forming the respective gate insulating films 26 and the gate electrodes 27 of the Qn and low-voltage n-channel MISFETs Qn in the same manufacturing process; Channel MISFETQ
forming a low impurity concentration n-type semiconductor region 29 for forming an LDD structure in a self-alignment manner with each of the gate electrodes 27 of the n-channel MISFET Qn for low voltage in the same manufacturing process; MISFETQ
forming a sidewall spacer 31 on the side wall of each gate electrode 27 of the n-channel MISFET Qn for low voltage and n-type in the same manufacturing process;
High-voltage n-channel MISFET Q in the active region of
forming a high impurity concentration n + -type semiconductor region 32 in self-alignment with the sidewall spacer 31 on each main surface of the n-channel MISFET Qn for low voltage. With this configuration, the high-voltage n-channel MI
Since all the steps of forming the SFET Qn and the low-voltage n-channel MISFET Qn are shared, and in particular the respective sidewall spacers 31 can be formed in the same manufacturing step, the number of manufacturing steps of the DRAM 1 can be reduced.

【0189】(層間絶縁膜形成工程1)次に、前記層間
絶縁膜28上、サイドウォールスペーサ31上等を含む
基板全面に層間絶縁膜33を形成する。この層間絶縁膜
33はスタックド構造の情報蓄積用容量素子Cの夫々の
電極層を加工する際のエッチングストッパ層として使用
されている。また、層間絶縁膜33はスタックド構造の
情報蓄積用容量素子Cの下層電極層(35)とメモリセル
選択用MISFETQsのゲート電極27、ワード線2
7の夫々とを電気的に分離するために形成されている。
また、層間絶縁膜33はpチャネルMISFETQpの
サイドウォールスペーサ31の膜厚を厚くするように構
成されている。層間絶縁膜33は主に上層導電層の加工
時のオーバエッチングによる削れ量、洗浄工程での削れ
量等を見込んだ膜厚で形成されている。層間絶縁膜33
は無機シランガス及び酸化窒素ガスをソースガスとする
CVD法で堆積した酸化珪素膜で形成されている。つま
り、この層間絶縁膜33は、スタックド構造の情報蓄積
用容量素子Cの誘電体膜(36)や下地の層間絶縁膜28
との間に線膨張係数差に基づき発生するストレスを低減
することができる。層間絶縁膜33は例えば130〜1
80[nm]程度の膜厚で形成する。
(Interlayer Insulating Film Forming Step 1) Next, an interlayer insulating film 33 is formed on the entire surface of the substrate including the interlayer insulating film 28, the sidewall spacers 31 and the like. The interlayer insulating film 33 is used as an etching stopper layer when processing each electrode layer of the information storage capacitor C having a stacked structure. The interlayer insulating film 33 includes a lower electrode layer (35) of the information storage capacitor C having a stacked structure, the gate electrode 27 of the memory cell selection MISFET Qs, and the word line 2.
7 are formed to electrically isolate each of them.
The interlayer insulating film 33 is configured to increase the thickness of the sidewall spacer 31 of the p-channel MISFET Qp. The interlayer insulating film 33 is formed with a film thickness that mainly takes into account the amount of shaving due to over-etching during processing of the upper conductive layer, the amount of shaving in the cleaning step, and the like. Interlayer insulating film 33
Is formed of a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas. That is, the interlayer insulating film 33 is formed of the dielectric film (36) of the stacked information storage capacitor C or the underlying interlayer insulating film 28.
Can be reduced due to the difference in linear expansion coefficient between the two. The interlayer insulating film 33 is, for example, 130 to 1
It is formed with a thickness of about 80 [nm].

【0190】次に、図34に示すように、メモリセルM
形成領域のメモリセル選択用MISFETQsの他方の
n型半導体領域(情報蓄積用容量素子Cの下層電極層3
5が接続される側)29上の前記層間絶縁膜33を除去
し、接続孔33A、35の夫々を形成する。この接続孔
35は、前記サイドウォールスペーサ31、層間絶縁膜
33をエッチングした時にサイドウォールスペーサ31
の側壁に堆積されるサイドウォールスペーサ33Bの夫
々で規定された領域内において形成されている。
Next, as shown in FIG.
The other n-type semiconductor region (the lower electrode layer 3 of the information storage capacitor C) of the memory cell selection MISFET Qs in the formation region
The above-mentioned interlayer insulating film 33 on the side (to which 5 is connected) 29 is removed, and connection holes 33A and 35 are respectively formed. The connection hole 35 is formed when the sidewall spacer 31 and the interlayer insulating film 33 are etched.
Is formed in a region defined by each of the side wall spacers 33B deposited on the side wall.

【0191】(ゲート配線形成工程2)次に、図35に
示すように、層間絶縁膜33上を含む基板全面に、メモ
リセルMのスタックド構造の情報蓄積用容量素子Cの下
層電極層35を形成する多結晶珪素膜を堆積する。この
多結晶珪素膜は前記接続孔33A、35の夫々を通して
一部をn型半導体領域29に接続させている。この多結
晶珪素膜は、CVD法で堆積させた多結晶珪素膜で形成
し、150〜240[nm]程度の膜厚で形成する。この
多結晶珪素膜は製造工程における第2層目のゲート配線
形成工程により形成されている。多結晶珪素膜には堆積
後に抵抗値を低減するn型不純物例えばPを熱拡散法に
より導入する。このn型不純物は前記接続孔35を通し
てn型半導体領域29に多量にn型不純物が拡散され、
このn型不純物がメモリセル選択用MISFETQsの
チャネル形成領域側に拡散しないように、n型不純物は
低不純物濃度で導入される。
(Gate Wiring Forming Step 2) Next, as shown in FIG. 35, the lower electrode layer 35 of the information storage capacitor C having the stacked structure of the memory cell M is formed on the entire surface of the substrate including the interlayer insulating film 33. A polycrystalline silicon film to be formed is deposited. A part of the polycrystalline silicon film is connected to the n-type semiconductor region 29 through each of the connection holes 33A and 35. This polycrystalline silicon film is formed of a polycrystalline silicon film deposited by a CVD method, and has a thickness of about 150 to 240 [nm]. This polycrystalline silicon film is formed by the second-layer gate wiring forming step in the manufacturing process. After the deposition, an n-type impurity such as P for reducing the resistance value is introduced into the polycrystalline silicon film by a thermal diffusion method. The n-type impurity is diffused in a large amount into the n-type semiconductor region 29 through the connection hole 35,
The n-type impurity is introduced at a low impurity concentration so that the n-type impurity does not diffuse into the channel formation region of the memory cell selecting MISFET Qs.

【0192】次に、図36に示すように、前記多結晶珪
素膜上にさらに多結晶珪素膜を堆積する。この上層の多
結晶珪素膜は、CVD法で堆積させ、240〜350
[nm]程度の膜厚で形成する。上層の多結晶珪素膜には
堆積後に抵抗値を低減するn型不純物例えばPを熱拡散
法により導入する。このn型不純物はスタックド構造の
情報蓄積用容量素子Cの電荷蓄積量を向上するために高
不純物濃度で導入される。
Next, as shown in FIG. 36, a polycrystalline silicon film is further deposited on the polycrystalline silicon film. The upper polycrystalline silicon film is deposited by a CVD method,
It is formed with a thickness of about [nm]. After deposition, an n-type impurity such as P for reducing the resistance value is introduced into the upper polycrystalline silicon film by a thermal diffusion method. This n-type impurity is introduced at a high impurity concentration in order to improve the amount of charge stored in the information storage capacitor C having a stacked structure.

【0193】次に、図37に示すように、フォトリソグ
ラフィ技術及び異方性エッチング技術を用いて前記2層
構造の多結晶珪素膜を所定の形状に加工し、下層電極層
35を形成する。前記フォトリソグラフィ技術はエッチ
ングマスク(フォトレジスト膜)の形成工程及びエッチン
グマスクの除去工程を含む。前記エッチングマスクの除
去工程は例えばフレオンガス(CHF3)と酸素ガス(O2)
との混合ガスによるダウンストリームのプラズマ処理で
行われている。この処理はDRAM1の各素子のダメー
ジを低減する効果がある。
Next, as shown in FIG. 37, the lower-layer electrode layer 35 is formed by processing the polycrystalline silicon film having the two-layer structure into a predetermined shape by using a photolithography technique and an anisotropic etching technique. The photolithography technique includes a step of forming an etching mask (photoresist film) and a step of removing the etching mask. The step of removing the etching mask includes, for example, freon gas (CHF 3 ) and oxygen gas (O 2 ).
And a downstream plasma process using a mixed gas of This process has the effect of reducing damage to each element of the DRAM 1.

【0194】このように、(19−11)メモリセル選択
用MISFETQsとスタックド構造の情報蓄積用容量
素子Cとの直列回路でメモリセルMを構成するDRAM
1において、前記スタックド構造の情報蓄積用容量素子
Cの前記メモリセル選択用MISFETQsの一方のn
型半導体領域29に接続される側の下層電極層35を、
低濃度に抵抗値を低減するn型不純物を導入した多結晶
珪素膜、高濃度に前記n型不純物を導入した多結晶珪素
膜の夫々を順次積層した複合膜で構成する。この構成に
より、前記メモリセルMのスタックド構造の情報蓄積用
容量素子Cの下層電極層35の膜厚を厚くし、この膜厚
を厚くした分、下層電極層35の側壁の面積を高さ方向
に稼ぐことができるので、電荷蓄積量を増加し、メモリ
セルMの面積を縮小して集積度を向上することができ、
前記下層電極層35の上層の多結晶珪素膜の表面の不純
物濃度が高いので、電荷蓄積量を増加し、同様に集積度
をより向上することができ、しかも、前記下層電極層3
5の多結晶珪素膜の不純物濃度を低くし、メモリセル選
択用MISFETQsの一方のn型半導体領域29側へ
のn型不純物の拡散量を低減することができるので、メ
モリセル選択用MISFETQsの短チャネル効果を低
減し、メモリセルMの面積を縮小してさらに集積度を向
上することができる。なお、本発明は、3層又はそれ以
上の層数で多結晶珪素膜を堆積し、夫々の多結晶珪素膜
にn型不純物を導入し、前記下層電極層35を形成して
もよい。
As described above, (19-11) the DRAM in which the memory cell M is constituted by the series circuit of the memory cell selecting MISFET Qs and the information storage capacitor C having the stacked structure
1, one of n of the memory cell selecting MISFETs Qs of the information storage capacitor C having the stacked structure
The lower electrode layer 35 connected to the type semiconductor region 29 is
It is composed of a composite film in which a polycrystalline silicon film into which an n-type impurity for reducing the resistance value is introduced at a low concentration and a polycrystalline silicon film into which the n-type impurity is introduced at a high concentration are sequentially laminated. With this configuration, the thickness of the lower electrode layer 35 of the information storage capacitor C having the stacked structure of the memory cell M is increased, and the area of the side wall of the lower electrode layer 35 is increased in the height direction by the increased thickness. Therefore, the amount of charge accumulation can be increased, the area of the memory cell M can be reduced, and the degree of integration can be improved.
Since the impurity concentration on the surface of the upper polycrystalline silicon film of the lower electrode layer 35 is high, the charge storage amount can be increased and the degree of integration can be further improved.
5 can reduce the impurity concentration of the polycrystalline silicon film and reduce the amount of diffusion of the n-type impurity into one n-type semiconductor region 29 of the memory cell selecting MISFET Qs. The channel effect can be reduced, the area of the memory cell M can be reduced, and the degree of integration can be further improved. In the present invention, the lower electrode layer 35 may be formed by depositing a polycrystalline silicon film in three or more layers and introducing an n-type impurity into each polycrystalline silicon film.

【0195】また、(21−12)メモリセル選択用MI
SFETQsとスタックド構造の情報蓄積用容量素子C
との直列回路でメモリセルMを構成するDRAM1にお
いて、p−型ウエル領域22の前記メモリセル選択用M
ISFETQs上を含む層間絶縁膜33の全面に第1層
目の多結晶珪素膜を堆積した後、この第1層目の多結晶
珪素膜に抵抗値を低減するn型不純物を導入する工程
と、この第1層目の多結晶珪素膜上の全面に第2層目の
多結晶珪素膜を堆積後、この第2層目の多結晶珪素膜に
抵抗値を低減するn型不純物を導入する工程と、この第
2層目の多結晶珪素膜、前記第1層目の多結晶珪素膜の
夫々に異方性エッチングにより所定のパターンニングを
順次施し、前記スタックド構造の情報蓄積用容量素子C
の下層電極層35を形成する工程とを備える。この構成
により、前記スタックド構造の情報蓄積用容量素子Cの
下層電極層35の膜厚を厚くしても、それに導入された
不純物量がある程度確保されかつ均一化されているの
で、異方性エッチングの異方性を高めかつエッチング速
度を速くすることができる。異方性エッチングの異方性
の向上は、下層電極層35のサイズを縮小することがで
きるので、メモリセルMの面積を縮小し、DRAM1の
集積度を向上することができる。
(21-12) MI for memory cell selection
SFET Qs and stacked structure information storage capacitor C
In the DRAM 1 in which the memory cell M is formed by a series circuit with the memory cell selection M in the p − -type well region 22,
Depositing a first-layer polycrystalline silicon film over the entire surface of the interlayer insulating film 33 including over the ISFET Qs, and then introducing an n-type impurity for reducing the resistance value into the first-layer polycrystalline silicon film; A step of depositing a second-layer polycrystalline silicon film over the entire surface of the first-layer polycrystalline silicon film and then introducing an n-type impurity for reducing the resistance value into the second-layer polycrystalline silicon film Then, predetermined patterning is sequentially performed on each of the second-layer polycrystalline silicon film and the first-layer polycrystalline silicon film by anisotropic etching to obtain the information storage capacitor C having the stacked structure.
And forming a lower electrode layer 35. With this configuration, even when the thickness of the lower electrode layer 35 of the information storage capacitor C having the stacked structure is increased, the amount of impurities introduced therein is ensured to some extent and is made uniform. Can be increased and the etching rate can be increased. Since the improvement of the anisotropy of the anisotropic etching can reduce the size of the lower electrode layer 35, the area of the memory cell M can be reduced and the integration degree of the DRAM 1 can be improved.

【0196】(誘電体膜形成工程)次に、図38に示す
ように、前記メモリセルMのスタックド構造の情報蓄積
用容量素子Cの下層電極層35上を含む基板全面に誘電
体膜36を形成する。誘電体膜36は、前述したように
基本的には窒化珪素膜36A、酸化珪素膜36Bの夫々
を順次積層した2層構造で形成されている。下層の窒化
珪素膜36Aは、例えばCVD法で堆積させ、5〜7
[nm]程度の膜厚で形成する。この窒化珪素膜36Aを
形成する際には酸素の巻き込みをできる限り抑える。通
常の生産レベルで下層電極層35(多結晶珪素膜)上に
窒化珪素膜36Aを形成した場合には、極微量の酸素の
巻き込みが生じるので、下層電極層35と窒化珪素膜3
6Aとの間に自然酸化珪素膜(図示しない)が形成され
る。
(Dielectric Film Forming Step) Next, as shown in FIG. 38, a dielectric film 36 is formed on the entire surface of the substrate including the lower electrode layer 35 of the information storage capacitor C having the stacked structure of the memory cell M. Form. As described above, the dielectric film 36 is basically formed in a two-layer structure in which the silicon nitride film 36A and the silicon oxide film 36B are sequentially laminated. The lower silicon nitride film 36A is deposited by, for example, a CVD method, and
It is formed with a thickness of about [nm]. When the silicon nitride film 36A is formed, entrapment of oxygen is suppressed as much as possible. When the silicon nitride film 36A is formed on the lower electrode layer 35 (polycrystalline silicon film) at a normal production level, a trace amount of oxygen is involved, so that the lower electrode layer 35 and the silicon nitride film 3 are formed.
6A, a natural silicon oxide film (not shown) is formed.

【0197】前記誘電体膜36の上層の酸化珪素膜36
Bは、下層の窒化珪素膜36Aに高圧酸化法を施して形
成し、1〜3[nm]程度の膜厚で形成する。酸化珪素膜
36Bを形成すると下層の窒化珪素膜36Aは若干膜厚
が減少する。酸化珪素膜36Bは基本的には1.5〜1
0[toll]の高圧及び800〜1000[℃]程度の高温度
の酸素ガス雰囲気中において形成する。本実施例におい
ては、酸化珪素膜36Bは、3〜3.8[toll]の高圧及
び酸化の際の酸素流量(ソースガス)を2[l/min]、水素
流量(ソースガス)を3〜8[l/min]として形成してい
る。高圧酸化法で形成される酸化珪素膜36Bは常圧
(1[toll])で形成される酸化珪素膜に比べて短時間で所
望の膜厚に形成することができる。つまり、高圧酸化法
は、高温度の熱処理時間を短縮することができるので、
メモリセル選択用MISFETQs等のソース領域及び
ドレイン領域のpn接合深さを浅くすることができる。
前記自然酸化珪素膜は酸素の巻き込みを低減すれば薄く
することができる。また、製造工程数は増加するが、自
然酸化珪素膜を窒化し、誘電体膜36を2層構造で形成
することもできる。
The silicon oxide film 36 above the dielectric film 36
B is formed by applying a high-pressure oxidation method to the lower silicon nitride film 36A, and is formed to a thickness of about 1 to 3 [nm]. When the silicon oxide film 36B is formed, the thickness of the lower silicon nitride film 36A is slightly reduced. The silicon oxide film 36B is basically 1.5 to 1
It is formed in an oxygen gas atmosphere at a high pressure of 0 [toll] and a high temperature of about 800 to 1000 [° C.]. In this embodiment, the silicon oxide film 36B has a high pressure of 3 to 3.8 [toll] and an oxygen flow rate (source gas) of 2 [l / min] and a hydrogen flow rate (source gas) of 3 to 3 [toll] during oxidation. It is formed as 8 [l / min]. The silicon oxide film 36B formed by the high pressure oxidation method has a normal pressure.
A desired film thickness can be formed in a shorter time than a silicon oxide film formed by (1 [toll]). In other words, the high-pressure oxidation method can shorten the time of heat treatment at a high temperature,
The pn junction depth of the source region and the drain region of the memory cell selection MISFET Qs and the like can be reduced.
The natural silicon oxide film can be made thinner by reducing entrapment of oxygen. Although the number of manufacturing steps increases, the natural silicon oxide film can be nitrided to form the dielectric film 36 in a two-layer structure.

【0198】(ゲート配線形成工程3)次に、前記誘電
体膜36上を含む基板全面に多結晶珪素膜を堆積する。
多結晶珪素膜は、CVD法で堆積させ、80〜120
[nm]程度の膜厚で形成する。この多結晶珪素膜は製造
工程における第3層目のゲート配線形成工程により形成
される。この後、前記多結晶珪素膜に抵抗値を低減する
n型不純物例えばPを熱拡散法により導入する。
(Gate Wiring Forming Step 3) Next, a polycrystalline silicon film is deposited on the entire surface of the substrate including the dielectric film 36.
The polycrystalline silicon film is deposited by a CVD method,
It is formed with a thickness of about [nm]. This polycrystalline silicon film is formed in a third-layer gate wiring forming step in the manufacturing process. Thereafter, an n-type impurity such as P for reducing the resistance value is introduced into the polycrystalline silicon film by a thermal diffusion method.

【0199】次に、メモリセル選択用MISFETQs
の一方のn型半導体領域29と相補性データ線(50)と
の接続領域を除くメモリセルアレイ11Eの全面におい
て、前記多結晶珪素膜上にエッチングマスクを形成す
る。エッチングマスクは例えばフォトリソグラフィ技術
を使用したフォトレジスト膜で形成する。この後、図3
9に示すように、前記エッチングマスクを用い、前記多
結晶珪素膜、誘電体膜36の夫々に順次異方性エッチン
グを施し、上層電極層37を形成する。この上層電極層
37を形成することにより、スタックド構造の情報蓄積
用容量素子Cが略完成し、この結果、DRAM1のメモ
リセルMが完成する。このメモリセルMの完成後、前記
エッチングマスクは除去する。
Next, the memory cell selecting MISFET Qs
An etching mask is formed on the polycrystalline silicon film over the entire surface of the memory cell array 11E except for the connection region between the one n-type semiconductor region 29 and the complementary data line (50). The etching mask is formed of, for example, a photoresist film using a photolithography technique. After this, FIG.
As shown in FIG. 9, an upper electrode layer 37 is formed by sequentially performing anisotropic etching on each of the polycrystalline silicon film and the dielectric film 36 using the etching mask. By forming the upper electrode layer 37, the information storage capacitor C having a stacked structure is substantially completed, and as a result, the memory cell M of the DRAM 1 is completed. After the completion of the memory cell M, the etching mask is removed.

【0200】次に、図40に示すように、熱酸化処理を
施し、前記上層電極層37の表面上に絶縁膜(酸化珪素
膜)38を形成する。絶縁膜38を形成する工程は、前
記上層電極層37をパターンニングした際に、下地表面
(層間絶縁膜33の表面)に残存するエッチング残り(多
結晶珪素膜)を酸化する工程である。スタックド構造の
情報蓄積用容量素子Cは、メモリセル選択用MISFE
TQsの上層に2層の下層電極層35及び上層電極層3
7を堆積するので、段差形状が大きく、特に相補性デー
タ線(50)とメモリセルMとの接続部分の段差形状が大
きく、エッチング残りを生じ易い。このエッチング残り
は相補性データ線(50)と上層電極層37とを短絡させ
る。
Next, as shown in FIG. 40, an insulating film (silicon oxide film) 38 is formed on the surface of the upper electrode layer 37 by performing a thermal oxidation treatment. The step of forming the insulating film 38 is performed when the upper electrode layer 37 is patterned.
This is a step of oxidizing the etching residue (polycrystalline silicon film) remaining on (the surface of the interlayer insulating film 33). The information storage capacitive element C having a stacked structure includes a MISFE for selecting a memory cell.
Two lower electrode layers 35 and an upper electrode layer 3 are formed above the TQs.
Since 7 is deposited, the shape of the step is large, and particularly, the shape of the step at the connection portion between the complementary data line (50) and the memory cell M is large, and etching residue is likely to occur. This etching residue short-circuits the complementary data line (50) and the upper electrode layer 37.

【0201】このように、(22−13)一方のn型半導
体領域29が相補性データ線(50)に接続されたメモリ
セル選択用MISFETQsと、その上層に形成される
下層電極層35、誘電体膜36、上層電極層37の夫々
を順次積層したスタックド構造の情報蓄積用容量素子C
との直列回路でメモリセルMを構成するDRAM1にお
いて、前記メモリセルMの誘電体膜36上にCVD法で
多結晶珪素膜を堆積し、この多結晶珪素膜に異方性エッ
チングにより所定のパターンニングを施して前記上層電
極層37を形成する工程と、この上層電極層37の表面
上に熱酸化法による絶縁膜38(酸化珪素膜)を形成する
工程とを備える。この構成により、前記多結晶珪素膜の
パターンニング後に下地表面の段差部分に残存する多結
晶珪素膜のエッチング残りを、この後に行われる熱酸化
工程により酸化することができるので、前記上層電極層
37と相補性データ線(50)との短絡を防止し、製造上
の歩留りを向上することができる。
As described above, (22-13) the MISFET Qs for selecting the memory cell in which one n-type semiconductor region 29 is connected to the complementary data line (50), the lower electrode layer 35 formed on the Information storage capacitor C having a stacked structure in which a body film 36 and an upper electrode layer 37 are sequentially stacked.
In the DRAM 1 forming the memory cell M in a series circuit with the above, a polycrystalline silicon film is deposited on the dielectric film 36 of the memory cell M by the CVD method, and a predetermined pattern is formed on the polycrystalline silicon film by anisotropic etching. Forming the upper electrode layer 37 by performing the polishing, and forming an insulating film 38 (silicon oxide film) on the surface of the upper electrode layer 37 by a thermal oxidation method. With this configuration, the etching residue of the polycrystalline silicon film remaining on the stepped portion of the underlying surface after patterning of the polycrystalline silicon film can be oxidized by a thermal oxidation step performed thereafter. And the complementary data line (50) can be prevented from being short-circuited, and the production yield can be improved.

【0202】(高濃度の半導体領域形成工程2)次に、
前記周辺回路のpチャネルMISFETQpの形成領域
において、前述の工程で形成された層間絶縁膜33に異
方性エッチングを施し、図41に示すように、サイドウ
ォールスペーサ33Cを形成する。サイドウォールスペ
ーサ33Cは、前記サイドウォールスペーサ31の側壁
に形成され、前記ゲート電極27に対して自己整合で形
成される。サイドウォールスペーサ33Cは、pチャネ
ルMISFETQpのサイドウォールスペーサ31のゲ
ート長方向の寸法を長くするように形成されている。サ
イドウォールスペーサ31及び33Cの合計のゲート長
方向の寸法は前述のように約200[nm]程度で形成さ
れる。
(High concentration semiconductor region forming step 2)
In the region where the p-channel MISFET Qp of the peripheral circuit is formed, the interlayer insulating film 33 formed in the above-described process is subjected to anisotropic etching to form a sidewall spacer 33C as shown in FIG. The side wall spacer 33C is formed on the side wall of the side wall spacer 31 and is formed in self alignment with the gate electrode 27. The sidewall spacer 33C is formed so as to lengthen the dimension of the sidewall spacer 31 of the p-channel MISFET Qp in the gate length direction. The total dimension in the gate length direction of the side wall spacers 31 and 33C is about 200 [nm] as described above.

【0203】次に、前記スタックド構造の情報蓄積用容
量素子Cの上層電極層37上、nチャネルMISFET
Qn上、pチャネルMISFETQpの形成領域上の夫
々を含む基板全面に図示しない絶縁膜を形成する。この
絶縁膜は主に不純物導入の際の汚染防止膜として使用さ
れる。この絶縁膜は、例えば無機シランガス及び酸化窒
素ガスをソースガスとするCVD法で堆積させた酸化珪
素膜で形成し、約10[nm]程度の薄い膜厚で形成す
る。
Next, an n-channel MISFET is formed on the upper electrode layer 37 of the information storage capacitor C having the stacked structure.
An insulating film (not shown) is formed on the entire surface of the substrate including each of the p-channel MISFETs Qp and the formation region of Qn. This insulating film is mainly used as a contamination preventing film when introducing impurities. This insulating film is formed of, for example, a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas, and has a small thickness of about 10 [nm].

【0204】次に、周辺回路のpチャネルMISFET
Qpの形成領域において、図42に示すように、p型不
純物39pを導入する。p型不純物39pの導入に際し
ては主にサイドウォールスペーサ31及び33Cを不純
物導入マスクとして用いる。また、pチャネルMISF
ETQpの形成領域以外の領域つまりメモリセルアレイ
11E、nチャネルMISFETQnの夫々の形成領域
はp型不純物39pの導入に際しては図示しない不純物
導入マスク(フォトレジスト膜)で覆われる。前記p型
不純物39pは、例えば1015[atoms/cm2]程度の不
純物濃度のBF 2(又はB)を用い、50〜70[KeV]
程度のエネルギのイオン打込法で導入する。
Next, the p-channel MISFET of the peripheral circuit
In the formation region of Qp, as shown in FIG.
Pure substance 39p is introduced. In introducing p-type impurity 39p
The sidewall spacers 31 and 33C
Used as an object introduction mask. Also, p-channel MISF
Regions other than ETQp formation region, ie, memory cell array
11E, forming regions of n-channel MISFETs Qn
Are impurities not shown when p-type impurity 39p is introduced.
It is covered with an introduction mask (photoresist film). The p-type
The impurity 39p is, for example, 10Fifteen[atoms / cmTwo] Of the degree
Pure concentration BF Two(Or B) using 50 to 70 [Kev]
It is introduced by an ion implantation method of about energy.

【0205】この後、熱処理を施し、前述のp型不純物
39pに引き伸し拡散を施し、p+型半導体領域39を
形成する。前記熱処理は例えば900〜1000[℃]程
度の高温度で20〜40[分]程度行う。前記p+型半導
体領域39を形成することにより、LDD構造のpチャ
ネルMISFETQpが完成する。このpチャネルMI
SFETQpは、サイドウォールスペーサ33Cでサイ
ドウォールスペーサ31のゲート長方向の寸法を増加
し、かつメモリセルMのスタックド構造の情報蓄積用容
量素子Cを形成する熱処理(例えば誘電体膜36)を施し
た後に形成されている。つまり、pチャネルMISFE
TQpは、p+型半導体領域39のチャネル形成領域側
への拡散を低減し、短チャネル効果を低減することがで
きる。
Thereafter, a heat treatment is performed, and the above-mentioned p-type impurity 39p is stretched and diffused to form ap + -type semiconductor region 39. The heat treatment is performed at a high temperature of, for example, about 900 to 1000 [° C.] for about 20 to 40 [minutes]. By forming the p + type semiconductor region 39, a p-channel MISFET Qp having an LDD structure is completed. This p-channel MI
The SFET Qp is subjected to a heat treatment (for example, a dielectric film 36) for increasing the dimension of the sidewall spacer 31 in the gate length direction by the sidewall spacer 33C and forming the information storage capacitor C having the stacked structure of the memory cell M. It is formed later. That is, the p-channel MISFE
TQp can reduce the diffusion of the p + -type semiconductor region 39 toward the channel formation region, and can reduce the short channel effect.

【0206】このように、(17−9)メモリセル選択用
MISFETQsとスタックド構造の情報蓄積用容量素
子Cとの直列回路で構成されるメモリセルM、周辺回路
を構成するLDD構造の相補型MISFETの夫々を有
する、DRAM1において、前記メモリセルMのメモリ
セル選択用MISFET、前記周辺回路のnチャネルM
ISFETQn、pチャネルMISFETQpの夫々の
ゲート絶縁膜26、ゲート電極27の夫々を順次形成す
る工程と、このゲート電極27に対して自己整合で、前
記メモリセル選択用MISFETQs、nチャネルMI
SFETQn、pチャネルMISFETQpの夫々のL
DD構造を形成する低不純物濃度のn型半導体領域2
9、p型半導体領域30の夫々を形成する工程と、この
メモリセル選択用MISFETQs、nチャネルMIS
FETQn、pチャネルMISFETQpの夫々のゲー
ト電極27の側壁にサイドウォールスペーサ31を形成
する工程と、このサイドウォールスペーサ31に対して
自己整合で、前記nチャネルMISFETQnの高不純
物濃度のn+型半導体領域32を形成する工程と、前記
メモリセルMのスタックド構造の情報蓄積用容量素子C
を形成する工程と、前記pチャネルMISFETQpの
ゲート電極27の側壁に前記サイドウォールスペーサ3
1を介在させて前記ゲート電極27に対して自己整合で
サイドウォールスペーサ33Cを形成する工程と、この
サイドウォールスペーサ33Cに対して自己整合で、前
記pチャネルMISFETQpの高不純物濃度のp+型
半導体領域39を形成する工程とを備える。この構成に
より、前記nチャネルMISFETQnは、単層のサイ
ドウォールスペーサ31でLDD構造を形成する低不純
物濃度のn型半導体領域29のゲート長方向の寸法を規
定しているので、前記n型半導体領域29のゲート長方
向の寸法を短くすることができ、前記pチャネルMIS
FETQpは、複数層のサイドウォールスペーサ31、
33Cで高不純物濃度のp+型半導体領域39のチャネ
ル形成領域側への回り込み量を規定し、かつ前記メモリ
セルMのスタックド構造の情報蓄積用容量素子Cを形成
する熱処理を施した後に高不純物濃度のp+型半導体領
域39を形成しているので、前記p+型半導体領域39
のチャネル形成領域側への回り込み量をより低減するこ
とができる。
As described above, (17-9) the memory cell M constituted by the series circuit of the memory cell selecting MISFET Qs and the stacked information storage capacitance element C, and the LDD structure complementary MISFET constituting the peripheral circuit In the DRAM 1, the memory cell selecting MISFET of the memory cell M and the n-channel M of the peripheral circuit are provided.
A step of sequentially forming each of the gate insulating film 26 and the gate electrode 27 of the ISFET Qn and the p-channel MISFET Qp, and the memory cell selecting MISFET Qs and the n-channel MI
L of each of SFET Qn and p-channel MISFET Qp
Low impurity concentration n-type semiconductor region 2 forming DD structure
9, the step of forming each of the p-type semiconductor regions 30, and the memory cell selecting MISFET Qs and the n-channel MIS
Forming a sidewall spacer 31 on the side wall of each gate electrode 27 of each of the FET Qn and the p-channel MISFET Qp; Forming the memory cell M and the information storage capacitor C having a stacked structure of the memory cell M.
And forming the sidewall spacer 3 on the side wall of the gate electrode 27 of the p-channel MISFET Qp.
Forming a sidewall spacer 33C in a self-alignment manner with respect to the gate electrode 27 with the interposition of a p + type semiconductor region having a high impurity concentration of the p-channel MISFET Qp in a self-alignment manner with the sidewall spacer 33C. 39 is formed. With this configuration, the n-channel MISFET Qn defines the dimension in the gate length direction of the low impurity concentration n-type semiconductor region 29 forming the LDD structure with the single-layer sidewall spacer 31. 29 can be reduced in the gate length direction, and the p-channel MIS
The FET Qp includes a plurality of side wall spacers 31,
33C defines the amount of wraparound of the high impurity concentration p + type semiconductor region 39 toward the channel formation region side, and performs a heat treatment for forming the information storage capacitor C having the stacked structure of the memory cell M. Is formed, the p + type semiconductor region 39 is formed.
Can be further reduced to the channel formation region side.

【0207】また、(18−10)前記nチャネルMIS
FETQnの高不純物濃度のn+型半導体領域32を形
成する工程後、前記メモリセルMのスタックド構造の情
報蓄積用容量素子Cを形成する工程の前には層間絶縁膜
33を形成する工程を備え、この層間絶縁膜33を形成
した後、前記サイドウォールスペーサ33Cを前記層間
絶縁膜33を利用して形成する。この構成により、前記
サイドウォールスペーサ33Cを形成する工程の一部
(膜堆積工程)を前記層間絶縁膜33を形成する工程で兼
用することができるので、この工程を兼用した分、DR
AM1の製造工程数を低減することができる。
(18-10) The n-channel MIS
After the step of forming the n + -type semiconductor region 32 having a high impurity concentration of the FET Qn and before the step of forming the information storage capacitor C having the stacked structure of the memory cell M, a step of forming an interlayer insulating film 33 is provided. After the formation of the interlayer insulating film 33, the sidewall spacers 33C are formed using the interlayer insulating film 33. With this configuration, part of the process of forming the sidewall spacer 33C
Since the (film deposition step) can be used also in the step of forming the interlayer insulating film 33, the amount of the DR
The number of AM1 manufacturing steps can be reduced.

【0208】(層間絶縁膜形成工程2)次に、前記DR
AM1の各素子上を含む基板全面に層間絶縁膜40を積
層する。この層間絶縁膜40は例えば無機シランガス及
び酸化窒素ガスをソースガスとするCVD法で堆積させ
た酸化珪素膜で形成する。この層間絶縁膜40は例えば
250〜350[nm]程度の膜厚で形成する。
(Interlayer insulating film forming step 2) Next, the DR
An interlayer insulating film 40 is stacked on the entire surface of the substrate including each element of AM1. The interlayer insulating film 40 is formed of, for example, a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas. The interlayer insulating film 40 is formed with a thickness of, for example, about 250 to 350 [nm].

【0209】次に、図43に示すように、メモリセルM
と相補性データ線(50)との接続部分において、前記層
間絶縁膜40に接続孔40Aを形成する。この接続孔4
0Aは例えば異方性エッチングで形成する。
Next, as shown in FIG.
A connection hole 40A is formed in the interlayer insulating film 40 at a connection portion between the semiconductor device and the complementary data line (50). This connection hole 4
0A is formed by, for example, anisotropic etching.

【0210】(ゲート配線形成工程4)次に、図44に
示すように、前記接続孔40Aを通してメモリセル選択
用MISFETQsの一方のn型半導体領域29と接続
し、層間絶縁膜40上を延在する相補性データ線(DL)
50を形成する。相補性データ線50は製造工程におけ
る第4層目のゲート配線形成工程で形成する。相補性デ
ータ線50は多結晶珪素膜50A、遷移金属シリサイド
膜50Bの夫々を順次積層した2層構造で構成されてい
る。下層の多結晶珪素膜50Aは、CVD法で堆積し、
例えば80〜120[nm]程度の膜厚で形成され。この
多結晶珪素膜50Aには堆積後にn型不純物例えばPを
熱酸化法により導入している。CVD法で堆積される多
結晶珪素膜50Aは、接続孔40Aの段差形状部分での
ステップカバレッジが高いので、相補性データ線50の
断線不良を低減することができる。
(Gate Wiring Forming Step 4) Next, as shown in FIG. 44, it is connected to one n-type semiconductor region 29 of the memory cell selecting MISFET Qs through the connection hole 40A and extends over the interlayer insulating film 40. Complementary data line (DL)
Form 50. The complementary data lines 50 are formed in a fourth-layer gate wiring forming step in the manufacturing process. The complementary data line 50 has a two-layer structure in which a polycrystalline silicon film 50A and a transition metal silicide film 50B are sequentially stacked. The lower polycrystalline silicon film 50A is deposited by a CVD method,
For example, it is formed with a film thickness of about 80 to 120 [nm]. After the deposition, an n-type impurity such as P is introduced into the polycrystalline silicon film 50A by a thermal oxidation method. Since the polycrystalline silicon film 50A deposited by the CVD method has high step coverage at the step-shaped portion of the connection hole 40A, the disconnection failure of the complementary data line 50 can be reduced.

【0211】また、前記メモリセルMと相補性データ線
50との接続部分において、前記接続孔40Aと素子間
分離用絶縁膜23との製造工程におけるマスク合せずれ
により、素子間分離用絶縁膜23上に接続孔40Aの一
部がかかった場合、多結晶珪素膜50Aからp−型ウエ
ル領域22の主面部にn型不純物を拡散し、n型半導体
領域29と相補性データ線50とを接続できるので、相
補性データ線50とp−型ウエル領域22との短絡を防
止することができる。前記上層の遷移金属シリサイド膜
50Bは、例えばCVD法で堆積したWSi2膜で形成
し、100〜200[nm]程度の膜厚で形成する。この
上層の遷移金属シリサイド膜50Bは、主に相補性デー
タ線50Bの抵抗値を低減し、情報書込み動作、情報読
出し動作の夫々の速度を速くするために形成されてい
る。また、上層の遷移金属シリサイド膜50Bは、CV
D法で堆積されるので、相補性データ線50の断線不良
をより低減することができる。
Further, at the connection portion between the memory cell M and the complementary data line 50, the mask is misaligned in the manufacturing process of the connection hole 40A and the inter-element isolation insulating film 23, so that the inter-element isolation insulating film 23 is removed. When a part of the connection hole 40A is formed above, the n-type impurity is diffused from the polycrystalline silicon film 50A to the main surface of the p − -type well region 22 to connect the n-type semiconductor region 29 to the complementary data line 50. Therefore, a short circuit between the complementary data line 50 and the p − -type well region 22 can be prevented. The upper transition metal silicide film 50B is formed of, for example, a WSi 2 film deposited by a CVD method and has a thickness of about 100 to 200 [nm]. The upper transition metal silicide film 50B is formed mainly to reduce the resistance value of the complementary data line 50B and to increase the speed of each of the information writing operation and the information reading operation. The upper transition metal silicide film 50B has a CV
Since the deposition is performed by the method D, the disconnection failure of the complementary data line 50 can be further reduced.

【0212】前記相補性データ線50は、下層の多結晶
珪素膜50A、上層の遷移金属シリサイド膜50Bの夫
々を堆積後、例えば異方性エッチングで所定の形状にパ
ターンニングすることにより形成されている。
The complementary data line 50 is formed by depositing a lower polycrystalline silicon film 50A and an upper transition metal silicide film 50B, and then patterning them into a predetermined shape by, for example, anisotropic etching. I have.

【0213】(層間絶縁膜形成工程3)次に、前記相補
性データ線50上を含む基板全面に層間絶縁膜51を形
成する。層間絶縁膜51は酸化珪素膜51A、BPSG
膜51Bの夫々を順次積層した2層構造で構成されてい
る。下層の酸化珪素膜51Aは、例えば無機シランガス
及び酸化窒素ガスをソースガスとするCVD法で堆積さ
れ、100〜200[nm]程度の膜厚で形成される。下
層の酸化珪素膜51Aは上層のBPSG膜51Bの不純
物(P、Bの夫々)の漏れを防止するために形成されてい
る。上層のBPSG膜51Bは、例えばCVD法で堆積
され、250〜350[nm]程度の膜厚で形成されてい
る。このBPSG膜51には、窒素ガス雰囲気中におい
て、約800[℃]以上の温度でフローが施され、その表
面が平坦化されている。
(Interlayer Insulating Film Forming Step 3) Next, an interlayer insulating film 51 is formed on the entire surface of the substrate including on the complementary data lines 50. The interlayer insulating film 51 is made of a silicon oxide film 51A, BPSG
It has a two-layer structure in which each of the films 51B is sequentially laminated. The lower silicon oxide film 51A is deposited by, for example, a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas, and is formed with a thickness of about 100 to 200 [nm]. The lower silicon oxide film 51A is formed to prevent leakage of impurities (P and B, respectively) from the upper BPSG film 51B. The upper BPSG film 51B is deposited by, for example, a CVD method and has a thickness of about 250 to 350 [nm]. The BPSG film 51 is subjected to a flow at a temperature of about 800 ° C. or more in a nitrogen gas atmosphere, and the surface thereof is planarized.

【0214】次に、図45に示すように、前記層間絶縁
膜51に接続孔51Cを形成する。接続孔51Cは、D
RAM1の各素子のn+型半導体領域32上、p+型半
導体領域39上、図示しない配線50上、上層電極層3
7上等の上部の層間絶縁膜51を除去して形成する。接
続孔51Cは例えば異方性エッチングで形成する。
Next, as shown in FIG. 45, a connection hole 51C is formed in the interlayer insulating film 51. The connection hole 51C is D
On the n + type semiconductor region 32, the p + type semiconductor region 39, the wiring 50 (not shown), the upper electrode layer 3 of each element of the RAM 1
7 is formed by removing the upper interlayer insulating film 51 above. The connection hole 51C is formed by, for example, anisotropic etching.

【0215】また、前記pチャネルMISFETQpの
形成領域において、p+型半導体領域39は、p型不純
物の拡散係数が大きいので、表面の不純物濃度がn+型
半導体領域32に比べて薄くなる。また、p+型半導体
領域32は、前記接続孔51Cを形成する際のオーバー
エッチングにより表面の不純物濃度の高い領域がエッチ
ングされ、表面の不純物濃度がさらに低くなる。また、
p+型半導体領域39は、それに接続される配線52を
遷移金属膜(W膜)で形成しているので、n+型半導体領
域32に比べて仕事関数差が大きくなる。そこで、pチ
ャネルMISFETQpは、前記接続孔51Cで規定さ
れた領域内において、p+型半導体領域39の表面にp
型不純物を導入し、p+型半導体領域39の表面の不純
物濃度を高くしてもよい。この構成により、pチャネル
MISFETQpのp+型半導体領域39と配線(52)
との接続抵抗値を低減することができる。
In the formation region of the p-channel MISFET Qp, the p + -type semiconductor region 39 has a large diffusion coefficient of p-type impurities. In the p + type semiconductor region 32, a region having a high impurity concentration on the surface is etched by overetching when forming the connection hole 51C, and the impurity concentration on the surface is further reduced. Also,
In the p + -type semiconductor region 39, the wiring 52 connected to the p + -type semiconductor region 39 is formed of a transition metal film (W film). Therefore, the p-channel MISFET Qp is provided on the surface of the p + type semiconductor region 39 in the region defined by the connection hole 51C.
A type impurity may be introduced to increase the impurity concentration on the surface of the p + type semiconductor region 39. With this configuration, the p + type semiconductor region 39 of the p-channel MISFET Qp and the wiring (52)
Can be reduced.

【0216】(配線形成工程1)次に、図46に示すよ
うに、前記接続孔51Cを通してn+型半導体領域3
2、p+型半導体領域39等と接続するように、層間絶
縁膜51上に配線(カラムセレクト信号線も含む)52
を形成する。配線52は、スパッタ法で堆積した遷移金
属膜例えばW膜で形成し、例えば350〜450[nm]
程度の膜厚で形成する。配線52は、層間絶縁膜51の
全表面に堆積後、例えば異方性エッチングで所定の形状
にパターンニングすることにより形成することができ
る。
(Wiring Forming Step 1) Next, as shown in FIG. 46, the n + type semiconductor region 3 is formed through the connection hole 51C.
2. A wiring (including a column select signal line) 52 on the interlayer insulating film 51 so as to be connected to the p + type semiconductor region 39 and the like.
To form The wiring 52 is formed of a transition metal film, for example, a W film deposited by a sputtering method, for example, 350 to 450 [nm].
It is formed with a film thickness of about The wiring 52 can be formed by depositing the entire surface of the interlayer insulating film 51 and then patterning it into a predetermined shape by, for example, anisotropic etching.

【0217】(層間絶縁膜形成工程4) 次に、図47に示すように、前記配線52上を含む基板
全面に層間絶縁膜53を形成する。層間絶縁膜53は酸
化珪素膜(堆積型絶縁膜)53A、酸化珪素膜(塗布型絶
縁膜)53B、酸化珪素膜(堆積型絶縁膜)53Cの夫
々を順次積層した3層構造で構成されている。下層の酸
化珪素膜53Aは、テトラエキシシランガスをソース
ガスとするC−CVD法で堆積し、250〜350〔n
m〕程度の膜厚で形成する。中層の酸化珪素膜53Bは
層間絶縁膜53の表面を平坦化するために形成されてい
る。酸化珪素膜53Bは、SOG法で数回(2〜5回)
程度塗布し(合計100〜150〔nm〕程度の膜厚に
塗布)、この後ベーク処理(約450〔℃〕)を施し、表
面をエッチングで後退させることにより形成されてい
る。
(Interlayer Insulating Film Forming Step 4) Next, as shown in FIG. 47, an interlayer insulating film 53 is formed on the entire surface of the substrate including the wiring 52. The interlayer insulating film 53 has a three-layer structure in which a silicon oxide film (deposited insulating film) 53A, a silicon oxide film (coated insulating film) 53B, and a silicon oxide film (deposited insulating film) 53C are sequentially laminated. I have. The lower silicon oxide film 53A is deposited by C-CVD method with source gas Tetorae bets Kishishirangasu, 250-350 [n
m]. The middle silicon oxide film 53B is formed to planarize the surface of the interlayer insulating film 53. The silicon oxide film 53B is formed several times (2 to 5 times) by the SOG method.
(Approximately 100 to 150 [nm] in total), followed by baking (approximately 450 [° C.]), and the surface is etched back.

【0218】前記エッチングによる後退により、酸化珪
素膜53Bは下層の酸化珪素膜53Aの表面の段差形状
のうち凹部のみに形成される。また、層間絶縁膜53の
中層は前記酸化珪素膜53Bに変えて有機物膜例えばポ
リイミド系樹脂膜で形成してもよい。上層の酸化珪素膜
53Cは、層間絶縁膜53全体としての膜の強度を高め
るために、例えばテトラエキシシランガスをソースガ
スとするC−CVD法で堆積し、250〜350〔n
m〕程度の膜厚で形成する。
Due to the recession by the etching, the silicon oxide film 53B is formed only in the concave portion of the step shape on the surface of the lower silicon oxide film 53A. Further, the middle layer of the interlayer insulating film 53 may be formed of an organic material, for example, a polyimide resin film instead of the silicon oxide film 53B. The upper layer of the silicon oxide film 53C in order to increase the strength of the film as a whole an interlayer insulating film 53, for example a Tetorae preparative Kishishirangasu deposited by C-CVD method with source gas, 250-350 [n
m].

【0219】次に、前記層間絶縁膜の所定の配線53上
を除去し、接続孔53Dを形成する。接続孔53Dは例
えば異方性エッチングで形成する。
Next, a portion of the interlayer insulating film above a predetermined wiring 53 is removed to form a connection hole 53D. The connection hole 53D is formed by, for example, anisotropic etching.

【0220】次に、前記接続孔53D内に露出する配線
52の表面上に遷移金属膜54を積層する(埋込む)。遷
移金属膜54は、選択CVD法で堆積した例えばW膜で
形成し、600〜800[nm]程度の膜厚で形成する。
このW膜の反応生成式は以下のとおりである。
Next, a transition metal film 54 is laminated (embedded) on the surface of the wiring 52 exposed in the connection hole 53D. The transition metal film 54 is formed of, for example, a W film deposited by a selective CVD method and has a thickness of about 600 to 800 [nm].
The reaction generation formula of this W film is as follows.

【0221】[0221]

【数1】 (Equation 1)

【0222】(配線形成工程2)次に、図49に示すよ
うに、前記接続孔53D内に埋込まれた遷移金属膜54
と接続するように層間絶縁膜53上に配線(シャント用
ワード線も含む)55を形成する。配線55は遷移金属
窒化膜(又は遷移金属膜)55A、アルミニウム合金膜
55Bの夫々を順次積層した2層構造で構成されてい
る。下層の遷移金属窒化膜55Aは、例えばスパッタ法
で堆積したTiN膜で形成し、130〜180[nm]程
度の膜厚で形成される。この遷移金属窒化膜55Aは、
前述のように前記接続孔53D部分において、Siの析
出現象やWとアルミニウムとの合金化反応を防止するよ
うに構成されている。上層のアルミニウム合金膜55B
は、例えばスパッタ法で堆積し、600〜800[nm]
程度の膜厚で形成する。配線55は、下層の遷移金属シ
リサイド膜55A、上層のアルミニウム合金膜55Bの
夫々を順次積層した後、例えば異方性エッチングで所定
の形状にパターンニングすることにより形成することが
できる。
(Wiring forming step 2) Next, as shown in FIG. 49, the transition metal film 54 embedded in the connection hole 53D
A wiring (including a shunt word line) 55 is formed on the interlayer insulating film 53 so as to be connected to the wiring. The wiring 55 has a two-layer structure in which a transition metal nitride film (or transition metal film) 55A and an aluminum alloy film 55B are sequentially laminated. The lower transition metal nitride film 55A is formed of, for example, a TiN film deposited by a sputtering method, and has a thickness of about 130 to 180 [nm]. This transition metal nitride film 55A
As described above, in the connection hole 53D portion, the precipitation phenomenon of Si and the alloying reaction between W and aluminum are prevented. Upper aluminum alloy film 55B
Is deposited by, for example, a sputtering method and has a thickness of 600 to 800 nm.
It is formed with a film thickness of about The wiring 55 can be formed by sequentially laminating a lower transition metal silicide film 55A and an upper aluminum alloy film 55B, and then patterning it into a predetermined shape by, for example, anisotropic etching.

【0223】(パッシベーション膜形成工程)次に、前
記図1に示すように、前記配線55上を含む基板全面に
パッシベーション膜56を形成する。パッシベーション
膜56は、前述のように、酸化珪素膜56A、窒化珪素
膜56Bの夫々を順次積層した複合膜で形成されてい
る。下層の酸化珪素膜56Aは前述のようにテトラエポ
キシシランガスをソースガスとするC−CVD法で堆積
する。上層の窒化珪素膜56BはプラズマCVD法で堆
積する。
(Passivation Film Forming Step) Next, as shown in FIG. 1, a passivation film 56 is formed on the entire surface of the substrate including the wiring 55. As described above, the passivation film 56 is formed of a composite film in which the silicon oxide film 56A and the silicon nitride film 56B are sequentially stacked. The lower silicon oxide film 56A is deposited by the C-CVD method using tetraepoxysilane gas as a source gas as described above. The upper silicon nitride film 56B is deposited by a plasma CVD method.

【0224】なお、図1には図示していないが、パッシ
ベーション膜56の上層には樹脂膜が塗布されている。
この樹脂膜はα線ソフトエラー耐圧を向上するために形
成されている。この樹脂膜は、例えばポッティング技術
(樹脂の滴下塗布工程、ベーク処理工程及びパターンニ
ング工程を含む)で塗布したポリイミド系樹脂膜を使用
し、8〜12[μm]程度の膜厚で形成されている。樹脂
膜は、基本的には外部端子に相当する位置を開口し、こ
の領域を除くDRAM1の全面に塗布される。
Although not shown in FIG. 1, a resin film is applied on the passivation film 56.
This resin film is formed to improve the α-ray soft error withstand voltage. This resin film is formed to a thickness of about 8 to 12 [μm] using a polyimide resin film applied by, for example, a potting technique (including a resin drop application step, a baking step, and a patterning step). I have. The resin film basically has an opening at a position corresponding to the external terminal, and is applied to the entire surface of the DRAM 1 excluding this region.

【0225】また、この樹脂膜は、DRAM1の表面上
において複数に分割した形状で配置してもよい。つま
り、樹脂膜は、DRAM1のα線ソフトエラー耐圧を確
保したい領域例えばメモリセルアレイ11E、直接周辺
回路の一部(12及び13)の夫々には配置し、関接周辺
回路、直接周辺回路の他部には配置せず、この領域を分
割領域として使用する。このように、樹脂膜を分割する
ことにより、樹脂膜のストレスを低減し、パッシベーシ
ョン膜の割れ等を防止することができる。
The resin film may be arranged on the surface of the DRAM 1 in a shape divided into a plurality. In other words, the resin film is disposed in each of the regions where the α-ray soft error withstand voltage of the DRAM 1 is desired to be ensured, for example, each of the memory cell array 11E and a part (12 and 13) of the direct peripheral circuit. This area is used as a division area without being arranged in the section. Thus, by dividing the resin film, the stress of the resin film can be reduced, and the cracking of the passivation film can be prevented.

【0226】(ヒューズ開口工程)また、前記DRAM
1には欠陥相補性データ線(DL)50、欠陥ワード線
(WL)27(又はシャント用ワード線55)の夫々を救
済するY系冗長回路1812、X系冗長回路1806の
夫々が配置されている。このY系冗長回路1812は、
欠陥相補性データ線50から冗長用相補性データ線50
への切換をヒューズ素子Fを切断するか否かで行ってい
る。同様に、X系冗長回路1806は、欠陥ワード線2
7から冗長用ワード線27への切換をヒューズ素子Fを
切断するか否かで行っている。
(Fuse opening step)
1 has a defective complementary data line (DL) 50 and a defective word line
Each of a Y-system redundancy circuit 1812 and an X-system redundancy circuit 1806 that rescue each of the (WL) 27 (or the shunt word line 55) is arranged. This Y-system redundant circuit 1812
From the defective complementary data line 50 to the redundant complementary data line 50
The switching to is performed based on whether the fuse element F is cut or not. Similarly, the X-related redundant circuit 1806 includes the defective word line 2
7 is switched to the redundant word line 27 depending on whether the fuse element F is cut or not.

【0227】前記ヒューズ素子Fは、図50(要部断面
図)に示すように、相補性データ線50及び配線50と
同一導電層で形成されている。本実施例のDRAM1は
レーザ切断方式を採用しているので、ヒューズ素子50
はレーザ光で切断している。ヒューズ素子50は厚い膜
厚のパッシベーション膜56が存在すると切断が不安定
になるので、ヒューズ素子50の上部にはパッシベーシ
ョン膜56に形成されたヒューズ開口56Cが設けられ
ている。このヒューズ開口56Cの開口の際に使用する
エッチングガスはヒューズ素子50をエッチングするエ
ッチングガスでもあるので、ヒューズ素子50上には層
間絶縁膜51及び層間絶縁膜53の適度な膜厚(800
[nm]以下の膜厚)の絶縁膜を残存させている。ヒュー
ズ素子50の下層の導電層例えばスタックド構造の情報
蓄積用容量素子Cの上層電極層37と同一導電層は膜厚
が薄いので抵抗値が高くなりヒューズ素子Fとしては好
ましくない。また、下層電極層35、ゲート電極27の
夫々と同一導電層はその上層に多くの層数の絶縁膜が存
在するので、ヒューズ開口を形成する工程が多くなりか
つ複雑になる。また、ヒューズ素子50の上層の配線5
2、55の夫々と同一導電層はレーザ光を反射する性質
があるので、ヒューズ素子Fとしては好ましくない。
The fuse element F is formed of the same conductive layer as the complementary data line 50 and the wiring 50, as shown in FIG. Since the DRAM 1 of this embodiment employs the laser cutting method, the fuse element 50
Is cut by a laser beam. Since the cutting of the fuse element 50 becomes unstable when a thick passivation film 56 is present, a fuse opening 56C formed in the passivation film 56 is provided above the fuse element 50. Since the etching gas used for the opening of the fuse opening 56C is also an etching gas for etching the fuse element 50, an appropriate film thickness of the interlayer insulating film 51 and the interlayer insulating film 53 (800
[nm] or less of the insulating film is left. Since the conductive layer below the fuse element 50, for example, the same conductive layer as the upper electrode layer 37 of the information storage capacitor C having a stacked structure has a small thickness, the resistance value becomes high, which is not preferable as the fuse element F. Further, since the same conductive layer as each of the lower electrode layer 35 and the gate electrode 27 has a large number of insulating films on the same conductive layer, the step of forming a fuse opening is increased and complicated. Also, the wiring 5 in the upper layer of the fuse element 50
The same conductive layers 2 and 55 are not preferable as the fuse element F because they have a property of reflecting a laser beam.

【0228】このヒューズ素子50及びヒューズ開口5
6Cの形成方法について、図51乃至図53(各製造工
程毎に示す要部断面図)を用いて簡単に説明する。
This fuse element 50 and fuse opening 5
The method of forming 6C will be briefly described with reference to FIGS. 51 to 53 (cross-sectional views of main parts shown in respective manufacturing steps).

【0229】まず、図51に示すように、層間絶縁膜4
0のヒューズ素子Fの形成領域上に相補性データ線50
と同一製造工程でヒューズ素子50を形成する。
First, as shown in FIG.
0 on the region where the fuse element F is formed.
Then, the fuse element 50 is formed in the same manufacturing process.

【0230】次に、層間絶縁膜51(51A及び51B)
を形成し、この後、図52に示すように、配線52を形
成する。同図52に示すように、ヒューズ素子50上に
は配線52は存在しない。
Next, the interlayer insulating film 51 (51A and 51B)
After that, as shown in FIG. 52, a wiring 52 is formed. As shown in FIG. 52, the wiring 52 does not exist on the fuse element 50.

【0231】次に、層間絶縁膜53(53A、53B及
び53C)を形成し、この後、図53に示すように、配
線55を形成する。前記ヒューズ素子50上には配線5
5は存在しない。
Next, an interlayer insulating film 53 (53A, 53B and 53C) is formed, and thereafter, a wiring 55 is formed as shown in FIG. The wiring 5 is provided on the fuse element 50.
5 does not exist.

【0232】次に、パッシベーション膜56を形成し、
前記図50に示すように、ヒューズ素子50上のパッシ
ベーション膜56にヒューズ開口56Cを形成する。こ
のヒューズ開口56Cは、説明していないが、パッシベ
ーション膜56の外部端子BPが存在する(ボンディン
グ)部分を開口する工程と同一製造工程で形成すること
ができる。
Next, a passivation film 56 is formed,
As shown in FIG. 50, a fuse opening 56C is formed in the passivation film 56 on the fuse element 50. Although not described, the fuse opening 56C can be formed in the same manufacturing process as the step of opening the (bonding) portion of the passivation film 56 where the external terminals BP are present.

【0233】このように、(38−23)相補性データ線
50とワード線27との交差部にメモリセル選択用MI
SFETQsとスタックド構造の情報蓄積用容量素子C
との直列回路で形成されたメモリセルMを配置し、前記
相補性データ線50又はワード線27のうち欠陥相補性
データ線50又は欠陥ワード線27を救済するレーザ切
断用冗長ヒューズ素子50を有する、DRAM1におい
て、前記相補性データ線50をCVD法で堆積した多結
晶珪素膜50A、遷移金属シリサイド膜50Bの夫々を
順次積層した複合膜で構成し、前記レーザ切断用冗長ヒ
ューズ素子50を前記相補性データ線50と同一導電層
で構成する。
As described above, at the intersection of the (38-23) complementary data line 50 and the word line 27, the memory cell selecting MI
SFET Qs and stacked structure information storage capacitor C
And a redundant fuse element 50 for laser cutting for relieving the defective complementary data line 50 or the defective word line 27 among the complementary data lines 50 or the word lines 27. In the DRAM 1, the complementary data line 50 is composed of a composite film in which a polycrystalline silicon film 50A and a transition metal silicide film 50B deposited by a CVD method are sequentially laminated, and the laser cutting redundant fuse element 50 is formed by the complementary method. And the same conductive layer as the conductive data line 50.

【0234】この構成により、前記相補性データ線50
は前記メモリセルMのメモリセル選択用MISFETQ
s及びスタックド構造の情報蓄積用容量素子Cよりも上
層で形成されているので、前記レーザ切断用冗長ヒュー
ズ素子50の上層の絶縁膜の層数が低減され、このレー
ザ切断用冗長ヒューズ素子50の上層の絶縁膜の開口プ
ロセスを簡単化することができると共に、前記多結晶珪
素膜50A及び遷移金属シリサイド膜50Bで形成され
た複合膜はレーザ光の吸収率が相補性データ線50上に
形成される配線52、55の夫々に比べて高いので、前
記レーザ切断用冗長ヒューズ素子50の切断を簡単にか
つ確実に行うことができる。
With this configuration, the complementary data line 50
Is a MISFET Q for selecting a memory cell of the memory cell M
s and the stacked-structure information storage capacitance element C, so that the number of insulating layers on the laser cutting redundant fuse element 50 is reduced. The opening process of the upper insulating film can be simplified, and the composite film formed of the polycrystalline silicon film 50A and the transition metal silicide film 50B has the laser light absorption rate formed on the complementary data line 50. Since the wirings 52 and 55 are higher than the respective wirings 52 and 55, the laser cutting redundant fuse element 50 can be easily and reliably cut.

【0235】前記パッシベーション膜56及びそれに開
口を形成する、これら一連の工程を施すことにより、本
実施例のDRAM1は完成する。
The DRAM 1 of this embodiment is completed by performing a series of these steps of forming the passivation film 56 and an opening therein.

【0236】次に、前述のDRAM1の製造プロセスに
おいて、個々の要部の製造工程について、詳細に説明す
る。
Next, in the above-described DRAM 1 manufacturing process, the manufacturing steps of individual main parts will be described in detail.

【0237】(配線・接続孔の形成工程)前述のDRA
M1の製造方法において、相補性データ線(DL)50、
配線52、配線55、接続孔40A、51C、53Dの
夫々は基本的には多層レジストマスクを使用したフォト
リソグラフィ技術で加工する。この多層レジストマスク
は非感光性樹脂膜(ポリイミド系樹脂膜等の有機膜)、
中間膜(SOG法で塗布した酸化珪素膜等の無機膜)、
感光性樹脂膜の夫々を順次積層した例えば3層構造で形
成される。
(Steps of Forming Wiring / Connection Holes) The above-mentioned DRA
In the manufacturing method of M1, a complementary data line (DL) 50,
Each of the wiring 52, the wiring 55, and the connection holes 40A, 51C, 53D is basically processed by a photolithography technique using a multilayer resist mask. This multilayer resist mask is made of a non-photosensitive resin film (an organic film such as a polyimide resin film),
An intermediate film (an inorganic film such as a silicon oxide film applied by the SOG method),
The photosensitive resin film is formed in a three-layer structure in which each of the photosensitive resin films is sequentially laminated.

【0238】前記多層レジストマスクは、多層構造によ
り成長する段差形状を主に下層膜及び中間膜で緩和し、
上層の感光性樹脂膜の加工精度さらに被エッチング材の
加工精度を向上する目的で使用する。多層レジストマス
クは、次の方法により形成される。
In the multilayer resist mask, a step formed by a multilayer structure is relaxed mainly by the lower film and the intermediate film.
It is used for the purpose of improving the processing accuracy of the upper photosensitive resin film and the processing accuracy of the material to be etched. The multilayer resist mask is formed by the following method.

【0239】まず、被エッチング材(例えば前記相補性
データ線50等)の表面上に、非感光性樹脂膜、中間
膜、感光性樹脂膜の夫々を順次積層し、多層レジスト膜
を形成する。
First, a non-photosensitive resin film, an intermediate film, and a photosensitive resin film are sequentially laminated on the surface of a material to be etched (for example, the complementary data line 50) to form a multilayer resist film.

【0240】次に、多層レジスト膜の上層の感光性樹脂
膜を通常の露光処理及び現象処理により加工し、エッチ
ングマスクを形成する。
Next, the photosensitive resin film as the upper layer of the multilayer resist film is processed by ordinary exposure processing and phenomenon processing to form an etching mask.

【0241】次に、前記エッチングマスクを使用し、多
層レジスト膜の中間膜、非感光性樹脂膜の夫々を順次異
方性エッチングでパターンニングし、多層レジストマス
クを形成する。このパターンニングのうち、下層の非感
光性樹脂膜は酸素(O2)ガス及びハロゲン(Cl2,Br2
等)ガスを使用した異方性エッチング技術でパターンニ
ングする。エッチング装置としては、例えば反応性イオ
ンエッチング(RIE)装置、マグネトロン型RIE装置
又はμ波ECR装置を使用する。エッチング圧力は例え
ば1〜10[mtoor]程度、高周波出力は0.25〜30
[W/cm2]程度を使用する。また、前記異方性エッチ
ングで使用するハロゲンガスは、真空チャンバ内に固体
例えば塩化ビニールを載置し、この塩化ビニールのアウ
トガスとしてのハロゲンガス(ハロゲン化合物が同時に
発生する)を使用するのではなく、真空チャンバの外部
からその内部に供給する。
Next, using the etching mask, the intermediate film of the multilayer resist film and the non-photosensitive resin film are sequentially patterned by anisotropic etching to form a multilayer resist mask. In this patterning, the lower non-photosensitive resin film is formed of oxygen (O 2 ) gas and halogen (Cl 2 , Br 2).
Etc.) Patterning by anisotropic etching technology using gas. As the etching device, for example, a reactive ion etching (RIE) device, a magnetron type RIE device, or a microwave ECR device is used. The etching pressure is, for example, about 1 to 10 [mtoor], and the high-frequency output is 0.25 to 30.
[W / cm 2 ] is used. The halogen gas used in the anisotropic etching is not a method in which a solid, for example, vinyl chloride is placed in a vacuum chamber, and a halogen gas (a halogen compound is simultaneously generated) as an outgas of the vinyl chloride is used. , From the outside of the vacuum chamber to the inside.

【0242】前記酸素ガス及びハロゲンガスの異方性エ
ッチングガスは、下層の非感光性樹脂膜を酸素ガスによ
りエッチングするとカルボン酸を生成し、このカルボン
酸にハロゲンガスを添加するとより蒸気圧の低い酸塩化
物が生成されるので、生成ガスの抜けが良好となり、下
層の非感光性樹脂膜のサイドエッチング量を低減するこ
とができる。
The anisotropic etching gas of oxygen gas and halogen gas generates carboxylic acid when the lower non-photosensitive resin film is etched by oxygen gas, and when a halogen gas is added to this carboxylic acid, the vapor pressure becomes lower. Since the acid chloride is generated, the generated gas escapes well, and the amount of side etching of the lower non-photosensitive resin film can be reduced.

【0243】このように、多層レジスト膜を3層構造で
形成し、このうち下層の非感光性樹脂膜を酸素ガス及び
ハロゲンガスを使用した異方性エッチングでパターンニ
ングする。この構成により、前記異方性エッチングガス
にハロゲンガスを使用しているので、下層の非感光性樹
脂膜のサイドエッチング量を低減し、加工精度を向上す
ることができると共に、異方性エッチングガスとしてハ
ロゲン化合物(CF4,CCl4)を使用しないので、下層
の非感光性樹脂膜のパターンニングされた側面への有機
物の付着を防止することができる。この有機物の付着の
防止は、その除去工程を低減することができ、又エッチ
ング装置の真空チャンバ内壁の汚染を低減することがで
きる。また、前記真空チャンバ内壁に付着する汚染を低
減し、製造工程中の半導体ウエーハ表面に前記内壁から
落ちた有機物が再付着することを低減することができる
ので、製造上の歩留りを向上することができる。
As described above, the multilayer resist film is formed in a three-layer structure, and the lower non-photosensitive resin film is patterned by anisotropic etching using oxygen gas and halogen gas. With this configuration, since the halogen gas is used as the anisotropic etching gas, the amount of side etching of the lower non-photosensitive resin film can be reduced, and the processing accuracy can be improved. Since a halogen compound (CF 4 , CCl 4 ) is not used, it is possible to prevent organic substances from adhering to the patterned side surface of the lower non-photosensitive resin film. The prevention of the adhesion of the organic substance can reduce the removal step and the contamination of the inner wall of the vacuum chamber of the etching apparatus. In addition, it is possible to reduce contamination that adheres to the inner wall of the vacuum chamber and reduce reattachment of organic substances that have fallen from the inner wall to the surface of the semiconductor wafer during a manufacturing process, thereby improving manufacturing yield. it can.

【0244】また、異方性エッチングガスとしてハロゲ
ン化合物特に炭素(C)を使用していないので、異方性エ
ッチング速度を速くすることができる。
Since a halogen compound, particularly carbon (C), is not used as the anisotropic etching gas, the anisotropic etching rate can be increased.

【0245】また、前記異方性エッチングは、固体のア
ウトガスとしてのハロゲンガスを使用せずに、真空チャ
ンバの外部から純粋のハロゲンガスを使用するので、前
述と同様の効果を奏することができる。
In the anisotropic etching, since a pure halogen gas is used from outside the vacuum chamber without using a halogen gas as a solid outgas, the same effects as described above can be obtained.

【0246】(配線形成工程1)前述のDRAM1の製
造方法において、配線52つまりW膜の加工は低温異方
性エッチングを採用することにより加工精度を向上する
ことができる。
(Wiring Forming Step 1) In the above-described method for manufacturing the DRAM 1, the processing accuracy of the wiring 52, that is, the W film, can be improved by employing low-temperature anisotropic etching.

【0247】前記配線52を加工する異方性エッチング
はRIE装置等の真空チャンバ内において行われてい
る。真空チャンバ内は通常10~2〜10~3[torr]程度の
範囲の真空度に保持され、この状態において異方性エッ
チングが行われている。図54(六フッ化タングステン
WF6の温度と蒸気圧との関係を示す図)に示すよう
に、WF6は約−40[℃]以下の低温度において前記真
空チャンバ内の真空度に対する蒸気圧が0[mtorr]又は
それに近くなる。つまり、前記配線52は、前記低温度
領域において異方性エッチングを施すことにより、加工
された側壁にイオンが衝突しないので気化せず、加工中
の底面にイオンが衝突して気化するので、エッチングの
異方性を向上することができる。この結果、配線52の
加工精度を向上することができる。
Anisotropic etching for processing the wiring 52 is performed in a vacuum chamber such as an RIE apparatus. The vacuum chamber is usually maintained at a degree of vacuum in a range of about 10 to 2 to 3 [torr], and anisotropic etching is performed in this state. As shown in FIG. 54 (a diagram showing the relationship between the temperature and vapor pressure of tungsten hexafluoride WF 6 ), WF 6 has a vapor pressure with respect to the degree of vacuum in the vacuum chamber at a low temperature of about −40 ° C. or less. Becomes 0 [mtorr] or close to it. In other words, by performing anisotropic etching in the low-temperature region, ions do not collide with the processed side wall and thus do not vaporize, and the ions collide with the bottom surface being processed and vaporize. Can be improved. As a result, the processing accuracy of the wiring 52 can be improved.

【0248】(接続孔形成工程)前述のDRAM1の製
造方法において、前記接続孔51C(又は53D)の夫々
は、マグネトロンRIE装置又はμ波ECR装置を使用
し、テーパ状に形成することができる。
(Connection Hole Forming Step) In the above-described method for manufacturing the DRAM 1, each of the connection holes 51C (or 53D) can be formed in a tapered shape using a magnetron RIE device or a microwave ECR device.

【0249】前記接続孔51Cは、エッチング条件のう
ちエッチング圧力、エッチングガス流量又は高周波出力
を制御することにより、テーパ角度(接続孔の段差角
度)を制御することができる。エッチング性能を損なわ
ずに、前記テーパ角度を制御するには、エッチング圧力
又はエッチングガス流量を制御することが望しい。異方
性エッチングのエッチング速度はイオン電流と平均イオ
ンエネルギとの積で決定され、イオン電流が一定の場
合、前記テーパ角度は平均イオンエネルギで決定され
る。一方、前記イオン電流は高周波出力に比例し、高周
波出力が一定の場合、半導体ウエーハ(電極)とプラズマ
との間の電圧Vdcに反比例する傾向にある。
The connection hole 51C can control a taper angle (a step angle of the connection hole) by controlling an etching pressure, an etching gas flow rate, or a high-frequency output among etching conditions. To control the taper angle without impairing the etching performance, it is desirable to control the etching pressure or the flow rate of the etching gas. The etching rate of anisotropic etching is determined by the product of the ion current and the average ion energy. When the ion current is constant, the taper angle is determined by the average ion energy. On the other hand, the ion current is proportional to the high-frequency output, and when the high-frequency output is constant, tends to be inversely proportional to the voltage Vdc between the semiconductor wafer (electrode) and the plasma.

【0250】図55(A)にエッチング圧力とエネルギ
との関係を示すように、RIE装置を使用した異方性エ
ッチングは、エッチング圧力に対する安定放電領域が狭
く、電圧Vdcの変化も急峻であり、しかも平均イオンエ
ネルギの変化も急激である。つまり、テーパ角度の制御
性が悪い。
As shown in FIG. 55 (A), the relationship between the etching pressure and the energy shows that the anisotropic etching using the RIE device has a narrow stable discharge region with respect to the etching pressure and a sharp change in the voltage Vdc. Moreover, the change of the average ion energy is also rapid. That is, the controllability of the taper angle is poor.

【0251】これに対して、図55(B)に同様にエッ
チング圧力とエネルギとの関係を示すように、マグネト
ロンRIE装置(又はμ波ECR装置)を使用した異方性
エッチングは、1〜2桁程度イオン量が多いので、エッ
チング圧力に対する安定放電領域が広くなる。したがっ
て、図55(C)にイオンエネルギとエッチング速度と
の関係、図55(D)にイオンエネルギとテーパ角度と
の関係の夫々を示すように、テーパ角度の制御性は高く
なる。段差部のエッチング速度は平坦部のイオンエネル
ギのcosθ倍に相当するイオンエネルギで決定するエ
ッチング速度となる。これは、テーパ角度θの段差部の
イオン電流密度は平坦部のイオン電流密度のcosθ倍
に相当する。なお、テーパ角度θが90度に近づくにし
たがって接続孔の段差部は急峻になり、テーパ角θが0
度に近づくにしたがって段差部は緩和されてくる。
On the other hand, as shown in FIG. 55B, the relationship between the etching pressure and the energy is similarly shown, and the anisotropic etching using the magnetron RIE device (or the microwave ECR device) is 1 to 2 times. Since the ion amount is large by about an order of magnitude, the stable discharge region with respect to the etching pressure is widened. Accordingly, as shown in FIG. 55C, the relationship between the ion energy and the etching rate, and FIG. 55D, the relationship between the ion energy and the taper angle, the controllability of the taper angle is improved. The etching rate of the step is an etching rate determined by the ion energy corresponding to cos θ times the ion energy of the flat part. This means that the ion current density at the step portion of the taper angle θ is cos θ times the ion current density at the flat portion. As the taper angle θ approaches 90 degrees, the step portion of the connection hole becomes steeper, and the taper angle θ becomes zero.
As the degree approaches, the step is reduced.

【0252】このように、マグネトロンRIE装置(又
はμ波ECR装置)を使用した異方性エッチングで接続
孔51Cを形成することにより、エッチング圧力に対す
る安定放電領域を広くし、電圧Vdcの変化、平均イオン
エネルギの変化の夫々を低減することができるので、エ
ッチング性能を損なわずにテーパ角度の制御性を向上す
ることができる。つまり、図55(D)に示すように、
テーパ角度は60〜80度にばらつくことなく簡単に形
成することができる。この結果、接続孔51Cにテーパ
形状を形成することができるので、接続孔51Cの段差
部において、配線52の断線不良を低減することができ
る。なお、接続孔53Dは、本実施の形態では遷移金属
膜54を埋込んでいるので問題はないが、それを埋込ま
ない場合は同様にテーパ形状を設ける。
As described above, by forming the connection hole 51C by anisotropic etching using a magnetron RIE device (or a microwave ECR device), a stable discharge region with respect to the etching pressure is widened, and the change in the voltage Vdc and the average Since each change in ion energy can be reduced, controllability of the taper angle can be improved without impairing the etching performance. That is, as shown in FIG.
The taper angle can be easily formed without variation from 60 to 80 degrees. As a result, since a tapered shape can be formed in the connection hole 51C, the disconnection failure of the wiring 52 can be reduced at the step portion of the connection hole 51C. In the present embodiment, there is no problem in the connection hole 53D because the transition metal film 54 is buried in the connection hole 53D.

【0253】(接続孔形成工程)前述のDRAM1の製
造方法において、前記接続孔51C、53D等、絶縁膜
の加工は低温異方性エッチングで加工する。
(Connection Hole Forming Step) In the above-described method of manufacturing the DRAM 1, the insulating film such as the connection holes 51C and 53D is processed by low-temperature anisotropic etching.

【0254】まず、DRAM1(ダイシング工程前の半
導体ウエーハ)を静電吸着板を介在させてエッチング装
置の真空チャンバ内の下部電極に直接吸着させる。この
下部電極は常時冷却され、結果的に半導体ウエーハは常
温以下の温度に保持される。この状態において、層間絶
縁膜51、53の夫々に異方性エッチングを施し、接続
孔51C、53Dの夫々を形成する。
First, the DRAM 1 (semiconductor wafer before the dicing step) is directly adsorbed to the lower electrode in the vacuum chamber of the etching apparatus via an electrostatic attraction plate. The lower electrode is constantly cooled, and as a result, the semiconductor wafer is kept at a temperature lower than normal temperature. In this state, anisotropic etching is performed on each of the interlayer insulating films 51 and 53 to form connection holes 51C and 53D.

【0255】異方性エッチングガス(ハロゲン化合物C
HF3)はエッチングチャンバの内壁に比べて温度が低い
半導体ウエーハの表面に多く堆積するので、低温異方性
エッチングの採用は前記異方性エッチングガス流量を低
減することができ、又真空チャンバの内壁に付着される
汚染物を低減することができる。
Anisotropic etching gas (halogen compound C)
Since HF 3 ) is deposited more on the surface of the semiconductor wafer having a lower temperature than the inner wall of the etching chamber, the use of low-temperature anisotropic etching can reduce the flow rate of the anisotropic etching gas, Contaminants adhered to the inner wall can be reduced.

【0256】(実施の形態2)本実施の形態2は、前述
の実施の形態IのDRAM1の製造上の歩留りを向上す
るために、異なる配線層間を接続する接続孔内に遷移金
属膜を埋込む工程に枚葉式を採用した、本発明の第2実
施の形態である。
(Embodiment 2) In Embodiment 2, a transition metal film is buried in connection holes connecting different wiring layers in order to improve the production yield of the DRAM 1 of Embodiment I described above. This is a second embodiment of the present invention in which a single-wafer type is adopted in the step of inserting.

【0257】本発明の実施の形態2であるDRAM1の
要部を図56(要部断面図)に示す。
FIG. 56 (sectional view of main part) shows a main part of DRAM 1 according to the second embodiment of the present invention.

【0258】図56に示すように、本実施の形態2のD
RAM1は、下地絶縁膜80上に形成された配線81
に、層間絶縁膜82に形成された接続孔82A内に埋込
まれた遷移金属膜83を接続している。配線81はアル
ミニウム膜又はアルミニウム合金膜で形成されている。
層間絶縁膜82は酸化珪素膜の単層又はそれを主体とす
る複合膜で形成されている。接続孔82A内に埋込まれ
た遷移金属膜83は選択CVD法で堆積したW膜で形成
されている。この遷移金属膜83には図示しないが層間
絶縁膜82上に延在する配線が接続される。
As shown in FIG. 56, D in the second embodiment
The RAM 1 includes a wiring 81 formed on a base insulating film 80.
Is connected to a transition metal film 83 buried in a connection hole 82A formed in the interlayer insulating film 82. The wiring 81 is formed of an aluminum film or an aluminum alloy film.
The interlayer insulating film 82 is formed of a single layer of a silicon oxide film or a composite film mainly including the silicon oxide film. The transition metal film 83 embedded in the connection hole 82A is formed of a W film deposited by a selective CVD method. Although not shown, a wiring extending on the interlayer insulating film 82 is connected to the transition metal film 83.

【0259】この図56に示す構造は、次の枚葉式を採
用する製造方法により形成することができる。
The structure shown in FIG. 56 can be formed by a manufacturing method employing the following single-wafer method.

【0260】まず、前記層間絶縁膜82に接続孔82A
を形成し、接続孔82A内に配線81の表面を露出させ
る。この配線81の表面は露出させたことにより酸化さ
れ、アルミナ(Al23)が生成される。
First, a contact hole 82A is formed in the interlayer insulating film 82.
Is formed, and the surface of the wiring 81 is exposed in the connection hole 82A. The surface of the wiring 81 is oxidized by being exposed, and alumina (Al 2 O 3 ) is generated.

【0261】次に、前記配線81の表面に生成されたア
ルミナをスパッタ法により除去する。スパッタ法として
はアルゴン(Ar)ガスにフッ素系(NF3、XeF、CF
4又はCHF3)ガスを混合したスパッタ法を使用する。
前記アルゴンガスは、そのアルゴンイオンにより前記配
線81の表面に生成されたアルミナをスパッタにより取
り除くことができる。フッ素系ガスは、前記アルミナの
スパッタ速度を促進することができる。また、フッ素系
ガスは、層間絶縁膜82の表面にアルゴンイオンの衝突
で形成された未結合手の層を取り除き、前記遷移金属膜
83の選択性を向上すると共に、配線81の表面を腐食
させることがない。つまり、アルゴンガスのみでは層間
絶縁膜82の表面に未結合手を形成し、遷移金属膜83
の選択性をなくし、アルゴンガスにハロゲン化合物例え
ばCl2を混合した場合には未結合手の層を取り除くこ
とができるが、配線81の表面を腐食してしまうので、
スパッタ法は前述のようにアルゴンガスにフッ素系ガス
を混在して形成する。
Next, the alumina generated on the surface of the wiring 81 is removed by sputtering. As a sputtering method, fluorine (NF 3 , XeF, CF) is added to argon (Ar) gas.
4 or a CHF 3 ) gas mixed sputtering method is used.
The argon gas can remove, by sputtering, alumina generated on the surface of the wiring 81 by the argon ions. The fluorine-based gas can enhance the sputtering rate of the alumina. Further, the fluorine-based gas removes a dangling layer formed by bombardment of argon ions on the surface of the interlayer insulating film 82, thereby improving the selectivity of the transition metal film 83 and corroding the surface of the wiring 81. Nothing. In other words, when only argon gas is used, dangling bonds are formed on the surface of the interlayer insulating film 82 and the transition metal film 83 is formed.
When a halogen compound such as Cl 2 is mixed with argon gas, the unbonded layer can be removed, but the surface of the wiring 81 is corroded.
As described above, the sputtering method is formed by mixing a fluorine-based gas with an argon gas.

【0262】次に、前記接続孔82A内の配線81の表
面上に遷移金属膜83を選択的に堆積し、この接続孔8
2A内に遷移金属膜83を埋込む。
Next, a transition metal film 83 is selectively deposited on the surface of the wiring 81 in the connection hole 82A.
A transition metal film 83 is embedded in 2A.

【0263】このように、前述の混合ガスを使用したス
パッタ法で配線81の表面のアルミナを除去することに
より、配線81と遷移金属膜83との接続を良好に行う
ことができると共に、前記遷移金属膜83の選択性を確
保することができる。
As described above, by removing the alumina on the surface of the wiring 81 by the sputtering method using the above-described mixed gas, the wiring 81 and the transition metal film 83 can be connected well, The selectivity of the metal film 83 can be ensured.

【0264】また、同図56に示すように、前記スパッ
タ法で使用するフッ素系ガスのフッ素(F)は、配線81
の表面をスパッタし、アルミニウム粒子を叩きだす。こ
のアルミニウム粒子は、接続孔82Aの内壁に付着し、
クロスコンタミネーション81Aを生成する。このクロ
スコンタミネーション81Aは、層間絶縁膜82の表面
に比べて遷移金属膜83の堆積速度が速いので、結果的
に遷移金属膜83の上部を層間絶縁膜82の表面よりも
突出させてしまう。この遷移金属膜83の突出はそれに
接続される上層配線の加工精度を低下させてしまう。
As shown in FIG. 56, fluorine (F) of a fluorine-based gas used in the sputtering method
To sputter the surface of the aluminum particles and beat out the aluminum particles. The aluminum particles adhere to the inner wall of the connection hole 82A,
The cross contamination 81A is generated. Since the cross contamination 81A has a higher deposition rate of the transition metal film 83 than the surface of the interlayer insulating film 82, as a result, the upper portion of the transition metal film 83 protrudes from the surface of the interlayer insulating film 82. The protrusion of the transition metal film 83 lowers the processing accuracy of the upper wiring connected thereto.

【0265】図57(要部断面図)に示すDRAM1
は、前記遷移金属膜83の突出を低減するために、クロ
スコンタミネーション81Aはそのまま残存させ、接続
孔82Aの上部にテーパ部82Bを設けている。テーパ
部82Bは等方性エッチングにより形成することができ
る。接続孔82Aは異方性エッチングにより形成するこ
とができる。つまり、前記テーパ部82Bは、クロスコ
ンタミネーション81Aの上側の一部を除去して層間絶
縁膜82の表面を露出させ、この部分の遷移金属膜83
の堆積速度を低下させ、遷移金属膜83の突出を防止す
ることができる。一方、クロスコンタミネーション81
Aを残存させることにより、遷移金属膜83の堆積速度
を速くすることができるので、製造時間を短縮すること
ができる。
DRAM 1 shown in FIG. 57 (sectional view of main part)
In order to reduce the protrusion of the transition metal film 83, the cross contamination 81A is left as it is, and a tapered portion 82B is provided above the connection hole 82A. The tapered portion 82B can be formed by isotropic etching. The connection hole 82A can be formed by anisotropic etching. That is, the tapered portion 82B removes a portion of the upper side of the cross contamination 81A to expose the surface of the interlayer insulating film 82, and the transition metal film 83 in this portion is removed.
Of the transition metal film 83 can be prevented. Meanwhile, cross contamination 81
By leaving A, the deposition rate of the transition metal film 83 can be increased, so that the manufacturing time can be reduced.

【0266】また、図58(要部断面図)に示すDRA
M1は、前記接続孔82Aの内壁にクロスコンタミネー
ション81を積極的に生成し、遷移金属膜83の堆積速
度をさらに速くしている。
Further, the DRA shown in FIG.
M1 actively generates cross contamination 81 on the inner wall of the connection hole 82A to further increase the deposition rate of the transition metal film 83.

【0267】また、遷移金属膜83の堆積速度は若干遅
くなるが、クロスコンタミネーション81Aを実質的に
すべて取り除き、接続孔82Aを完全にテーパ形状に形
成してもよい。
Although the deposition rate of the transition metal film 83 is slightly reduced, the connection holes 82A may be formed in a completely tapered shape by removing substantially all of the cross contamination 81A.

【0268】また、枚葉式を採用することにより、前記
遷移金属膜83の膜厚の制御性をバッチ式に比べて向上
することができる。
Further, by employing the single-wafer method, the controllability of the film thickness of the transition metal film 83 can be improved as compared with the batch method.

【0269】(実施の形態3)本実施の形態3は、前述
の実施の形態2のDRAM1と構造が異なるが、半導体
基板と配線層とを接続する接続孔内に遷移金属膜を埋込
み、しかもこの工程に枚葉式を採用した、本発明の第3
実施の形態である。
(Embodiment 3) The present embodiment 3 is different from the DRAM 1 of the above-described embodiment 2 in that a transition metal film is embedded in a connection hole connecting a semiconductor substrate and a wiring layer. The third embodiment of the present invention in which a single-wafer method is employed in this step.
It is an embodiment.

【0270】本発明の実施の形態3であるDRAM1の
要部を図59(要部断面図)に示す。
FIG. 59 shows a main part of a DRAM 1 according to the third embodiment of the present invention.

【0271】図59に示すように、本実施の形態3のD
RAM1は、p−型ウエル領域22の主面部に形成され
たn+型半導体領域32に、層間絶縁膜80に形成され
た接続孔80Aに埋込まれた遷移金属膜84を接続して
いる。n+型半導体領域32は前述の実施の形態1で説
明したように珪素(Si)である。層間絶縁膜80は酸化
珪素膜の単層又はそれを主体とする複合膜で形成されて
いる。接続孔80A内に埋込まれた遷移金属膜84は、
珪素還元反応(n+型半導体領域32のSiとWF6
の反応)を利用する選択CVD法で堆積したW膜84
A、シラン還元反応(SiH4とWF6との反応)を利用
する選択CVD法で堆積したW膜84Bの夫々を順次積
層した複合膜で形成されている。下層のW膜84Aは、
珪素還元反応であるので、n+型半導体領域32と遷移
金属膜84との接着性を向上することができる。上層の
W膜84Bは、シラン還元反応であるので、n+型半導
体領域32の表面が還元される量を低減し、浅いpn接
合深さを有するn+型半導体領域32を形成することが
できる。この遷移金属膜84の上部は層間絶縁膜80上
に延在する配線(例えばアルミニウム合金膜)81に接続
されている。
As shown in FIG. 59, according to the third embodiment,
In the RAM 1, a transition metal film 84 buried in a connection hole 80 </ b> A formed in the interlayer insulating film 80 is connected to the n + -type semiconductor region 32 formed on the main surface of the p − -type well region 22. The n + type semiconductor region 32 is made of silicon (Si) as described in the first embodiment. The interlayer insulating film 80 is formed of a single layer of a silicon oxide film or a composite film mainly including the silicon oxide film. The transition metal film 84 embedded in the connection hole 80A is
W film 84 deposited by a selective CVD method using a silicon reduction reaction (reaction between Si in n + type semiconductor region 32 and WF 6 )
A, a W film 84B deposited by a selective CVD method utilizing a silane reduction reaction (reaction between SiH 4 and WF 6 ) is formed of a composite film in which each is sequentially laminated. The lower W film 84A is
Since the reaction is a silicon reduction reaction, the adhesiveness between the n + type semiconductor region 32 and the transition metal film 84 can be improved. Since the upper W film 84B is a silane reduction reaction, the amount of reduction of the surface of the n + -type semiconductor region 32 can be reduced, and the n + -type semiconductor region 32 having a shallow pn junction depth can be formed. The upper part of the transition metal film 84 is connected to a wiring (for example, an aluminum alloy film) 81 extending on the interlayer insulating film 80.

【0272】この図59に示す構造は、前記接続孔80
A内に埋込まれる遷移金属膜84の形成工程において、
下層のW膜84Aの形成後に若干の時間が経過した後上
層のW膜84Bを堆積すると、両者の界面が剥離する
(剥離部は符号84Cで示す)。この剥離は、下層のW膜
84Aに比べて上層のW膜84Bのストレスが大きいた
めに生じる。また、前記剥離は、反応副生成物例えばフ
ッ素系ガスが存在した場合にも生じる。
The structure shown in FIG.
In the step of forming the transition metal film 84 embedded in A,
When the upper layer W film 84B is deposited after a certain period of time has elapsed after the formation of the lower layer W film 84A, the interface between them is separated.
(The peeling portion is indicated by reference numeral 84C). This peeling occurs because the stress of the upper W film 84B is larger than that of the lower W film 84A. Further, the peeling is also caused when a reaction by-product such as a fluorine-based gas is present.

【0273】図60(要部断面図)に示すDRAM1
は、前記遷移金属膜84の下層のW膜84A、上層のW
膜84Bの夫々を連続形成し、両者の界面の剥離をなく
している。この遷移金属膜84の下層のW膜84A、上
層のW膜84Bの夫々の連続形成方法は次のとおりであ
る。
DRAM 1 shown in FIG.
Are the lower W film 84A and the upper W film 84A.
Each of the films 84B is formed continuously to prevent separation at the interface between them. The continuous formation method of the lower W film 84A and the upper W film 84B of the transition metal film 84 is as follows.

【0274】まず、図61(A)に枚葉式を採用した選
択CVD法においてW膜の堆積時間とソースガス流量と
の関係を示すように、CVD装置の反応炉内にソースガ
スとしてWF6を供給する。WF6は、前記図60に示す
接続孔80A内に露出するn+型半導体領域32の表面
のSiと反応し、下層のW膜84Aを形成し始める。こ
のWF6の供給と共に、図61(B)に示すように、堆
積時間と反応副生成物(F2,SiF3,SiF4)の発生
量との関係をモニターする。反応副生成物の発生量は、
反応炉からの排気ガス供給管に配置されたガスマス(ガ
スマスアナライザー)或は反応炉(チャンバー)内に配置
されたプラズマ発光モニタで測定することができる。
[0274] First, as shown a relationship between deposition time and source gas flow rate of the W film in selective CVD method employing the FIG. 61 (A) two wafer, WF as a source gas into the reaction furnace of the CVD apparatus 6 Supply. WF 6 reacts with Si on the surface of n + type semiconductor region 32 exposed in connection hole 80A shown in FIG. 60, and starts forming lower W film 84A. Along with the supply of WF 6 , the relationship between the deposition time and the amount of reaction by-products (F 2 , SiF 3 , SiF 4 ) is monitored, as shown in FIG. The amount of reaction by-products generated is
It can be measured by a gas mass (gas mass analyzer) arranged in an exhaust gas supply pipe from the reactor or a plasma emission monitor arranged in the reactor (chamber).

【0275】次に、前記下層のW膜84Aが形成される
と、n+型半導体領域32の表面のSiが露出しなくな
るので、W膜の堆積が自動的に停止されてしまうが、前
記図61(A)、(B)の夫々に示すように、反応副生
成物の発生量の減少から前記珪素還元反応の終了前にシ
ランガスを反応炉に供給し、上層のW膜84Bを堆積し
始める。つまり、珪素還元反応からシラン還元反応に切
換え、下層のW膜84A、上層のW膜84Bの夫々を連
続して順次形成する。この上層のW膜84Bは所定の膜
厚で堆積される。
Next, when the lower W film 84A is formed, Si on the surface of the n + type semiconductor region 32 is not exposed, and the deposition of the W film is automatically stopped. As shown in each of (A) and (B), before the end of the silicon reduction reaction, silane gas is supplied to the reaction furnace from the decrease in the amount of reaction by-products, and the upper W film 84B starts to be deposited. In other words, the silicon reduction reaction is switched to the silane reduction reaction, and the lower W film 84A and the upper W film 84B are sequentially formed. The upper W film 84B is deposited with a predetermined thickness.

【0276】このように、前記遷移金属膜84の下層の
W膜84A、上層のW膜84Bの夫々を連続して形成す
ることにより、両者間の界面の剥離を防止することがで
きる。
As described above, by successively forming the lower W film 84A and the upper W film 84B of the transition metal film 84, separation at the interface between them can be prevented.

【0277】また、枚葉式を採用することにより、前記
遷移金属膜84の膜厚の制御性をバッチ式に比べて向上
することができる。
Further, by employing the single-wafer method, the controllability of the film thickness of the transition metal film 84 can be improved as compared with the batch method.

【0278】(実施の形態4)本実施の形態4は、前述
の実施の形態1のDRAM1のメモリセルMのスタック
ド構造の情報蓄積用容量素子Cにおいて、誘電体膜36
の好適な形成方法及び実施装置について説明した、本発
明の第4実施の形態である。
(Embodiment 4) The present embodiment 4 is directed to the information storage capacitor C of the stacked structure of the memory cell M of the DRAM 1 of the above-described embodiment 1 in which the dielectric film 36 is used.
It is a fourth embodiment of the present invention, which describes a preferred forming method and apparatus.

【0279】本発明の実施の形態4である枚葉式のCV
D装置を図62(概略構成図)に示す。
[0279] Single-wafer CV according to Embodiment 4 of the present invention
The D apparatus is shown in FIG. 62 (schematic configuration diagram).

【0280】図62に示すように、枚葉式のCVD装置
は、主にロードアンロード室90、搬送室91、前処理
室92、第1反応炉室93及び第2反応炉室94で構成
されている。各処理室90〜94の夫々はゲートバルブ
96を介在させて連結されている。
As shown in FIG. 62, the single wafer type CVD apparatus mainly comprises a load / unload chamber 90, a transfer chamber 91, a pretreatment chamber 92, a first reaction chamber 93, and a second reaction chamber 94. Have been. Each of the processing chambers 90 to 94 is connected via a gate valve 96.

【0281】前記ロードアンロード室90は半導体ウエ
ーハ100を複数枚収納したカセット90Aが着脱自在
に取り付けられるように構成されている。このロードア
ンロード室90は、前記搬送室91に未処理の半導体ウ
エーハ100を供給し、又搬送室91から処理済みの半
導体ウエーハ100を収納するように構成されている。
The load / unload chamber 90 is configured such that a cassette 90A accommodating a plurality of semiconductor wafers 100 is removably mounted. The load / unload chamber 90 is configured to supply the unprocessed semiconductor wafer 100 to the transfer chamber 91 and to store the processed semiconductor wafer 100 from the transfer chamber 91.

【0282】搬送室91は、各処理室92〜93の夫々
に未処理の半導体ウエーハ100を供給し、又処理済の
半導体ウエーハ100を各処理室92〜93の夫々から
取り出せるように構成されている。図63(要部概略構
成図)に示すように、前記半導体ウエーハ100の供給
及び取り出しは、回転駆動装置91Aに連結されかつそ
れで駆動されるウエーハ搬送アーム&トレイ91Bで行
われる。この搬送室91は、各処理室90、92〜93
の夫々と同様に、装置外部の大気と遮断され、H2Oや
2が存在しない高真空度に保持されている。
The transfer chamber 91 is configured to supply the unprocessed semiconductor wafer 100 to each of the processing chambers 92 to 93, and to take out the processed semiconductor wafer 100 from each of the processing chambers 92 to 93. I have. As shown in FIG. 63 (main part schematic configuration diagram), the supply and unloading of the semiconductor wafer 100 is performed by a wafer transfer arm & tray 91B which is connected to and driven by the rotary drive device 91A. The transfer chamber 91 includes processing chambers 90, 92 to 93.
As in the case of each of the above, it is shut off from the atmosphere outside the apparatus, and is maintained at a high degree of vacuum where H 2 O and O 2 do not exist.

【0283】この搬送室91には、前記図62及び図6
3に示すように、紫外線照射ランプ95が設けられてい
る。この紫外線照射ランプ95は、搬送室91に搬送さ
れた半導体ウエーハ100の表面に少なくとも5〜6
[eV]程度以上のエネルギの紫外線を照射し、後述する
がSi−F間の結合を破壊するように構成されている。
In the transfer chamber 91, as shown in FIG.
As shown in FIG. 3, an ultraviolet irradiation lamp 95 is provided. The ultraviolet irradiation lamp 95 has at least 5 to 6
It is configured to irradiate ultraviolet rays having energy of about [eV] or more to break the bond between Si and F, as described later.

【0284】前記前処理室92は前処理用モジュール9
2Aが設けられている。この前処理用モジュール92A
は、主に、ホットプレート92a、温調器92b、排気
管92c、真空ポンプ92d、ラジカル発生管92e、
マイクロ波発生部92f、マイクロ波電源92g及びガ
ス制御部92hで構成されている。つまり、前処理室9
2は、半導体ウエーハ100の表面上の多結晶珪素膜の
表面に形成される自然酸化珪素膜を異方性エッチングに
より除去できるように構成されている。この多結晶珪素
膜は、前述の実施の形態1のDRAM1において、スタ
ックド構造の情報蓄積用容量素子Cの下層電極層35に
相当する。前記異方性エッチング(ドライエッチング)は
酸素ガス及びハロゲン化合物(CHF3又はCF4)を使用
する。
The pre-processing chamber 92 contains the pre-processing module 9
2A is provided. This preprocessing module 92A
Mainly include a hot plate 92a, a temperature controller 92b, an exhaust pipe 92c, a vacuum pump 92d, a radical generation pipe 92e,
It comprises a microwave generator 92f, a microwave power supply 92g and a gas controller 92h. That is, the pretreatment chamber 9
Numeral 2 is configured so that a natural silicon oxide film formed on the surface of the polycrystalline silicon film on the surface of the semiconductor wafer 100 can be removed by anisotropic etching. This polycrystalline silicon film corresponds to the lower electrode layer 35 of the information storage capacitor C having the stacked structure in the DRAM 1 of the first embodiment. The anisotropic etching (dry etching) uses an oxygen gas and a halogen compound (CHF 3 or CF 4 ).

【0285】前記第1反応炉室93、第2反応炉室94
の夫々には共通(各々独立)のクリーニング用モジュール
93Aが設けられている。第1反応炉室93、第2反応
炉室94の夫々は、図64(要部概略構成図)に示すよ
うに、主にソースガス供給管93a、ソースガス吹き出
しプレート93b、プレート冷却管93c、サセプタ9
3d、ウエーハ加熱ヒータ93e、反応炉冷却管93
f、排気管93g、真空ゲートバルブ93h及び真空ポ
ンプ93iで構成されている。これに限定されないが、
第1反応炉室93は窒化珪素膜(誘電体膜36の下層の
窒化珪素膜36A)を堆積し、第2反応炉室94は多結
晶珪素膜(下層電極層35又は上層電極層37)を堆積
できるように構成されている。
The first reactor chamber 93 and the second reactor chamber 94
Are provided with a common (independent) cleaning module 93A. As shown in FIG. 64 (main part schematic configuration diagram), each of the first reaction chamber 93 and the second reaction chamber 94 mainly includes a source gas supply pipe 93a, a source gas blowing plate 93b, a plate cooling pipe 93c, Susceptor 9
3d, wafer heater 93e, reactor cooling tube 93
f, an exhaust pipe 93g, a vacuum gate valve 93h, and a vacuum pump 93i. Without limitation,
The first reaction chamber 93 deposits a silicon nitride film (a silicon nitride film 36A under the dielectric film 36), and the second reaction chamber 94 deposits a polycrystalline silicon film (the lower electrode layer 35 or the upper electrode layer 37). It is configured so that it can be deposited.

【0286】DRAM1は16[Mbit]の大容量で構成
されると、例えばスタックド構造の情報蓄積用容量素子
Cの下層電極層35や誘電体膜36の膜厚の制御性を高
く要求される。したがって、このDRAM1の製造には
枚葉式のCVD装置が好適である。前記第1反応炉室9
3、第2反応炉室94の夫々には、サセプタ93dで保
持された半導体ウエーハ100の被堆積面となる表面に
対向した位置にソースガス吹き出しプレート93bを配
置し、半導体ウエーハ100の表面に均一な膜厚及び膜
質で膜を堆積できるように構成されている。前記第1反
応炉室93、第2反応炉室94の夫々は、反応炉冷却管
93fにより全体的に低温度に保持され、ウエーハ加熱
ヒータ93eにより半導体ウエーハ100のみ反応に最
適な温度に加熱されている。
If the DRAM 1 has a large capacity of 16 [Mbit], for example, high controllability of the thickness of the lower electrode layer 35 and the dielectric film 36 of the information storage capacitor C having a stacked structure is required. Therefore, a single-wafer CVD apparatus is suitable for manufacturing the DRAM 1. The first reaction chamber 9
3. In each of the second reactor chambers 94, a source gas blow-out plate 93b is disposed at a position facing the surface of the semiconductor wafer 100 held by the susceptor 93d, which is to be a deposition surface, so that the surface of the semiconductor wafer 100 is uniformly formed. It is configured so that a film can be deposited with an appropriate thickness and film quality. Each of the first reaction chamber 93 and the second reaction chamber 94 is maintained at a low temperature as a whole by a reactor cooling pipe 93f, and only the semiconductor wafer 100 is heated to a temperature optimum for the reaction by a wafer heater 93e. ing.

【0287】また、前記ソースガス吹き出しプレート9
3bは、半導体ウエーハ100の輻射熱による温度上昇
を低減するために、プレート冷却管93cが設けられて
いる。ソースガスの吹き出し口の近傍で即座に反応生成
された微粒子は半導体ウエーハ100の表面に達した時
点で粗大粒子に成長し異物となるので、ソースガス吹き
出しプレート93bはプレート冷却管93cにより冷却
する必要がある。
The source gas blow-out plate 9
3b is provided with a plate cooling pipe 93c in order to reduce a temperature rise due to radiant heat of the semiconductor wafer 100. The fine particles immediately generated by the reaction in the vicinity of the outlet of the source gas grow into coarse particles and become foreign matters when they reach the surface of the semiconductor wafer 100. Therefore, the source gas blowing plate 93b needs to be cooled by the plate cooling pipe 93c. There is.

【0288】前記枚葉式のCVD装置は前述のように第
1反応炉室93、第2反応炉室94の夫々の前段に前処
理室92が設けられた一貫連続処理であり、その処理方
法は次のとおりである。
The single-wafer CVD apparatus is an integrated continuous process in which a pretreatment chamber 92 is provided at a stage preceding each of the first reaction chamber 93 and the second reaction chamber 94 as described above. Is as follows.

【0289】まず、図62に示すように、半導体ウエー
ハ100はロードアンロード室90から搬送室91を介
在させて前処理室92に搬送される。半導体ウエーハ1
00の表面には多結晶珪素膜が堆積されている。
First, as shown in FIG. 62, the semiconductor wafer 100 is transferred from the load / unload chamber 90 to the pre-processing chamber 92 via the transfer chamber 91. Semiconductor wafer 1
On the surface of No. 00, a polycrystalline silicon film is deposited.

【0290】次に、この前処理室92は、図62及び図
63に示すように、半導体ウエーハ100の表面の多結
晶珪素膜の表面に形成された自然酸化珪素膜を異方性エ
ッチングにより除去する。この異方性エッチングは前述
のように酸化ガス及びハロゲン化合物をエッチングガス
として使用し行う。
Next, as shown in FIGS. 62 and 63, the pretreatment chamber 92 removes the natural silicon oxide film formed on the surface of the polycrystalline silicon film on the surface of the semiconductor wafer 100 by anisotropic etching. I do. This anisotropic etching is performed using an oxidizing gas and a halogen compound as an etching gas as described above.

【0291】次に、前処理室92で自然酸化珪素膜が除
去された半導体ウエーハ100は搬送室91に搬送さ
れ、この搬送室91において前記多結晶珪素膜の表面に
紫外線照射ランプ95により紫外線を照射する。この紫
外線の照射は、前記多結晶珪素膜の表面に異方性エッチ
ングによる発生したフッ素(F)が付着するので、このフ
ッ素をラジカルとして多結晶珪素膜の表面から飛ばす作
用がある。
Next, the semiconductor wafer 100 from which the natural silicon oxide film has been removed in the pretreatment chamber 92 is transferred to the transfer chamber 91, where ultraviolet light is applied to the surface of the polycrystalline silicon film by an ultraviolet irradiation lamp 95. Irradiate. The irradiation of the ultraviolet rays has an effect of causing fluorine (F) generated by anisotropic etching to adhere to the surface of the polycrystalline silicon film, so that the fluorine is emitted as radicals from the surface of the polycrystalline silicon film.

【0292】次に、半導体ウエーハ100は搬送室91
を介して第1反応炉室93、第2反応炉室94の夫々に
順次搬送され、この第1反応炉室93、第2反応炉室9
4の夫々で多結晶珪素膜の表面上に窒化珪素膜等が堆積
される。
Next, the semiconductor wafer 100 is transferred to the transfer chamber 91.
Are sequentially transported to the first reaction chamber 93 and the second reaction chamber 94 respectively through the first reaction chamber 93 and the second reaction chamber 9.
4, a silicon nitride film or the like is deposited on the surface of the polycrystalline silicon film.

【0293】そして、処理が終了した半導体ウエーハ1
00は搬送室91を介在させてローダアンローダ室90
に収納される。
Then, the semiconductor wafer 1 for which the processing has been completed
Reference numeral 00 denotes a loader / unloader chamber 90 with a transfer chamber 91 interposed.
Is stored in.

【0294】このように、(39−24)半導体ウエーハ
100の表面に堆積された多結晶珪素膜(又は半導体ウ
エーハ100の表面)に絶縁膜又は導電膜を堆積する膜
堆積方法において、真空系内で前記半導体ウエーハ10
0の表面の多結晶珪素膜の表面を前処理室92で洗浄
し、この多結晶珪素膜の表面を露出させる工程と、前記
洗浄工程と同一真空系内で前記多結晶珪素膜の表面に前
記第1反応炉室93又は第2反応炉室94で絶縁膜又は
導電膜を堆積する工程とを備える。この構成により、前
記多結晶珪素膜の表面に形成される自然酸化珪素膜を洗
浄工程で除去した後、大気に触れることなく、前記多結
晶珪素膜の表面に絶縁膜又は導電膜を堆積することがで
きるので、前記多結晶珪素膜の表面と前記絶縁膜又は導
電膜との間に前記自然酸化珪素膜を介在させることがな
くなる。この結果、前記多結晶珪素膜の表面とその表面
上に堆積される絶縁膜例えば誘電体膜36の窒化珪素膜
36Aの厚さを前記自然酸化珪素膜に相当する分薄くす
ることができるので、スタックド構造の情報蓄積用容量
素子Cの電荷蓄積量を増加することができる。また、多
結晶珪素膜の表面とその表面上に堆積される導電膜との
間の導通を確実に行うことができる。
As described above, in the (39-24) film deposition method for depositing an insulating film or a conductive film on the polycrystalline silicon film (or the surface of the semiconductor wafer 100) deposited on the surface of the semiconductor wafer 100, the vacuum system And the semiconductor wafer 10
Cleaning the surface of the polycrystalline silicon film on the surface of No. 0 in the pretreatment chamber 92, exposing the surface of the polycrystalline silicon film, and forming the surface of the polycrystalline silicon film in the same vacuum system as the cleaning step. Depositing an insulating film or a conductive film in the first reaction chamber 93 or the second reaction chamber 94. With this configuration, after removing the natural silicon oxide film formed on the surface of the polycrystalline silicon film in a cleaning step, depositing an insulating film or a conductive film on the surface of the polycrystalline silicon film without contacting the atmosphere. Therefore, the natural silicon oxide film is not interposed between the surface of the polycrystalline silicon film and the insulating film or the conductive film. As a result, the thickness of the surface of the polycrystalline silicon film and the thickness of the insulating film deposited on the surface, for example, the silicon nitride film 36A of the dielectric film 36 can be reduced by an amount corresponding to the natural silicon oxide film. The amount of charge stored in the information storage capacitor C having a stacked structure can be increased. In addition, conduction between the surface of the polycrystalline silicon film and the conductive film deposited on the surface can be ensured.

【0295】また、(40−25)半導体ウエーハ100
の表面の多結晶珪素膜(又は半導体ウエーハ100)の表
面に絶縁膜を堆積する膜堆積方法において、真空系内で
前記半導体ウエーハ100の表面の多結晶珪素膜の表面
をハロゲン化合物を使用する異方性エッチングで洗浄
し、この多結晶珪素膜の表面を露出させる工程と、前記
洗浄工程と同一真空系内で前記露出された多結晶珪素膜
の表面に紫外線を照射する工程と、前記洗浄工程と同一
真空系内で前記多結晶珪素膜の表面に前記絶縁膜(例え
ば窒化珪素膜)を堆積する工程とを備える。この構成に
より、前記多結晶珪素膜の表面を洗浄した際にその表面
に付着するハロゲン元素のラジカルを前記紫外線で除去
することができるので、前記多結晶珪素膜の表面に堆積
される絶縁膜例えば窒化珪素膜のリーク電流の増大やエ
ッチング速度の変化を低減することができる。
Also, (40-25) semiconductor wafer 100
In the film deposition method for depositing an insulating film on the surface of the polycrystalline silicon film (or the semiconductor wafer 100) on the surface of the semiconductor wafer 100, a method of using a halogen compound on the surface of the polycrystalline silicon film on the surface of the semiconductor wafer 100 in a vacuum system. Cleaning by anisotropic etching to expose the surface of the polycrystalline silicon film; irradiating the exposed surface of the polycrystalline silicon film with ultraviolet rays in the same vacuum system as the cleaning step; Depositing the insulating film (for example, a silicon nitride film) on the surface of the polycrystalline silicon film in the same vacuum system. According to this configuration, when the surface of the polycrystalline silicon film is cleaned, radicals of a halogen element adhering to the surface can be removed by the ultraviolet light, so that an insulating film deposited on the surface of the polycrystalline silicon film, for example, An increase in leak current and a change in etching rate of the silicon nitride film can be reduced.

【0296】(実施の形態5)本実施の形態5は、前述
の実施の形態1のDRAM1のメモリセルMのスタック
ド構造の情報蓄積用容量素子Cにおいて、下層電極層3
5の好適な形成方法及び実施の形態施装置について説明
した、本発明の第5実施の形態である。
(Embodiment 5) The present embodiment 5 is directed to the information storage capacitor C having the stacked structure of the memory cell M of the DRAM 1 of the embodiment 1 described above.
Fifth Preferred Embodiment A fifth preferred embodiment of the present invention, which describes the fifth preferred forming method and the preferred embodiment.

【0297】本発明の実施の形態5である枚葉式のCV
D法を図65(CVD装置のソースガスバルブの開閉動
作を示すタイムチャート)、図66(前記ソースガスの
流量を示すタイムチャート)の夫々に示す。
The single-wafer type CV according to the fifth embodiment of the present invention.
Method D is shown in FIG. 65 (a time chart showing the opening and closing operation of the source gas valve of the CVD apparatus) and FIG. 66 (a time chart showing the flow rate of the source gas).

【0298】前記実施の形態1のDRAM1のメモリセ
ルMのスタックド構造の情報蓄積用容量素子Cの下層電
極層35は、前述のように、電荷蓄積量を増加するため
に厚い膜厚で形成されている。下層電極層35の膜厚が
厚い場合、抵抗値を低減するn型不純物の導入が難しく
なるが、本実施の形態5は、前記n型不純物が導入され
た多結晶珪素膜を堆積する技術所謂ドープドポリシリコ
ン技術を利用し、前記下層電極層35を形成している。
As described above, the lower electrode layer 35 of the information storage capacitance element C of the stacked structure of the memory cell M of the DRAM 1 of the first embodiment is formed with a large film thickness in order to increase the charge storage amount. ing. When the lower electrode layer 35 has a large thickness, it is difficult to introduce an n-type impurity for reducing the resistance value. However, in the fifth embodiment, a technique of depositing a polycrystalline silicon film into which the n-type impurity is introduced is a so-called technique. The lower electrode layer 35 is formed by using a doped polysilicon technology.

【0299】通常、CVD法で堆積されるn型不純物が
導入されていない多結晶珪素膜は、下地の段差部におい
てステップカバレッジが高いが、膜厚が厚くなると堆積
後のn型不純物の導入が難しい。一方、CVD法で堆積
されるn型不純物が導入されている多結晶珪素膜は、n
型不純物の導入は簡単であるが、下地の段差部において
ステップカバレッジが悪い。そこで、本実施の形態5
は、n型不純物が導入されていない多結晶珪素膜、n型
不純物が導入されている多結晶珪素膜の夫々を交互に積
層し、下地の段差部でのステップカバレッジを向上す
る。また、夫々の多結晶珪素膜を堆積した後には熱処理
を施し、n型不純物が導入されている多結晶珪素膜から
n型不純物が導入されていない多結晶珪素膜にn型不純
物を導入する。
Normally, a polycrystalline silicon film to which an n-type impurity is not introduced, which is deposited by the CVD method, has a high step coverage at the step portion of the base. However, when the film thickness is large, the introduction of the n-type impurity after the deposition is difficult. difficult. On the other hand, a polycrystalline silicon film into which an n-type impurity deposited by a CVD method is introduced
Although the introduction of the type impurity is simple, the step coverage is poor at the step portion of the base. Therefore, the fifth embodiment
In this method, a polycrystalline silicon film into which an n-type impurity has not been introduced and a polycrystalline silicon film into which an n-type impurity has been introduced are alternately laminated to improve step coverage at a step portion of a base. After depositing each polycrystalline silicon film, a heat treatment is performed to introduce an n-type impurity from the polycrystalline silicon film into which the n-type impurity has been introduced to the polycrystalline silicon film into which the n-type impurity has not been introduced.

【0300】図65はCVD装置のソースガス供給管に
配置された制御バルブの開閉動作を示している。ソース
ガスは無機シラン(SiH4又はSi26)ガス、フォ
スフィン(PH3)ガスの夫々を使用する。ソースガス
のうち無機シランガスの供給を制御するバルブは図65
(A)に示すように所定の膜厚に達するように一定時間
開かれる。これに対して、フォスフィンガスを供給する
制御バルブは図65(B)に示すように無機シランガス
の制御バルブの開時に定期的に開閉動作を繰り返す。図
66(A)には前記制御バルブで供給が制御された無機
シランガスの流量、図66(B)にはフォスフィンガス
の流量の夫々を示している。また、前記フォスフィンガ
スの断続的な供給はマスフローコントローラの設定値を
上下させることによっても制御することができる。この
制御バルブ又はマスフローコントローラによるフォスフ
ィンガスの断続的な供給の切換は1〜2[秒]程度の高速
で行うことができる。
FIG. 65 shows the opening / closing operation of a control valve arranged in the source gas supply pipe of the CVD apparatus. As the source gas, an inorganic silane (SiH 4 or Si 2 H 6 ) gas and a phosphine (PH 3 ) gas are used. FIG. 65 shows a valve for controlling the supply of the inorganic silane gas in the source gas.
As shown in (A), it is opened for a certain time to reach a predetermined film thickness. On the other hand, the control valve for supplying the phosphine gas periodically repeats the opening / closing operation when the control valve for the inorganic silane gas is opened as shown in FIG. FIG. 66A shows the flow rate of the inorganic silane gas whose supply is controlled by the control valve, and FIG. 66B shows the flow rate of the phosphine gas. The intermittent supply of the phosphine gas can also be controlled by raising or lowering the set value of the mass flow controller. The intermittent switching of the phosphine gas by the control valve or the mass flow controller can be performed at a high speed of about 1 to 2 seconds.

【0301】また、図67(枚葉式のCVD装置の概略
構成図)に示すように、ソースガス(PH3)供給管9
3aの反応炉室93(又は94)の近傍にストップバル
ブ93jを設け、このストップバルブ93jによりソー
スガスを反応炉室93、真空ポンプ93iの夫々に高速
に供給してもよい。この図67に示すCVD装置はフォ
スフィンガスの断続的な供給の切換を約0.1[秒]程度
で行うことができる。
As shown in FIG. 67 (schematic diagram of a single wafer type CVD apparatus), a source gas (PH 3 ) supply pipe 9 is provided.
A stop valve 93j may be provided in the vicinity of the reaction chamber 93 (or 94) of 3a, and the stop valve 93j may supply a source gas to the reaction chamber 93 and the vacuum pump 93i at high speed. The CVD apparatus shown in FIG. 67 can perform intermittent switching of the supply of phosphine gas in about 0.1 [second].

【0302】このように、(43−26)段差形状を有
する下地表面上に多結晶珪素膜(例えば下層電極層3
5)を堆積する膜堆積方法において、前記下地表面上
に、抵抗値を低減するn型不純物を含有する多結晶珪素
膜、前記n型不純物を含有しない多結晶珪素膜の夫々を
交互に複数層堆積する工程と、この積層された多結晶珪
素膜に熱処理を施し、前記n型不純物を含有する多結晶
珪素膜からn型不純物を含有しない多結晶珪素膜に前記
n型不純物を拡散する工程とを備える。この構成によ
り、前記下地表面の段差形状の領域において、n型不純
物を含有する多結晶珪素膜のステップカバレッジをn型
不純物を含有しない多結晶珪素膜で補うことができるの
で、多結晶珪素膜の膜厚を均一化することができると共
に、前記n型不純物を含有する多結晶珪素膜からn型不
純物を含有しない多結晶珪素膜に前記n型不純物を拡散
することができるので、前記複数積層された多結晶珪素
膜の不純物濃度を均一化しながら厚い膜厚を確保するこ
とができる。
As described above, the polycrystalline silicon film (for example, the lower electrode layer 3) is formed on the base surface having the (43-26) step shape.
5) In the film deposition method for depositing, a polycrystalline silicon film containing an n-type impurity for reducing a resistance value and a polycrystalline silicon film containing no n-type impurity are alternately formed on the base surface by a plurality of layers. Depositing and heat-treating the laminated polycrystalline silicon film to diffuse the n-type impurity from the n-type impurity-containing polycrystalline silicon film to the n-type impurity-free polycrystalline silicon film. Is provided. With this configuration, in the step-shaped region of the base surface, the step coverage of the polycrystalline silicon film containing the n-type impurity can be supplemented by the polycrystalline silicon film containing no n-type impurity. The n-type impurity can be diffused from the polycrystalline silicon film containing the n-type impurity to the polycrystalline silicon film not containing the n-type impurity while the film thickness can be made uniform. A thick film thickness can be ensured while making the impurity concentration of the polycrystalline silicon film uniform.

【0303】また、(44−27)段差形状を有する下
地表面上に多結晶珪素膜を堆積する膜堆積方法におい
て、前記多結晶珪素膜を堆積する真空系内に無機シラン
ガスを一定の流量で流し、熱分解に基づき不純物を含有
しない多結晶珪素膜を堆積すると共に、前記真空系内に
流量を定期的に増減してフォスフィンガスを流し、前記
堆積される多結晶珪素膜に定期的にn型不純物(P)を
含有させる。この構成により、前記n型不純物を含有す
る多結晶珪素膜、n型不純物を含有しない多結晶珪素膜
の夫々を同一真空系内で連続的に堆積することができる
ので、多結晶珪素膜の堆積時間を短縮することができ
る。つまり、DRAM1のスループットを向上すること
ができる。
(44-27) In a film deposition method for depositing a polycrystalline silicon film on an undersurface having a stepped shape, an inorganic silane gas is flowed at a constant flow rate into a vacuum system for depositing the polycrystalline silicon film. Depositing a polycrystalline silicon film containing no impurities based on thermal decomposition, and periodically increasing or decreasing the flow rate in the vacuum system to flow a phosphine gas, and periodically depositing n on the deposited polycrystalline silicon film. Type impurity (P) is contained. With this configuration, the polycrystalline silicon film containing the n-type impurity and the polycrystalline silicon film not containing the n-type impurity can be successively deposited in the same vacuum system. Time can be reduced. That is, the throughput of the DRAM 1 can be improved.

【0304】(実施の形態6)本実施の形態6は、前述
のDRAM1の製造方法において、MISFETのしき
い値電圧を設定する工程を低減した、本発明の第6実施
の形態である。
(Embodiment 6) Embodiment 6 is a sixth embodiment of the present invention in which the step of setting the threshold voltage of the MISFET is reduced in the method of manufacturing the DRAM 1 described above.

【0305】本発明の実施の形態6であるDRAM1の
製造方法について、図68乃至図71(各製造工程毎に
示す要部断面図)を用いて簡単に説明する。
A method of manufacturing DRAM 1 according to the sixth embodiment of the present invention will be briefly described with reference to FIGS. 68 to 71 (cross-sectional views showing main parts in respective manufacturing steps).

【0306】本実施の形態6は、前記実施の形態1のD
RAM1で使用される6個のMISFETのしきい値電
圧を設定する。つまり、nチャネルMISFETとして
はメモリセルMのメモリセル選択用MISFETQs、
標準しきい値電圧を有するnチャネルMISFETQ
n、低しきい値電圧を有するnチャネルMISFETQ
nの夫々である。pチャネルMISFETとしては標準
しきい値電圧を有するpチャネルMISFETQp、低
しきい値電圧を有するpチャネルMISFETQp、高
しきい値電圧を有するpチャネルMISFETQpの夫
々である。
The sixth embodiment is different from the first embodiment in that
The threshold voltages of the six MISFETs used in the RAM 1 are set. That is, as the n-channel MISFET, the MISFET Qs for selecting the memory cell of the memory cell M,
N-channel MISFET Q having a standard threshold voltage
n, n-channel MISFET Q having low threshold voltage
n. The p-channel MISFET includes a p-channel MISFET Qp having a standard threshold voltage, a p-channel MISFET Qp having a low threshold voltage, and a p-channel MISFET Qp having a high threshold voltage.

【0307】前記メモリセル選択用MISFETQs
(後述する製造方法において領域Iに形成される)はn
チャネルMISFETとしては最っとも高いしきい値電
圧に設定される。つまり、メモリセル選択用MISFE
TQsは、メモリセルアレイ11Eにおいて、p−型ウ
エル領域22の主面部にp型半導体領域25Bが形成さ
れるので、表面の不純物濃度が高くなり、しきい値電圧
が高く設定される。具体的には、メモリセル選択用MI
SFETQsは、ゲート長寸法を0.8[μm]で形成し
た場合、しきい値電圧を0.8[V]に設定している。
The memory cell selecting MISFET Qs
(Formed in region I in a manufacturing method described later) is n
The channel MISFET is set to the highest threshold voltage. That is, the memory cell selecting MISFE
In the TQs, in the memory cell array 11E, the p-type semiconductor region 25B is formed in the main surface portion of the p − -type well region 22, so that the impurity concentration on the surface is increased and the threshold voltage is set high. Specifically, the memory cell selection MI
When the gate length dimension of the SFET Qs is 0.8 [μm], the threshold voltage is set to 0.8 [V].

【0308】前記標準しきい値電圧を有するnチャネル
MISFETQn(領域IIIに形成される)は、センス
アンプ回路(SA)13を除く周辺回路の大部分つまり
低電源電圧Vccで動作させる領域で使用されている。こ
の標準しきい値電圧を有するnチャネルMISFETQ
nは、ゲート長寸法を0.8[μm]で形成した場合、し
きい値電圧を0.5[V]に設定している。
The n-channel MISFET Qn having the standard threshold voltage (formed in the region III) is used in most of the peripheral circuits except the sense amplifier circuit (SA) 13, that is, in the region operated at the low power supply voltage Vcc. ing. N-channel MISFET Q having this standard threshold voltage
For n, when the gate length is formed at 0.8 [μm], the threshold voltage is set to 0.5 [V].

【0309】前記低しきい値電圧を有するnチャネルM
ISFETQn(領域IIに形成される)は、主に前記セ
ンスアンプ回路13、出力バッファ回路1702の夫々
で使用されている。この低しきい値電圧を有するnチャ
ネルMISFETQnは、ゲート電極27の加工ばらつ
き特にゲート長寸法の変動に基づくしきい値電圧の変動
を低減するためにゲート長寸法を長く構成している。セ
ンスアンプ回路13は、ゲート長寸法が長くなると情報
判定時の感度が低下するので、nチャネルMISFET
Qnのしきい値電圧を低くしている。また、出力バッフ
ァ回路1702は、ゲート長寸法が長くなると次段装置
の駆動能力が低下するので、nチャネルMISFETQ
nのしきい値電圧を低く設定している。この低しきい値
電圧を有するnチャネルMISFETQnは、ゲート長
寸法を1.4[μm]で形成し、しきい値電圧を0.5[V]
に設定している。つまり、低しきい値電圧を有するnチ
ャネルMISFETQnは、ゲート長寸法を0.8[μ
m]に換算しなおすと、しきい値電圧を0.3[V]に設定
していることになる。
The n-channel M having the low threshold voltage
The ISFET Qn (formed in the region II) is mainly used in each of the sense amplifier circuit 13 and the output buffer circuit 1702. The n-channel MISFET Qn having the low threshold voltage has a long gate length in order to reduce a variation in threshold voltage due to a variation in processing of the gate electrode 27, particularly a change in the gate length. The sense amplifier circuit 13 has a problem that the sensitivity at the time of information determination is reduced when the gate length dimension is increased.
The threshold voltage of Qn is lowered. In addition, the output buffer circuit 1702 has the n-channel MISFET Q
The threshold voltage of n is set low. The n-channel MISFET Qn having this low threshold voltage has a gate length of 1.4 [μm] and a threshold voltage of 0.5 [V].
Is set to That is, the n-channel MISFET Qn having a low threshold voltage has a gate length of 0.8 [μ].
m], the threshold voltage is set to 0.3 [V].

【0310】一方、前記標準しきい値電圧を有するpチ
ャネルMISFETQp(領域IVに形成される)は、セ
ンスアンプ回路13を除く周辺回路の大部分つまり低電
源電圧Vccで動作させる領域で使用されている。この標
準しきい値電圧を有するpチャネルMISFETQp
は、ゲート長寸法を0.8[μm]で形成した場合、しき
い値電圧を−0.5[V]に設定している。
On the other hand, the p-channel MISFET Qp having the standard threshold voltage (formed in the region IV) is used in most of the peripheral circuits except the sense amplifier circuit 13, that is, in the region operated at the low power supply voltage Vcc. I have. P-channel MISFET Qp having this standard threshold voltage
Sets the threshold voltage to -0.5 [V] when the gate length is formed at 0.8 [μm].

【0311】前記低しきい値電圧を有するpチャネルM
ISFETQp(領域Vに形成される)は前記センスア
ンプ回路13で使用される。また、低しきい値電圧を有
するpチャネルMISFETQpはVCC用リミッタ回
路1804、VDL用リミッタ回路1810の夫々の基
準電圧発生回路の基準電位(前記低電源電圧Vcc約3.
3[V]を形成するための基準電位−1.0[V])を形成
する一方のpチャネルMISFETQpとして使用され
る。センスアンプ回路13として使用される低しきい値
電圧を有するpチャネルMISFETQpは、ゲート長
寸法を1.4[μm]で形成し、しきい値電圧を−0.5
[V]に設定している(しきい値電圧は絶対値で低い)。
つまり、低しきい値電圧を有するpチャネルMISFE
TQpは、ゲート長寸法を0.8[μm]に換算しなおす
と、しきい値電圧を−0.2[V]に設定していることに
なる。一方、基準電圧発生回路で使用される低しきい値
電圧を有するpチャネルMISFETQpは、ゲート長
寸法を8[μm]で形成し、しきい値電圧を−0.6[V]
に設定している。つまり、低しきい値電圧を有するpチ
ャネルMISFETQpは、ゲート長寸法を0.8[μ
m]に換算しなおすと、しきい値電圧を−0.2[V]に設
定していることになる。
The p-channel M having the low threshold voltage
ISFET Qp (formed in region V) is used in sense amplifier circuit 13. The p-channel MISFET Qp having a low threshold voltage is connected to the reference potential of each of the reference voltage generating circuits of the VCC limiter circuit 1804 and the VDL limiter circuit 1810 (the low power supply voltage Vcc of about 3.
It is used as one p-channel MISFET Qp that forms a reference potential of -1.0 [V] for forming 3 [V]. The p-channel MISFET Qp having a low threshold voltage used as the sense amplifier circuit 13 has a gate length of 1.4 [μm] and a threshold voltage of -0.5.
[V] (threshold voltage is low in absolute value).
That is, a p-channel MISFE having a low threshold voltage
When the gate length is converted back to 0.8 [μm], the threshold voltage of TQp is set to −0.2 [V]. On the other hand, the p-channel MISFET Qp having a low threshold voltage used in the reference voltage generating circuit has a gate length of 8 [μm] and a threshold voltage of -0.6 [V].
Is set to That is, the p-channel MISFET Qp having a low threshold voltage has a gate length of 0.8 [μ].
m], the threshold voltage is set to -0.2 [V].

【0312】前記高しきい値電圧を有するpチャネルM
ISFETQp(領域VIに形成される)は前記基準電圧
発生回路の基準電位を形成する他方のpチャネルMIS
FETQpとして使用される。この基準電圧発生回路で
使用される高しきい値電圧を有するpチャネルMISF
ETQpは、ゲート長寸法を8[μm]で形成し、しきい
値電圧を−1.6[V]に設定している(しきい値電圧は
絶対値で高い)。つまり、高しきい値電圧を有するpチ
ャネルMISFETQpは、ゲート長寸法を0.8[μ
m]に換算しなおすと、しきい値電圧を−1.2[V]に設
定していることになる。
The p-channel M having the high threshold voltage
ISFET Qp (formed in region VI) is the other p-channel MIS that forms the reference potential of the reference voltage generation circuit.
Used as FET Qp. P-channel MISF having a high threshold voltage used in this reference voltage generating circuit
ETQp has a gate length of 8 [μm] and a threshold voltage of -1.6 [V] (the threshold voltage is high in absolute value). That is, the p-channel MISFET Qp having a high threshold voltage has a gate length of 0.8 [μ].
m], the threshold voltage is set to -1.2 [V].

【0313】次に、このDRAM1の夫々のMISFE
Tの形成方法について簡単に説明する。
Next, each MISFE of the DRAM 1
A method for forming T will be briefly described.

【0314】まず、前述の実施の形態1のDRAM1の
製造方法と同様に、p−型半導体基板20の主面部にn
−型ウエル領域21、p−型ウエル領域22の夫々を形
成し、この後、素子間分離用絶縁膜23、p型チャネル
ストッパ領域24、p型チャネルストッパ領域25A、
p型半導体領域25Bの夫々を順次形成する。この形成
された状態を図68に示す。前記DRAM1は高集積化
により、pチャネルMISFETQp間の離隔寸法が縮
小され分離能力が低下するので、前記n−型ウエル領域
21の不純物濃度は若干高く設定される。具体的にはn
−型ウエル領域21は例えば1×1013〜3×1013[a
toms/cm2]程度の不純物濃度に設定する。このn−型ウ
エル領域21の不純物濃度は領域VIに形成されるpチャ
ネルMISFETQpの高しきい値電圧(絶対値)を設
定することができる。一方、前記DRAM1は高集積化
により、標準しきい値電圧を有するnチャネルMISF
ETQnのゲート長寸法が縮小されるので、基板効果定
数が低下し、p−型ウエル領域22の不純物濃度は短チ
ャネル効果を抑制するために若干高く設定することがで
きる。具体的にはp−型ウエル領域22は例えば7×1
12〜9×1012[atoms/cm2]程度の不純物濃度に設定
する。このp−型ウエル領域22の不純物濃度は領域II
に形成されるnチャネルMISFETQnの低しきい値
電圧を設定することができる。また、前記p−型ウエル
領域22の不純物濃度及びp型半導体領域25Bからの
不純物のわき上がりにより、領域Iのメモリセル選択用
MISFETQsの高しきい値電圧を設定することがで
きる。
First, similarly to the method of manufacturing DRAM 1 of the first embodiment, n-type semiconductor substrate 20 has n
Each of the − well region 21 and the p − well region 22 is formed, and thereafter, an insulating film for element isolation 23, a p-type channel stopper region 24, a p-type channel stopper region 25 A,
Each of the p-type semiconductor regions 25B is sequentially formed. This formed state is shown in FIG. Since the DRAM 1 is highly integrated, the separation dimension between the p-channel MISFETs Qp is reduced and the separation capability is reduced, so that the impurity concentration of the n − -type well region 21 is set slightly higher. Specifically, n
The type well region 21 is, for example, 1 × 10 13 to 3 × 10 13 [a
The impurity concentration is set to about toms / cm 2 ]. The impurity concentration of n-type well region 21 can set a high threshold voltage (absolute value) of p channel MISFET Qp formed in region VI. On the other hand, the DRAM 1 has an n-channel MISF having a standard threshold voltage due to high integration.
Since the gate length dimension of the ETQn is reduced, the substrate effect constant decreases, and the impurity concentration of the p − -type well region 22 can be set slightly higher to suppress the short channel effect. Specifically, the p− type well region 22 is, for example, 7 × 1
The impurity concentration is set to about 0 12 to 9 × 10 12 [atoms / cm 2 ]. The impurity concentration of the p-type well region 22 is the region II
Can be set to a low threshold voltage of the n-channel MISFET Qn. Further, the high threshold voltage of the memory cell selecting MISFET Qs in the region I can be set by the impurity concentration of the p − -type well region 22 and the rise of the impurity from the p-type semiconductor region 25B.

【0315】次に、図69に示すように、領域IIIにp
型不純物22pを導入し、nチャネルMISFETQn
の標準しきい値電圧を設定する。p型不純物22pは、
例えば1×1012〜2×1012[atoms/cm2]程度の不純
物濃度のBを用い、15〜25[KeV]程度のエネルギ
のイオン打込法で導入する。このp型不純物22pの導
入の際には同図69に示す不純物導入マスク(例えばフ
ォトレジスト膜)110を使用する。
Next, as shown in FIG. 69, p
N-type MISFET Qn
Set the standard threshold voltage of The p-type impurity 22p is
For example, B is used at an impurity concentration of about 1 × 10 12 to 2 × 10 12 [atoms / cm 2 ] and is introduced by ion implantation at an energy of about 15 to 25 [KeV]. When introducing the p-type impurity 22p, an impurity introduction mask (for example, a photoresist film) 110 shown in FIG. 69 is used.

【0316】次に、図70に示すように、領域IVにp型
不純物21p1を導入し、pチャネルMISFETQp
の標準しきい値電圧を設定する。p型不純物21p
1は、例えば2.0×1012〜2.2×1012[atoms/c
m2]程度の不純物濃度のBを用い、15〜25[KeV]
程度のエネルギのイオン打込法で導入する。このp型不
純物21p1の導入の際には同図70に示す不純物導入
マスク(例えばフォトレジスト膜)111を使用する。
[0316] Next, as shown in FIG. 70, by introducing a p-type impurity 21p 1 in the region IV, p-channel MISFETQp
Set the standard threshold voltage of p-type impurity 21p
1 is, for example, 2.0 × 10 12 to 2.2 × 10 12 [atoms / c
m 2 ] with an impurity concentration of about 15 to 25 [KeV].
It is introduced by an ion implantation method of about energy. Upon introduction of the p-type impurity 21p 1 uses impurity introduction mask (e.g. photoresist film) 111 shown in FIG 70.

【0317】次に、図71に示すように、領域Vにp型
不純物21p2を導入し、pチャネルMISFETQp
の低しきい値電圧を設定する。このp型不純物21p2
は、例えば2.4×1012〜2.6×1012[atoms/cm2]
程度の不純物濃度のBを用い、15〜25[KeV]程度
のエネルギのイオン打込法で導入する。このp型不純物
21p2の導入の際には同図70に示す不純物導入マス
ク(例えばフォトレジスト膜)112を使用する。
Next, as shown in FIG. 71, a p-type impurity 21p 2 is introduced into region V, and p-channel MISFET Qp
Set the low threshold voltage of This p-type impurity 21p 2
Is, for example, 2.4 × 10 12 to 2.6 × 10 12 [atoms / cm 2 ]
The impurity is implanted by ion implantation using B having an impurity concentration of about 15 to 25 [KeV]. At the time of the introduction of the p-type impurity 21p 2 using the impurity introduction mask (e.g. photoresist film) 112 shown in FIG 70.

【0318】なお、前述のしきい値電圧調整用不純物の
導入順序はこれに限らず、いずれを先に又は後に導入し
てもよい。
The order of introducing the above-described threshold voltage adjusting impurities is not limited to this, and any of them may be introduced first or later.

【0319】このように、(35−20)相補型MIS
FETを有するDRAM1において、nチャネルMIS
FETQnの低しきい値電圧を設定する不純物濃度でp
−型ウエル領域22、pチャネルMISFETQpの高
しきい値電圧(絶対値)を設定する不純物濃度でn−型
ウエル領域21の夫々をp−型半導体基板20の異なる
領域の主面部に形成する工程と、前記p−型ウエル領域
22の主面部に、しきい値電圧調整用p型不純物22p
を導入し、nチャネルMISFETQnの標準しきい値
電圧を設定すると共に、前記n−型ウエル領域21の主
面部に、しきい値電圧調整用不純物21p1(又は21
2)を導入し、pチャネルMISFETの標準(又は
絶対値で低い)しきい値電圧を設定する工程とを備え
る。この構成により、前記nチャネルMISFETの低
しきい値電圧をp−型ウエル領域22の不純物濃度で設
定すると共に、前記pチャネルMISFETQpの高し
きい値電圧をn−型ウエル領域21の不純物濃度で設定
することができ、4種類のしきい値電圧の設定を2回の
しきい値電圧調整用p型不純物22p、21p1(又は
21p2)の夫々の導入で行うことができるので、しき
い値電圧調整用不純物の導入工程数を低減することがで
きる。
As described above, the (35-20) complementary MIS
In the DRAM 1 having the FET, the n-channel MIS
In the impurity concentration that sets the low threshold voltage of the FET Qn, p
Forming each of the n-type well region 21 and the n-type well region 21 on the main surface of a different region of the p-type semiconductor substrate 20 with an impurity concentration that sets a high threshold voltage (absolute value) of the p-channel MISFET Qp. And a p-type impurity 22p for adjusting a threshold voltage on the main surface of the p-type well region 22.
To set the standard threshold voltage of the n-channel MISFET Qn, and to add a threshold voltage adjusting impurity 21p 1 (or 21) to the main surface of the n − -type well region 21.
p 2 ) and setting a standard (or low absolute) threshold voltage of the p-channel MISFET. With this configuration, the low threshold voltage of the n-channel MISFET is set by the impurity concentration of the p − -type well region 22, and the high threshold voltage of the p-channel MISFET Qp is set by the impurity concentration of the n − -type well region 21. The threshold voltage can be set by setting the threshold voltage of the four types twice by introducing the threshold voltage adjusting p-type impurities 22p and 21p 1 (or 21p 2 ) twice. It is possible to reduce the number of steps of introducing the value voltage adjusting impurity.

【0320】また、(36−21)前記n−型ウエル領
域21、p−型ウエル領域22の夫々はp−型半導体基
板20の主面部に夫々に対して自己整合で形成する。こ
の構成により、前記n−型ウエル領域21、p−型ウエ
ル領域22の夫々以外にp−型半導体基板20の表面を
露出させる工程がいらないので、この工程に相当する
分、DRAM1の製造工程数を低減することができる。
(36-21) The n-type well region 21 and the p-type well region 22 are formed on the main surface of the p-type semiconductor substrate 20 in a self-aligned manner. With this configuration, there is no need to perform a step of exposing the surface of the p − -type semiconductor substrate 20 except for the n − -type well region 21 and the p − -type well region 22. Can be reduced.

【0321】また、(37−22)基準電圧を発生させ
るpチャネルMISFETQp及び標準しきい値電圧を
有するpチャネルMISFETQpを備えたDRAM1
において、前記基準電圧を発生させるpチャネルMIS
FETQpの高しきい値電圧(絶対値で高い)を設定す
る不純物濃度でn−型ウエル領域21を形成する工程
と、このn−型ウエル領域21の異なる領域に、しきい
値電圧調整用不純物21p1(又は21p2)を導入し、
pチャネルMISFETQpの標準しきい値電圧(又は
低しきい値電圧)を設定する工程と、このn−型ウエル
領域21の異なる領域に、しきい値電圧調整用不純物2
1p2(又は21p1)を導入し、pチャネルMISFE
TQpの低しきい値電圧(又は標準しきい値電圧)を設
定する工程とを備える。この構成により、前記基準電圧
を発生させるpチャネルMISFETQpの低しきい値
電圧をn−型ウエル領域21の不純物濃度で設定するこ
とができ、3種類のしきい値電圧の設定を2回のしきい
値電圧調整用不純物21p1、21p2の夫々の導入で行
うことができるので、しきい値電圧調整用不純物の導入
工程数を低減することができる。
(37-22) DRAM 1 provided with p-channel MISFET Qp for generating a reference voltage and p-channel MISFET Qp having a standard threshold voltage
, A p-channel MIS for generating the reference voltage
Forming the n − -type well region 21 with an impurity concentration that sets a high threshold voltage (high in absolute value) of the FET Qp; Introduce 21p 1 (or 21p 2 )
a step of setting a standard threshold voltage (or a low threshold voltage) of the p-channel MISFET Qp;
1p 2 (or 21p 1 ) is introduced and p-channel MISFE
Setting a low threshold voltage (or a standard threshold voltage) of TQp. With this configuration, the low threshold voltage of the p-channel MISFET Qp that generates the reference voltage can be set by the impurity concentration of the n − -type well region 21, and the setting of three types of threshold voltages is performed twice. Since the threshold voltage adjusting impurities 21p 1 and 21p 2 can be introduced by the introduction thereof, the number of steps of introducing the threshold voltage adjusting impurities can be reduced.

【0322】(実施の形形態7)本実施の形形態7は、
前述の実施の形態1のDRAM1において、メモリセル
Mのスタックド構造の情報蓄積用容量素子Cの電荷蓄積
量を増加した、本発明の第7実施の形態である。
(Embodiment 7) Embodiment 7
This is a seventh embodiment of the present invention in which the amount of charge stored in the information storage capacitive element C of the stacked structure of the memory cell M in the DRAM 1 of the first embodiment is increased.

【0323】本発明の実施の形形態7であるDRAM1
の要部を図72(所定の製造工程におけるメモリセルア
レイの要部平面図)で示す。
A DRAM 1 according to a seventh embodiment of the present invention
72 (a plan view of a main part of the memory cell array in a predetermined manufacturing process).

【0324】図72に示すように、本実施の形形態7の
DRAM1のメモリセルMは、スタックド構造の情報蓄
積用容量素子Cの下層電極層35に溝35gを設けてい
る。つまり、スタックド構造の情報蓄積用容量素子C
は、前記下層電極層35の溝35gの内壁により高さ方
向に表面々積を増加することができるので、電荷蓄積量
を向上することができる。この溝35gはワード線(W
L)27の延在する方向に下層電極層35を横切るよう
に構成されている。
As shown in FIG. 72, in the memory cell M of the DRAM 1 according to the seventh embodiment, a groove 35g is provided in the lower electrode layer 35 of the information storage capacitor C having a stacked structure. That is, the information storage capacitance element C having a stacked structure
Since the surface area can be increased in the height direction by the inner wall of the groove 35g of the lower electrode layer 35, the charge storage amount can be improved. This groove 35g is provided with a word line (W
L) It is configured to cross the lower electrode layer 35 in the direction in which the 27 extends.

【0325】次に、前記メモリセルMのスタックド構造
の情報蓄積用容量素子Cの形成方法について、図73乃
至図76(各製造工程毎に示す要部断面図)を用いて簡
単に説明する。
Next, a method of forming the information storage capacitance element C having the stacked structure of the memory cell M will be briefly described with reference to FIGS. 73 to 76 (a cross-sectional view of a main portion in each manufacturing process).

【0326】まず、前述の実施の形態1のDRAM1の
製造方法と同様に、メモリセルMのメモリセル選択用M
ISFETQsを形成した後、図73に示すように、層
間絶縁膜33を形成する。
First, similarly to the method of manufacturing DRAM 1 of the first embodiment, memory cell selecting memory cell M
After forming the ISFET Qs, an interlayer insulating film 33 is formed as shown in FIG.

【0327】次に、図74に示すように、前記層間絶縁
膜33上を含む基板全面に多結晶珪素膜35Bを形成す
る。多結晶珪素膜35Bは、前述のように厚い膜厚で形
成され、抵抗値を低減するn型不純物が導入されてい
る。n型不純物の導入には、前記実施の形態1に記載さ
れた、多結晶珪素膜を分割して複数層堆積し、その堆積
毎に熱拡散法によりn型不純物を導入する方法を採用す
る。また、n型不純物の導入には、前記実施の形態5に
記載された、n型不純物が導入されていない多結晶珪素
膜、n型不純物が導入されている多結晶珪素膜の夫々を
交互に積層し、この後に熱処理を施す方法を採用する。
Next, as shown in FIG. 74, a polycrystalline silicon film 35B is formed on the entire surface of the substrate including on the interlayer insulating film 33. The polycrystalline silicon film 35B is formed to have a large thickness as described above, and an n-type impurity for reducing a resistance value is introduced. For the introduction of the n-type impurity, the method described in the first embodiment in which the polycrystalline silicon film is divided and a plurality of layers are deposited, and the n-type impurity is introduced by a thermal diffusion method for each deposition is adopted. Further, for the introduction of the n-type impurity, each of the polycrystalline silicon film into which the n-type impurity is not introduced and the polycrystalline silicon film into which the n-type impurity is introduced as described in the fifth embodiment are alternately formed. A method of laminating and then performing a heat treatment is adopted.

【0328】次に、図75に示すように、メモリセル選
択用MISFETQsとスタックド構造の情報蓄積用容
量素子Cの下層電極層35との接続部分において、多結
晶珪素膜35B、層間絶縁膜33の夫々を順次除去し、
溝35gを形成する。溝35gは例えば異方性エッチン
グで形成される。この溝35gを形成することにより、
メモリセル選択用MISFETQsの他方のn型半導体
領域29の表面が露出される。
Next, as shown in FIG. 75, at the connection between the memory cell selecting MISFET Qs and the lower electrode layer 35 of the stacked information storage capacitor C, the polycrystalline silicon film 35B and the interlayer insulating film 33 are formed. Remove each one in turn,
A groove 35g is formed. The groove 35g is formed by, for example, anisotropic etching. By forming this groove 35g,
The surface of the other n-type semiconductor region 29 of the memory cell selecting MISFET Qs is exposed.

【0329】次に、前記溝35gの内壁の表面及び露出
されたn型半導体領域29の表面を含む多結晶珪素膜3
5Bの全表面上に多結晶珪素膜35Cを形成する。この
多結晶珪素膜35Cは前記溝35g内を埋込まない薄い
膜厚(段差形状を確保できる膜厚)で形成される。多結
晶珪素膜35Cにはn型不純物が導入され、このn型不
純物はメモリセル選択用MISFETQsの短チャネル
効果を低減するために多結晶珪素膜35Bに比べて低不
純物濃度で導入される。
Next, the polycrystalline silicon film 3 including the surface of the inner wall of the groove 35g and the exposed surface of the n-type semiconductor region 29 is formed.
A polycrystalline silicon film 35C is formed on the entire surface of 5B. This polycrystalline silicon film 35C is formed with a small thickness (thickness that can secure a step shape) so as not to fill the trench 35g. An n-type impurity is introduced into the polycrystalline silicon film 35C, and the n-type impurity is introduced at a lower impurity concentration than the polycrystalline silicon film 35B in order to reduce the short channel effect of the memory cell selecting MISFET Qs.

【0330】次に、図76に示すように、前記多結晶珪
素膜35C、35Bの夫々を順次パターンニングし、下
層電極層35を形成する。これ以後の製造方法は、前記
実施の形態1のDRAM1の製造方法と実施の形態質的
に同様であるので、ここでの説明は省略する。
Next, as shown in FIG. 76, each of the polycrystalline silicon films 35C and 35B is sequentially patterned to form a lower electrode layer 35. Since the manufacturing method thereafter is the same as the manufacturing method of the DRAM 1 of the first embodiment in terms of the embodiment, the description is omitted here.

【0331】このように、DRAM1のメモリセルMの
スタックド構造の情報蓄積用容量素子Cにおいて、下層
電極層35に溝35gを設けることにより、前記溝35
gに相当する分、電荷蓄積量を向上することができる。
As described above, in the information storage capacitive element C of the stacked structure of the memory cell M of the DRAM 1, the groove 35g is provided in the lower electrode layer 35, whereby the groove 35g is formed.
The charge accumulation amount can be improved by an amount corresponding to g.

【0332】また、前記スタックド構造の情報蓄積用容
量素子Cの下層電極層35は、図77(所定の製造工程
におけるメモリセルの要部平面図)に示すように、相補
性データ線(DL)50の延在方向に横切る溝35gを
設けてもよい。本実施の形形態7のDRAM1は、フォ
ールデッドビットライン方式を採用しているので、下層
電極層35のワード線27の延在方向の配置間隔が小さ
く、下層電極層35が相補性データ線50の延在方向に
長い長方形状で形成されている。したがって、前記溝3
5gによる下層電極層35の表面々積の増加が前述のも
のに比べて大きくなる。
As shown in FIG. 77 (a plan view of a main part of a memory cell in a predetermined manufacturing process), the lower electrode layer 35 of the information storage capacitive element C having the stacked structure has a complementary data line (DL). A groove 35g that crosses in the direction in which 50 extends may be provided. Since the DRAM 1 of the seventh embodiment employs the folded bit line method, the interval between the lower electrode layers 35 in the extending direction of the word lines 27 is small, and the lower electrode layers 35 are connected to the complementary data lines 50. Are formed in a rectangular shape that is long in the extending direction. Therefore, the groove 3
The increase in the surface area of the lower electrode layer 35 by 5 g is larger than that described above.

【0333】この図77に示すスタックド構造の情報蓄
積用容量素子Cの形成方法については、図78乃至図8
0(各製造工程毎に示す要部断面図)を用いて簡単に説
明する。
The method of forming the information storage capacitive element C having the stacked structure shown in FIG. 77 will be described with reference to FIGS.
0 (a cross-sectional view of a main part shown for each manufacturing process).

【0334】まず、図78に示すように、層間絶縁膜3
3上を含む基板全面に多結晶珪素膜35Bを形成する。
[0334] First, as shown in FIG.
A polycrystalline silicon film 35B is formed on the entire surface of the substrate including the substrate 3 above.

【0335】次に、図79に示すように、前記多結晶珪
素膜35Bに溝35gを形成する。
Next, as shown in FIG. 79, a groove 35g is formed in the polycrystalline silicon film 35B.

【0336】次に、前記多結晶珪素膜35B上に多結晶
珪素膜35Cを形成し、この多結晶珪素膜35C、35
Bの夫々にパターンニングを施すことにより、図80に
示すように、下層電極層35を形成することができる。
Next, a polycrystalline silicon film 35C is formed on the polycrystalline silicon film 35B, and the polycrystalline silicon films 35C and 35C are formed.
By patterning each of B, the lower electrode layer 35 can be formed as shown in FIG.

【0337】また、前記図72乃至図76図において説
明したスタックド構造の情報蓄積用容量素子Cの下層電
極層35は、図81乃至図84(各製造工程毎に示す要
部断面図)に示すように、より電荷蓄積量を向上するこ
とができる。
The lower electrode layer 35 of the information storage capacitor C having the stacked structure described with reference to FIGS. 72 to 76 is shown in FIGS. 81 to 84 (a cross-sectional view of a main portion in each manufacturing process). Thus, the charge storage amount can be further improved.

【0338】まず、図81に示すように、多結晶珪素膜
35Bを形成した後、図82に示すように、溝35gを
形成する。
First, after forming a polycrystalline silicon film 35B as shown in FIG. 81, a groove 35g is formed as shown in FIG.

【0339】次に、図83に示すように、多結晶珪素膜
35Bを予じめ下層電極層35の形状にパターンニング
すると共に溝35gを形成する。
Next, as shown in FIG. 83, the polycrystalline silicon film 35B is patterned into the shape of the lower electrode layer 35 in advance, and a groove 35g is formed.

【0340】次に、前記溝35gの内壁の表面上、多結
晶珪素膜35Bの表面上及びn型半導体領域29の露出
された表面上を含む基板全面に多結晶珪素膜35Cを形
成する。
Next, a polycrystalline silicon film 35C is formed on the entire surface of the substrate including the surface of the inner wall of the groove 35g, the surface of the polycrystalline silicon film 35B, and the exposed surface of the n-type semiconductor region 29.

【0341】次に、前記多結晶珪素膜35Cを異方性エ
ッチングでパターンニングすることにより、下層電極層
35を形成する。下層電極層35は、前記溝35gによ
り前述と同様に電荷蓄積量を向上することができると共
に、下層電極層35の多結晶珪素膜35Bの外周の側壁
に多結晶珪素膜35Cを残存させることができるので、
この残存した多結晶珪素膜35Cの膜厚に相当する分、
さらに電荷蓄積量を向上することができる。
Next, the lower electrode layer 35 is formed by patterning the polycrystalline silicon film 35C by anisotropic etching. The lower electrode layer 35 can improve the charge storage amount in the same manner as described above by the groove 35g, and can leave the polycrystalline silicon film 35C on the outer peripheral side wall of the polycrystalline silicon film 35B of the lower electrode layer 35. So you can
An amount corresponding to the film thickness of the remaining polycrystalline silicon film 35C,
Further, the charge storage amount can be improved.

【0342】また、同様に前記図77乃至図80におい
て説明したスタックド構造の情報蓄積用容量素子Cの下
層電極層35は、図85乃至図88(各製造工程毎に示
す要部断面図)に示すように、より電荷蓄積量を向上す
ることができる。
Similarly, the lower electrode layer 35 of the information storage capacitance element C having the stacked structure described with reference to FIGS. 77 to 80 is shown in FIGS. 85 to 88 (a cross-sectional view of a main portion in each manufacturing process). As shown, the charge storage amount can be further improved.

【0343】まず、図85に示すように、多結晶珪素膜
35Bを形成した後、図86に示すように、溝35gを
形成する。
First, after forming a polycrystalline silicon film 35B as shown in FIG. 85, a groove 35g is formed as shown in FIG.

【0344】次に、図87に示すように、多結晶珪素膜
35Bを予じめ下層電極層35の形状にパターンニング
する。
Next, as shown in FIG. 87, the polycrystalline silicon film 35B is patterned into the shape of the lower electrode layer 35 in advance.

【0345】次に、前記溝35gの内壁の表面上、多結
晶珪素膜35Bの表面上及びn型半導体領域29の露出
された表面上を含む基板全面に多結晶珪素膜35Cを形
成する。
Next, a polycrystalline silicon film 35C is formed on the entire surface of the substrate including the surface of the inner wall of the groove 35g, the surface of the polycrystalline silicon film 35B, and the exposed surface of the n-type semiconductor region 29.

【0346】次に、前記多結晶珪素膜35Cを異方性エ
ッチングでパターンニングすることにより、下層電極層
35を形成する。下層電極層35は、多結晶珪素膜35
Bの外周の側壁に多結晶珪素膜35Cを残存させること
ができるので、この残存した多結晶珪素膜35Cの膜厚
に相当する分、さらに電荷蓄積量を向上することができ
る。
Next, the lower electrode layer 35 is formed by patterning the polycrystalline silicon film 35C by anisotropic etching. The lower electrode layer 35 is formed of a polycrystalline silicon film 35.
Since the polycrystalline silicon film 35C can be left on the outer peripheral side wall of B, the charge storage amount can be further improved by an amount corresponding to the thickness of the remaining polycrystalline silicon film 35C.

【0347】(実施の形態8)本実施の形態8は、前述
の実施の形態1のDRAM1の製造方法において、マス
ク合せ(アライメント)ずれ量を低減し、集積度を向上
した、本発明の第8実施の形態である。
(Eighth Embodiment) An eighth embodiment of the present invention is directed to a method of manufacturing the DRAM 1 according to the first embodiment in which the amount of mask alignment (alignment) is reduced and the degree of integration is improved. There are eight embodiments.

【0348】本発明の実施の形態8であるDRAM1の
製造プロセスにおいて、アライメント関係を図89(ア
ライメントツリー図)で示す。
In the manufacturing process of DRAM 1 according to the eighth embodiment of the present invention, the alignment relationship is shown in FIG. 89 (alignment tree diagram).

【0349】前記実施の形態1のDRAM1は、製造工
程において、下層のパターンに対して上層のパターンを
アライメント(位置の合せを行っている)。図89
(A)に、X方向(例えばワード線の延在方向)のアラ
イメントの関係を示す。本実施の形態8のDRAM1
は、アライメントの基準をn−型ウエル領域21で行っ
ている。素子間分離用絶縁膜23はn−型ウエル領域2
1に対してX方向のアライメントを行っている。ゲート
電極(ワード線)27は素子間分離用絶縁膜23に対し
てX方向のアライメントを行っている。このゲート電極
27はその上層のアライメントの基準となる。スタック
ド構造の情報蓄積用容量素子Cの下層電極層35、上層
電極層37、接続孔40Aの夫々は前記ゲート電極27
に対してX方向のアライメントを行っている。
In the manufacturing process of the DRAM 1 of the first embodiment, an upper layer pattern is aligned (position is adjusted) with a lower layer pattern. FIG.
(A) shows an alignment relationship in the X direction (for example, the extending direction of the word line). DRAM 1 of Embodiment 8
Is based on the n-type well region 21 as a reference for alignment. The element isolation insulating film 23 is formed in the n− type well region 2.
1 is aligned in the X direction. The gate electrode (word line) 27 is aligned in the X direction with the element isolation insulating film 23. The gate electrode 27 serves as a reference for alignment of the upper layer. Each of the lower electrode layer 35, the upper electrode layer 37, and the connection hole 40A of the information storage capacitor C having the stacked structure is connected to the gate electrode 27.
Are aligned in the X direction.

【0350】これに対して、図89(B)に、Y方向
(例えば相補性データ線の延在方向)のアライメントの
関係を示す。本実施の形態8のDRAM1はX方向及び
Y方向の2方向でアライメントを行っている。同様に、
n−型ウエル領域21はアライメントの基準とされ、素
子間分離用絶縁膜23はn−型ウエル領域21に対して
Y方向のアライメントを行っている。ゲート電極27は
素子間分離用絶縁膜23に対してY方向のアライメント
を行っている。下層電極層35は、X方向のアライメン
トと異なり、素子間分離用絶縁膜23に対してY方向の
アライメントを行っている。上層電極層37、接続孔4
0Aの夫々は前記ゲート電極27に対してY方向のアラ
イメントを行っている。
On the other hand, FIG. 89B shows the alignment relationship in the Y direction (for example, the direction in which the complementary data lines extend). The DRAM 1 of the eighth embodiment performs alignment in two directions, that is, an X direction and a Y direction. Similarly,
The n − -type well region 21 is used as a reference for alignment, and the element isolation insulating film 23 performs alignment in the Y direction with respect to the n − -type well region 21. The gate electrode 27 performs alignment in the Y direction with respect to the element isolation insulating film 23. Unlike the alignment in the X direction, the lower electrode layer 35 performs the alignment in the Y direction with respect to the element isolation insulating film 23. Upper electrode layer 37, connection hole 4
Each of 0A is aligned with the gate electrode 27 in the Y direction.

【0351】前記素子間分離用絶縁膜23に対してスタ
ックド構造の情報蓄積用容量素子Cの下層電極層35が
大きくアライメントずれを生じた場合、メモリセル選択
用MISFETQsの他方のn型半導体領域29と下層
電極層35とを接続する接続孔34に目開きを生じる
(図1参照)。この目開きは、下層電極層35の加工の
際に接続孔34内から露出するn型半導体領域29の表
面がエッチングされてしまう。したがって、素子間分離
用絶縁膜23に対して下層電極層35のアライメントず
れ量は最小限に押える必要がある。
If the lower electrode layer 35 of the information storage capacitor C having the stacked structure has a large misalignment with respect to the inter-element isolation insulating film 23, the other n-type semiconductor region 29 of the memory cell selection MISFET Qs A hole is formed in the connection hole 34 connecting the electrode and the lower electrode layer 35 (see FIG. 1). This opening causes the surface of the n-type semiconductor region 29 exposed from the inside of the connection hole 34 to be etched when the lower electrode layer 35 is processed. Therefore, it is necessary to minimize the amount of misalignment of the lower electrode layer 35 with respect to the element isolation insulating film 23.

【0352】前記下層電極層35をその下層であるゲー
ト電極27に対して単純にX方向、Y方向の夫々のアラ
イメントを行った場合、素子間分離用絶縁膜23とゲー
ト電極27との間、ゲート電極27と下層電極層35と
の間の夫々のアライメントずれ量σが生じるので、素子
間分離用絶縁膜23に対する下層電極層35のアライメ
ントずれ量は1.4σとなる。
When the lower electrode layer 35 is simply aligned in the X and Y directions with respect to the gate electrode 27 as a lower layer, the gap between the element isolation insulating film 23 and the gate electrode 27 is reduced. Since the respective amounts of misalignment σ between the gate electrode 27 and the lower electrode layer 35 are generated, the amount of misalignment of the lower electrode layer 35 with respect to the isolation insulating film 23 is 1.4σ.

【0353】そこで、本実施の形態8において、下層電
極層35は、図89(A)に示すように1層下のパター
ンであるゲート電極27に対してX方向(又はY方向)
をアライメントし、図89(B)に示すように2層下の
パターンである素子間分離用絶縁膜23に対してY方向
(又はX方向)のアライメントを行っている。つまり、
スタックド構造の情報蓄積用容量素子Cの下層電極層3
5は、素子間分離用絶縁膜23に対して又ゲート電極2
7に対してアライメントずれ量σしか生じない。この下
層電極層35は、上層のアライメントの基準とならない
層であるので、前述のように異なる層にまたがってアラ
イメントすることができる。
Therefore, in the eighth embodiment, as shown in FIG. 89 (A), the lower electrode layer 35 is in the X direction (or Y direction) with respect to the gate electrode 27 which is a pattern one layer below.
Then, as shown in FIG. 89 (B), alignment in the Y direction (or X direction) is performed on the inter-element isolation insulating film 23 which is a two-layer lower pattern. That is,
Lower electrode layer 3 of information storage capacitor C having a stacked structure
Reference numeral 5 denotes a gate electrode 2 for the device isolation insulating film 23;
7, only the misalignment amount σ occurs. Since the lower electrode layer 35 does not serve as a reference for alignment of the upper layer, alignment can be performed over different layers as described above.

【0354】このように、(46−28)素子間分離用
絶縁膜23、ゲート電極27、下層電極層35の夫々異
なる3層のパターンをX方向及びY方向にアライメント
するアライメント方法において、前記ゲート電極(第2
層目パターン)27をその下層の素子間分離用絶縁膜
(第1層目パターン)23に対してX方向及びY方向に
アライメントし、このゲート電極27上に形成される下
層電極層(第3層目パターン)35をその下層のゲート
電極27に対してX方向(又はY方向)にアライメント
すると共にさらに下層の素子間分離用絶縁膜23に対し
てY方向(又はX方向)にアライメントする。この構成
により、前記素子間分離用絶縁膜23とゲート電極27
との間のアライメントずれ量、前記素子間分離用絶縁膜
23と下層電極層35との間のアライメントずれ量の夫
々を実質的に同一にすることができるので、素子間分離
用絶縁膜23と下層電極層35との間のアライメントず
れ量を低減することができる。この結果、製造工程にお
けるマスク合せ余裕寸法に相当する分、DRAM1の集
積度を向上することができる。また、前述のように、メ
モリセル選択用MISFETQsの他方のn型半導体領
域29と下層電極層35とを接続する接続孔34内に目
開きがなくなる。
As described above, in the (46-28) alignment method for aligning three different patterns of the element isolation insulating film 23, the gate electrode 27, and the lower electrode layer 35 in the X direction and the Y direction, Electrode (second
The lower layer electrode layer (third layer pattern) 27 formed on the gate electrode 27 is aligned in the X direction and the Y direction with respect to the underlying element isolation insulating film (first layer pattern) 23. The (layer pattern) 35 is aligned in the X direction (or Y direction) with respect to the gate electrode 27 in the lower layer, and is further aligned in the Y direction (or X direction) with respect to the lower layer isolation insulating film 23. With this configuration, the device isolation insulating film 23 and the gate electrode 27 are formed.
Respectively, and the amount of alignment shift between the inter-element isolation insulating film 23 and the lower electrode layer 35 can be made substantially the same. The amount of misalignment with the lower electrode layer 35 can be reduced. As a result, the degree of integration of the DRAM 1 can be improved by an amount corresponding to the mask alignment allowance in the manufacturing process. Further, as described above, there is no aperture in the connection hole 34 connecting the other n-type semiconductor region 29 of the memory cell selection MISFET Qs and the lower electrode layer 35.

【0355】(実施の形態9)本実施の形態9は、前述
の実施の形態1のDRAM1において、前記実施の形態
8に記載されたアライメント方法を実施する際のターゲ
ットマークの好適な形成方法を説明する、本発明の第9
実施の形態である。
(Embodiment 9) This embodiment 9 relates to a preferred method of forming a target mark when performing the alignment method described in the embodiment 8 in the DRAM 1 of the embodiment 1 described above. Explain the ninth aspect of the present invention.
It is an embodiment.

【0356】本実施の形態9であるDRAM1のターゲ
ットマーク部分の構造を図90(要部断面図)に示す。
A structure of a target mark portion of DRAM 1 according to the ninth embodiment is shown in FIG.

【0357】図90に示すように、ターゲットマークT
Mは、DRAM1の層間絶縁膜53に形成される接続孔
53D及び層間絶縁膜53上に形成される配線55で構
成される。ターゲットマークTMは、半導体ウエーハ状
態において、各DRAM1の形成領域間のスクライブエ
リア、DRAM1の形成領域の内部、又はダミーDRA
M1(DRAMとして使用せず、アライメントのターゲ
ットマークとして使用する)の形成領域に配置される。
As shown in FIG. 90, the target mark T
M includes a connection hole 53D formed in the interlayer insulating film 53 of the DRAM 1 and a wiring 55 formed on the interlayer insulating film 53. In the semiconductor wafer state, the target mark TM is a scribe area between the formation regions of the respective DRAMs 1, the inside of the formation region of the DRAM 1, or the dummy DRA.
M1 (not used as DRAM but used as a target mark for alignment) is arranged in the formation area.

【0358】前記ターゲットマークTMは、層間絶縁膜
51上に配線(遷移金属膜)52が形成されていない領
域において、接続孔53Dを形成することにより形成す
ることができる。この接続孔53Dの内部には、下層に
配線52が存在しないので、選択CVD法で埋込用の遷
移金属膜54が堆積されず、配線55はステップカバレ
ッジの悪いアルミニウム合金膜55Bを使用しているの
で、接続孔53Dの段差形状で配線55の表面に段差形
状が形成される。この段差形状が前記ターゲットマーク
TMとして使用される。
The target mark TM can be formed by forming a connection hole 53D in a region where the wiring (transition metal film) 52 is not formed on the interlayer insulating film 51. Since the wiring 52 does not exist in the lower layer inside the connection hole 53D, the transition metal film 54 for embedding is not deposited by the selective CVD method, and the wiring 55 is formed by using the aluminum alloy film 55B having poor step coverage. Therefore, a stepped shape is formed on the surface of the wiring 55 by the stepped shape of the connection hole 53D. This step shape is used as the target mark TM.

【0359】このように、前記ゲットマークTMは、D
RAM1の製造工程の接続孔53Dを形成する工程、配
線55を形成する工程の夫々を兼用して形成することが
できるので、製造工程数を低減することができる。
As described above, the get mark TM is expressed by D
Since the step of forming the connection hole 53D and the step of forming the wiring 55 in the manufacturing process of the RAM 1 can be used in combination, the number of manufacturing processes can be reduced.

【0360】(実施の形態10)本実施の形態10は、
前述の実施の形態1のDRAM1の製造方法において、
フォトリソグラフィ技術の露光時の焦点深度及び解像度
を向上した、本発明の第10実施の形態である。
(Embodiment 10) Embodiment 10
In the method for manufacturing the DRAM 1 according to the first embodiment,
This is a tenth embodiment of the present invention in which the depth of focus and the resolution at the time of exposure of the photolithography technique are improved.

【0361】本発明の実施の形態10であるDRAM1
の製造プロセスで使用されるフォトリソグラフィ技術の
各工程を図91(概念図)、図92(工程フロー図)の
夫々で示す。
The DRAM 1 according to the tenth embodiment of the present invention
91 (conceptual diagram) and FIG. 92 (process flow diagram) show respective steps of the photolithography technique used in the manufacturing process of FIG.

【0362】本実施の形態10のフォトリソグラフィ技
術は、FLEX(ocus atitudeenhancement Expos
ure)法及びCEL(ontrast nhancement ithogr
aphy)法を使用し、フォトレジスト膜の露光時の焦点深
度及び解像度を向上している。このフォトリソグラフィ
技術の露光処理の手順は次のとおりである。
[0362] photolithography of the tenth embodiment, FLEX (F ocus L atitudeenhancement Ex pos
ure) method and the CEL (C ontrast E nhancement L ithogr
The depth of focus and resolution of the photoresist film during exposure are improved by using the aphy) method. The procedure of the exposure processing of this photolithography technique is as follows.

【0363】図91及び図92に示すように、まず、半
導体ウエーハ100にフォトレジスト膜120を塗布す
る(1)。
As shown in FIGS. 91 and 92, first, a photoresist film 120 is applied to the semiconductor wafer 100 (1).

【0364】次に、前記半導体ウエーハ100に塗布さ
れたフォトレジスト膜120の表面にホトクロミックな
CEL材121Aを滴下し、ホトクロミックなCEL膜
121を塗布する(2)。ホトクロミックなCEL膜1
21としては例えば図93(構造式)に示すようにニト
ロンを使用する。このホトクロミックなCEL膜121
は、図94(露光に対する透過率を示す図)に示すよう
に、一定量以上の光照射を行う(照射開始t1)と透明
化(ブリーチング)する性質を有している。また、ホト
クロミックなCEL膜121は光照射を停止する(照射
終了t2)と次第に不透明化する性質を有している。し
かもこれらの性質は反復する性質を有している。
Next, a photochromic CEL material 121A is dropped on the surface of the photoresist film 120 applied to the semiconductor wafer 100, and the photochromic CEL film 121 is applied (2). Photochromic CEL film 1
As the 21, for example, a nitrone is used as shown in FIG. 93 (structural formula). This photochromic CEL film 121
As shown in FIG. 94 (a diagram showing transmittance with respect to exposure), has a property of being transparent (bleaching) when light irradiation of a certain amount or more is performed (irradiation start t 1 ). Further, the photochromic CEL film 121 has a property of gradually becoming opaque when light irradiation is stopped (irradiation end t 2 ). Moreover, these properties have repetitive properties.

【0365】次に、投影露光装置において、投影光学系
124及び前記ホトクロミックなCEL膜121を介在
させ、レチクル125のパターンを前記半導体ウエーハ
100の表面に塗布されたフォトレジスト膜120に転
写する(3)。この露光は、FLEX法を使用し、焦点
深度を変えながらパターンを重畳して露光する。
Next, in the projection exposure apparatus, the pattern of the reticle 125 is transferred to the photoresist film 120 applied on the surface of the semiconductor wafer 100, with the projection optical system 124 and the photochromic CEL film 121 interposed. 3). This exposure is performed by using the FLEX method while superimposing a pattern while changing the depth of focus.

【0366】図95にホトクロミックなCEL膜121
の有無によるライン・アンド・スペースパターンへのF
LEX法の適用時の焦点深度の差を示す。図95(A)
は、ライン・アンド・スペースパターンの半導体ウエー
ハ100の表面(フォトレジスト膜120中)での露光
時の光強度プロファイルを示す。同図95(A)に示す
ように、レチクル125のクロムパターン125Aが存
在しない位置に対応する部分に光が照射され、焦点位置
(0[μm])の光強度が最大で、焦点位置から上下にず
れるにしたがって光強度が低下していく。
FIG. 95 shows a photochromic CEL film 121.
To line and space pattern depending on presence or absence of
5 shows the difference in the depth of focus when the LEX method is applied. FIG. 95 (A)
Shows a light intensity profile at the time of exposure on the surface (in the photoresist film 120) of the semiconductor wafer 100 in a line and space pattern. As shown in FIG. 95 (A), light is applied to a portion of the reticle 125 corresponding to a position where the chrome pattern 125A does not exist, the light intensity at the focal position (0 [μm]) is maximum, As the light shifts, the light intensity decreases.

【0367】図95(B)は、FLEX法を適用し、半
導体ウエーハ100の表面を段階的に上下させ焦点深度
を高めた場合において、光強度プロファイルとホトクロ
ミックなCEL膜121の特性との関係を示す。同図9
5(B)において、半導体ウエーハ100の表面を0.
5[μm]上昇させると、(a)光強度はフォトレジスト
膜120の深い位置が高くなる。この光強度がホトクロ
ミックなCEL膜121を透明化する一定量に達する
と、(b)フォトレジスト膜120には前記一定量を越
えた量の光照射が行われる。前記光強度が一定量以下の
場合つまりフォトレジスト膜120の浅い位置は光照射
がホトクロミックなCEL膜121により遮断される。
次に、同図95(B)において、半導体ウエーハ100
の表面を0.5[μm]下降させると、(c)光強度はフ
ォトレジスト膜120の浅い位置が高くなる。この光強
度がホトクロミックなCEL膜121を透明化する一定
量に達すると、(d)フォトレジスト膜120には前記
一定量を越えた量の光照射が行われる。前記光強度が一
定量以下の場合つまりフォトレジスト膜120の深い位
置は光照射がホトクロミックなCEL膜121により遮
断される。
FIG. 95B shows the relationship between the light intensity profile and the characteristics of the photochromic CEL film 121 when the FLEX method is applied and the surface of the semiconductor wafer 100 is stepped up and down to increase the depth of focus. Is shown. FIG. 9
In FIG. 5 (B), the surface of the semiconductor wafer 100 is set at 0.
When the light intensity is increased by 5 [μm], the light intensity at the deep position of the photoresist film 120 increases (a). When the light intensity reaches a certain amount for making the photochromic CEL film 121 transparent, (b) the photoresist film 120 is irradiated with light exceeding the certain amount. When the light intensity is equal to or less than a predetermined amount, that is, at a shallow position of the photoresist film 120, light irradiation is blocked by the photochromic CEL film 121.
Next, in FIG. 95 (B), the semiconductor wafer 100
Is lowered by 0.5 [μm], (c) the light intensity increases at the shallow position of the photoresist film 120. When the light intensity reaches a certain amount for making the photochromic CEL film 121 transparent, (d) the photoresist film 120 is irradiated with light in an amount exceeding the certain amount. When the light intensity is equal to or less than a predetermined amount, that is, at a deep position of the photoresist film 120, light irradiation is blocked by the photochromic CEL film 121.

【0368】図95(C)は、前記図95(B)に示す
FLEX法を適用した2回の光照射の合計の光強度プロ
ファイルを示し、(a+b)はホトクロミックなCEL
膜121が無い場合、(a×b+c×d)はホトクロミ
ックなCEL膜121が有る場合である。前者のホトク
ロミックなCEL膜121が無い場合、ライン・アンド
・スペースパターンでは、FLEX法を適用すると、光
強度プロファイルが非露光部においてフォトレジスト膜
120の溶解レベルを越え、焦点深度を向上させる手段
として好ましくない。これに対して、後者のホトクロミ
ックなCEL膜121が有る場合、ホトクロミックなC
EL膜121のブリーチング効果とFLEX法による焦
点位置の変更により、解像度の向上と焦点深度の向上と
を図ることができる。
FIG. 95 (C) shows the total light intensity profile of the two light irradiations to which the FLEX method shown in FIG. 95 (B) is applied, and (a + b) shows the photochromic CEL.
When there is no film 121, (a × b + c × d) is when there is a photochromic CEL film 121. In the case where the former photochromic CEL film 121 is not provided, when the FLEX method is applied to the line-and-space pattern, the light intensity profile exceeds the dissolution level of the photoresist film 120 in the non-exposed portion, thereby improving the depth of focus. Is not preferred. In contrast, when the latter photochromic CEL film 121 is provided, the photochromic CEL film 121 is formed.
By improving the bleaching effect of the EL film 121 and changing the focal position by the FLEX method, it is possible to improve the resolution and the depth of focus.

【0369】前記図91及び図92に示す露光工程の
後、洗浄液122によりホトクロミックなCEL膜12
1を除去し(4)、前記フォトレジスト膜120を現像
液123で現像する(5)。
After the exposure process shown in FIGS. 91 and 92, the photochromic CEL film 12 is
1 is removed (4), and the photoresist film 120 is developed with a developing solution 123 (5).

【0370】また、同図91に示すように、ホトクロミ
ックなCEL膜121を塗布する工程に変えて、ホトク
ロミックなCELフィルム121Bを使用してもよい。
このホトクロミックなCELフィルム121Aは半導体
ウエーハ100の表面に塗布されたフォトレジスト膜1
20の表面に押し付けて使用する。
As shown in FIG. 91, a photochromic CEL film 121B may be used instead of the step of applying the photochromic CEL film 121.
This photochromic CEL film 121A is a photoresist film 1 applied to the surface of the semiconductor wafer 100.
Press against the surface of No. 20 for use.

【0371】このように、フォトリソグラフィ技術にお
いて、FLEX法及びCEL法を使用することにより、
パターンの高解像度及び高焦点深度を得ることができ
る。
As described above, in the photolithography technique, by using the FLEX method and the CEL method,
High resolution and high depth of focus of the pattern can be obtained.

【0372】(実施の形態11)本実施の形態11は、
前述の実施の形態1のDRAM1の製造プロセスにおい
て、各層のアライメントの精度を向上した、本発明の第
11実施の形態である。
(Embodiment 11) Embodiment 11
This is an eleventh embodiment of the present invention in which the alignment accuracy of each layer is improved in the manufacturing process of the DRAM 1 according to the first embodiment.

【0373】本発明の実施の形態11であるDRAM1
のダイシング工程前の半導体ウエーハ100の構成を図
96(概略平面図)に示す。
The DRAM 1 according to the eleventh embodiment of the present invention
96 (schematic plan view) shows the configuration of the semiconductor wafer 100 before the dicing step.

【0374】図96に示すように、半導体ウエーハ10
0はダイシング工程前(ペレット状に形成される前)に
おいて行列状に複数個のDRAM1を配列している。各
DRAM1間には図示しないスクライブエリアが設けら
れている。図97(図96のA部分の拡大平面図)及び
図98(図97のB部分の拡大平面図)に示すように、
半導体ウエーハ100の互いに隣接するDRAM(α〜
ε)1間のスクライブエリアには隣接するDRAM1間
で互いに共用するターゲットマークTMが配置されてい
る。このターゲットマークTMは例えば縮小投影露光装
置においてアライメントの際の位置決めの基準となる。
同図97及び図98に示すように、隣接するDRAM1
間例えばβ−γ間に互いに共用するターゲットマークT
MはアライメントビームABの一度のX方向の走査で検
出できるように配置されている。同図97及び図98に
は、アライメントビームABの走査により、ターゲット
マークTMを検出した際のアライメント信号Sの波形を
併せて記載している。このアライメント信号に基づき、
前記図97に示すDRAM(β)1のX方向の中心位置
Xβ、Y方向の中心位置Yβ及び回転量Wβは次式によ
り算出することができる。
As shown in FIG. 96, the semiconductor wafer 10
Reference numeral 0 indicates that a plurality of DRAMs 1 are arranged in a matrix before the dicing step (before being formed into a pellet). A scribe area (not shown) is provided between each DRAM 1. As shown in FIG. 97 (enlarged plan view of part A in FIG. 96) and FIG. 98 (enlarged plan view of part B in FIG. 97),
DRAMs (α to α) adjacent to each other on the semiconductor wafer 100
In the scribe area between ε) 1, target marks TM shared by adjacent DRAMs 1 are arranged. The target mark TM serves as a reference for positioning at the time of alignment in a reduction projection exposure apparatus, for example.
As shown in FIGS. 97 and 98, the adjacent DRAM 1
Target mark T shared between the two, for example, between β-γ
M is arranged so that it can be detected by one scanning of the alignment beam AB in the X direction. FIGS. 97 and 98 also show the waveform of the alignment signal S when the target mark TM is detected by scanning with the alignment beam AB. Based on this alignment signal,
97, the center position Xβ in the X direction, the center position Yβ in the Y direction, and the rotation amount Wβ of the DRAM (β) 1 can be calculated by the following equations.

【0375】[0375]

【数2】 (Equation 2)

【0376】本実施の形態11のアライメントは、半導
体ウエーハ100の表面に配列された第1層目のDRA
M1のパターン(ペレットパターン)に対して第2層目
のDRAM1のパターン(ペレットパターン)を配置す
る場合、第1層目のDRAM1のパターンのターゲット
マークTMの位置をアライメントビームABで検出しそ
の位置を算出し、隣接する第2層目のDRAM1のパタ
ーン間の位置ずれが小さくなるように矯正しながら第2
層目のDRAM1のパターンを配置する方法で行ってい
る。つまり、第1層目のDRAM1のパターンに対して
第2層目のDRAM1のパターンを連想的にアライメン
トする、連想アライメント方式を採用している。この連
想アライメント方式はペレットアライメント方式に比べ
てDRAM1のパターン間相互の配列の規則性を確保す
ることができる。ペレットアライメント方式は半導体ウ
エーハ100の表面上の各DRAM1のパターン毎にア
ライメント及び露光を繰り返す方式である。
In the alignment of the eleventh embodiment, the DRA of the first layer arranged on the surface of the semiconductor wafer 100 is used.
When the pattern (pellet pattern) of the DRAM 1 of the second layer is arranged with respect to the pattern (pellet pattern) of M1, the position of the target mark TM of the pattern of the DRAM 1 of the first layer is detected by the alignment beam AB and the position is detected. Is calculated, and the position deviation between the patterns of the adjacent second-layer DRAM 1 is corrected so as to be small.
This is performed by a method of arranging the pattern of the DRAM 1 of the layer. In other words, an associative alignment method is employed in which the pattern of the second-layer DRAM 1 is associatively aligned with the pattern of the first-layer DRAM 1. This associative alignment method can ensure regularity of mutual arrangement between patterns of the DRAM 1 as compared with the pellet alignment method. The pellet alignment method is a method of repeating alignment and exposure for each pattern of each DRAM 1 on the surface of the semiconductor wafer 100.

【0377】また、前記連想アライメント方式は、ター
ゲットマークTMを大きく誤検出した場合でも、直接大
きなアライメントエラーとはならず、高いアライメント
精度を得ることができる。
In the associative alignment method, even when the target mark TM is detected erroneously largely, a large alignment error is not directly generated, and high alignment accuracy can be obtained.

【0378】また、連想アライメント方式は、第1層目
のDRAM1のパターンの配列に大きな歪を持つ場合で
も、多点ウエーハアライメント方式に比べて高いアライ
メント精度を得ることができる。多点ウエーハアライメ
ント方式は、半導体ウエーハ100の表面上の複数個の
ターゲットマークTMをサンプリングしアライメント
し、その結果からDRAM1の配列を統計的計算から推
測し、その後に露光のみを行う方式である。
The associative alignment method can obtain higher alignment accuracy than the multi-point wafer alignment method even when the pattern arrangement of the first-layer DRAM 1 has a large distortion. The multi-point wafer alignment method is a method in which a plurality of target marks TM on the surface of the semiconductor wafer 100 are sampled and aligned, the arrangement of the DRAM 1 is estimated from the results by statistical calculation, and only exposure is performed thereafter.

【0379】また、前記連想アライメント方式は、第1
層目のDRAM1のパターンの4辺に配置されたターゲ
ットマークTMの検出に基づき、第2層目のDRAM1
のパターンの回転量を算出し補正することができるの
で、DRAM1の2点例えば上下又は左右に配置された
ターゲットマークTMを検出し回転量を補正する場合に
比べて、高い回転量の補正精度を得ることができる。こ
の回転量の補正の場合においても、連想アライメント方
式は、1つのターゲットマークTMを誤検出した場合で
も、直接大きな回転量の補正エラーとならないので、高
いアライメント精度を得ることができる。
The associative alignment method is the first type.
Based on the detection of target marks TM arranged on four sides of the pattern of the DRAM 1 of the second layer, the DRAM 1
The rotation amount of the pattern can be calculated and corrected, so that the correction accuracy of the rotation amount is higher than that in a case where two points of the DRAM 1, for example, target marks TM arranged vertically or horizontally are detected and the rotation amount is corrected. Obtainable. Even in the case of the correction of the rotation amount, the associative alignment method does not directly cause a large rotation amount correction error even when one target mark TM is erroneously detected, so that high alignment accuracy can be obtained.

【0380】また、前述のペレットアライメント方式と
多点ウエーハアライメント方式とを混用した場合、一般
にアライメント精度は低下するが、前記連想アライメン
ト方式はいずれの方式と混用した場合でも高いアライメ
ント精度を得ることができる。
When the above-described pellet alignment method and the multi-point wafer alignment method are mixed, the alignment accuracy generally decreases. However, when the associative alignment method is mixed with any of the methods, a high alignment accuracy can be obtained. it can.

【0381】また、前記連想アライメント方式は、1度
のアライメントビームABの走査で隣接する2個のDR
AM1のパターンのターゲットマークTMを検出するこ
とができるので、前記ペレットアライメント方式と実質
的に同等のスループットを得ることができる。
In the associative alignment method, two DRs adjacent to each other are scanned by one scanning of the alignment beam AB.
Since the target mark TM of the pattern of AM1 can be detected, it is possible to obtain a throughput substantially equivalent to that of the pellet alignment method.

【0382】図99には、第1層目のDRAM1のパタ
ーンの配列に歪や回転がある場合において、連想アライ
メント方式、ペレットアライメント方式、多点ウエーハ
アライメント方式の夫々のアライメント精度の比較を示
す。図99(A)には、(a)第1層目のDRAM1の
パターン(1)の理想的な配列、(b)第1層目のDR
AM1のパターン(1)に配列歪及び回転がある場合の
配列の夫々を示す。後者の第1層目のDRAM1のパタ
ーン(1)は、夫々のα〜γのX座標は一致せず、α−
β間、β−γ間の夫々のY座標方向のピッチが異なり、
かつα、γの夫々は回転エラーを有している。この配列
歪や回転は繰り返して施される熱処理等により半導体ウ
エーハ100に生じる反りに起因する。
FIG. 99 shows a comparison of the alignment accuracy between the associative alignment method, the pellet alignment method, and the multi-point wafer alignment method when the pattern arrangement of the DRAM 1 of the first layer has distortion or rotation. FIG. 99 (A) shows (a) the ideal arrangement of the pattern (1) of the DRAM 1 of the first layer, and (b) the DR of the first layer.
Each of the arrangements when the pattern (1) of AM1 has arrangement distortion and rotation is shown. In the latter pattern (1) of the first-layer DRAM 1, the X coordinates of the respective α to γ do not match, and
The pitch in the Y coordinate direction between β and β-γ is different,
Each of α and γ has a rotation error. The arrangement distortion and the rotation are caused by warpage generated in the semiconductor wafer 100 due to repeated heat treatment and the like.

【0383】図99(B)は、第1層目のDRAM1の
パターン(1)の配列に前記配列歪及び回転がある場合
において、第2層目のDRAM1のパターン(2)をア
ライメントしたときの各アライメント方式の比較を示し
ている。いずれの場合も、第2層目のDRAM1のγの
パターン(2)は第1層目のDRAM1のγのパターン
(1)に対してターゲットマークTMを大きく誤検出し
た場合を示している。また、回転量の補正は、連想アラ
イメント方式は4個のターゲットマークTMの検出に基
づき算出し、他の2つのアライメント方式は2個のター
ゲットマークTMの検出に基づき算出している。図99
(B)に示すように、回転量の補正が無い場合、回転量
の補正がある場合の夫々において、連想アライメント方
式は他のペレットアライメント方式、多点ウエーハアラ
イメント方式の夫々に比べて高いアライメント精度を得
ることができる。
FIG. 99 (B) shows the case where the pattern (2) of the second-layer DRAM 1 is aligned when the pattern (1) of the first-layer DRAM 1 has the aforementioned arrangement distortion and rotation. The comparison of each alignment method is shown. In either case, the γ pattern (2) of the second-layer DRAM 1 indicates a case where the target mark TM is erroneously detected with respect to the γ pattern (1) of the first-layer DRAM 1. The correction of the rotation amount is calculated based on the detection of four target marks TM in the associative alignment method, and is calculated based on the detection of two target marks TM in the other two alignment methods. Fig. 99
As shown in (B), when there is no rotation amount correction, and when there is rotation amount correction, the associative alignment method has higher alignment accuracy than the other pellet alignment methods and the multipoint wafer alignment method. Can be obtained.

【0384】このように、連想アライメント方式を採用
することにより高いアライメント精度を得ることができ
る。
Thus, high alignment accuracy can be obtained by employing the associative alignment method.

【0385】(実施の形態12)本実施の形態12は、
前述の実施の形態1のDRAM1において、層間絶縁膜
の接続孔内に選択CVD法で埋込まれる遷移金属膜と前
記層間絶縁膜上に延在する配線との接続部分での信頼性
を向上した、本発明の第12実施の形態である。
(Embodiment 12) Embodiment 12 is directed to
In the DRAM 1 of the first embodiment, the reliability at the connection portion between the transition metal film embedded in the connection hole of the interlayer insulating film by the selective CVD method and the wiring extending on the interlayer insulating film is improved. This is the twelfth embodiment of the present invention.

【0386】本発明の実施の形態12であるDRAM1
の構成を図100(要部断面図)で示す。
A DRAM 1 according to a twelfth embodiment of the present invention
100 is shown in FIG.

【0387】本実施の形態12のDRAM1は、図10
0に示すように、層間絶縁膜51に形成された接続孔5
1D、51Sの夫々に遷移金属膜54が埋込まれ、この
遷移金属膜54に層間絶縁膜51上を延在する配線52
が接続されている。
The DRAM 1 of the twelfth embodiment is similar to that of FIG.
0, the contact holes 5 formed in the interlayer insulating film 51 are formed.
A transition metal film 54 is embedded in each of 1D and 51S, and a wiring 52 extending over the interlayer insulating film 51 is formed in the transition metal film 54.
Is connected.

【0388】メモリセルアレイ11Eの領域は、メモリ
セル選択用MISFETQs及びスタックド構造の情報
蓄積用容量素子Cで構成されるメモリセルMが配置され
ているので、周辺回路の領域に比べて段差形状が大きく
なる。このため、前記層間絶縁膜51はメモリセルアレ
イ11Eの領域の膜厚が周辺回路の領域に比べて薄くな
る。図100及び図101(所定の製造工程における要
部断面図)に示すように、層間絶縁膜51のメモリセル
アレイ11Eの領域に形成される接続孔51Sの深さは
浅く形成され、周辺回路の領域に形成される接続孔51
Dは深く形成される。
In the memory cell array 11E, since the memory cell M composed of the memory cell selecting MISFET Qs and the information storage capacitor C having a stacked structure is arranged, the step shape is larger than that of the peripheral circuit region. Become. Therefore, the thickness of the interlayer insulating film 51 in the region of the memory cell array 11E is smaller than that in the region of the peripheral circuit. As shown in FIGS. 100 and 101 (a cross-sectional view of a main part in a predetermined manufacturing process), the depth of the connection hole 51S formed in the region of the memory cell array 11E of the interlayer insulating film 51 is formed shallow, and the region of the peripheral circuit is formed. Connection hole 51 formed in
D is formed deeply.

【0389】前記遷移金属膜54は前記実施の形態1と
同様に例えば選択CVD法で堆積したW膜を使用する。
配線52は本実施の形態12においてはアルミニウム合
金膜を使用する。また、配線52は例えばスパッタ法で
堆積したW膜等の遷移金属膜又はそれを主体する複合膜
で形成してもよい。
As the transition metal film 54, a W film deposited by, for example, a selective CVD method is used as in the first embodiment.
In the twelfth embodiment, the wiring 52 uses an aluminum alloy film. Further, the wiring 52 may be formed of a transition metal film such as a W film deposited by a sputtering method or a composite film mainly including the same.

【0390】前記遷移金属膜54は、同図100及び図
101に示すように、メモリセルアレイ11Eの領域の
浅い深さを有する接続孔51Sが埋込まれる程度の膜厚
で形成する。つまり、遷移金属膜54は、浅い深さの接
続孔51Sを基準とし、この接続孔51Sから突出しな
いように構成されている。前記遷移金属膜54が接続孔
51Sから大きく突出した場合には、この部分の上層の
配線52の表面が突出し、結果的に配線52を加工する
フォトレジスト膜の膜厚のばらつきや露光時の回折現象
によりエッチングマスクのサイズが設定値から変化し、
配線52の加工精度が低下する。また、前記接続孔51
Sから大きく突出する遷移金属膜54はその表面を上層
の配線52で覆うことができないので、配線52の加工
を行うエッチング工程で遷移金属膜54が必要以上にエ
ッチングされる。周辺回路の領域の深い深さを有する接
続孔51D内に埋込まれる遷移金属膜54は、図100
に示すように、接続孔51Dの部分でのアスペクト比が
1を越えない程度の膜厚で埋込まれている。アスペクト
比が1を越えた場合は上層の配線52のステップカバレ
ッジが低下し、配線52は接続孔51D部分において断
線を多発する。
As shown in FIGS. 100 and 101, the transition metal film 54 is formed to such a thickness that the connection hole 51S having a shallow depth in the region of the memory cell array 11E is buried. That is, the transition metal film 54 is configured so as not to protrude from the connection hole 51S based on the connection hole 51S having a shallow depth. When the transition metal film 54 protrudes greatly from the connection hole 51S, the surface of the wiring 52 in the upper layer protrudes, resulting in a variation in the thickness of the photoresist film used for processing the wiring 52 and diffraction during exposure. Due to the phenomenon, the size of the etching mask changes from the set value,
The processing accuracy of the wiring 52 decreases. The connection hole 51
Since the surface of the transition metal film 54 which protrudes greatly from S cannot be covered with the upper wiring 52, the transition metal film 54 is etched more than necessary in the etching step for processing the wiring 52. The transition metal film 54 embedded in the connection hole 51D having a deep depth in the region of the peripheral circuit is formed as shown in FIG.
As shown in FIG. 5, the thickness of the connection hole 51D is such that the aspect ratio does not exceed 1. When the aspect ratio exceeds 1, the step coverage of the wiring 52 in the upper layer decreases, and the wiring 52 frequently breaks at the connection hole 51D.

【0391】このように、(48−29)段差形状を有
する下地表面上に層間絶縁膜51を形成し、この層間絶
縁膜51の前記下地表面の段差形状の高い領域(メモリ
セルアレイ11Eの領域)に浅い接続孔51S、段差形
状の低い領域(周辺回路の領域)に深い接続孔51Dの
夫々を形成し、前記接続孔51S、接続孔51Dの夫々
に埋込まれた遷移金属膜54に接続するように前記層間
絶縁膜51上に配線52を延在させるDRAM1におい
て、前記浅い接続孔51S、深い接続孔51Dの夫々に
埋込まれる遷移金属膜54を選択CVD法で堆積し、か
つこの遷移金属膜54を前記浅い接続孔51Sの深さと
同程度の膜厚で堆積する。この構成により、前記浅い接
続孔51S、深い接続孔51Dの夫々に埋込まれる遷移
金属膜54を浅い接続孔51Sの深さと同程度の膜厚で
形成し、浅い接続孔51S、深い接続孔51Dの夫々か
ら前記遷移金属膜54が突出することがないので、前記
配線52の加工精度の向上や配線の信頼性を向上するこ
とができる。
As described above, the interlayer insulating film 51 is formed on the base surface having the (48-29) step shape, and the region of the interlayer insulating film 51 having a high step shape on the base surface (region of the memory cell array 11E). A shallow connection hole 51S, and a deep connection hole 51D in a low step region (peripheral circuit region), and are connected to the transition metal film 54 embedded in each of the connection hole 51S and the connection hole 51D. As described above, in the DRAM 1 in which the wiring 52 extends on the interlayer insulating film 51, a transition metal film 54 buried in each of the shallow connection hole 51S and the deep connection hole 51D is deposited by a selective CVD method. A film 54 is deposited with a thickness approximately equal to the depth of the shallow connection hole 51S. With this configuration, the transition metal film 54 buried in each of the shallow connection hole 51S and the deep connection hole 51D is formed with the same thickness as the depth of the shallow connection hole 51S, and the shallow connection hole 51S and the deep connection hole 51D are formed. Since the transition metal film 54 does not protrude from each of the above, the processing accuracy of the wiring 52 can be improved and the reliability of the wiring can be improved.

【0392】(実施の形態13)本実施の形態13は、
前述の実施の形態1のDRAM1において、遷移金属膜
を主体とする配線52の信頼性を向上した、本発明の第
13実施の形態である。
(Embodiment 13) Embodiment 13
This is a thirteenth embodiment of the present invention in which the reliability of the wiring 52 mainly composed of a transition metal film is improved in the DRAM 1 of the first embodiment.

【0393】本発明の実施の形態13であるDRAM1
の構成を図102(要部断面図)で示す。
A DRAM 1 according to the thirteenth embodiment of the present invention
102 is shown in FIG.

【0394】図102に示すように、本実施の形態13
のDRAM1は、層間絶縁膜51上に配線52を延在さ
せている。配線52は遷移金属膜52A上に実質的に同
一金属材料である遷移金属膜52Bを積層した複合膜で
形成されている。
[0397] As shown in FIG.
In the DRAM 1, a wiring 52 extends on an interlayer insulating film 51. The wiring 52 is formed of a composite film in which a transition metal film 52B of substantially the same metal material is laminated on the transition metal film 52A.

【0395】配線52の下層の遷移金属膜52Aは、ス
パッタ法で堆積した例えばW膜で形成され、例えば80
〜120[nm]程度の膜厚で形成されている。この下
層の遷移金属膜52Aは下地の層間絶縁膜(酸化珪素系
絶縁膜)51との接着性が高い。また、下層の遷移金属
膜52Aは、膜厚を厚くしすぎると、接続孔51Cで形
成される段差形状の上部において、オーバーハング形状
になり、巣の発生、上層の遷移金属膜52Aのステップ
カバレッジの低下等の原因となるので、前述の薄い膜厚
で形成される。また、下層の遷移金属膜52Aは、図1
03にスパッタ時のターゲット電圧と膜応力との関係を
示すように、層間絶縁膜51の表面からの剥離の原因と
なるので、膜応力が発生しない(応力0又はその近傍の
許容範囲内)ターゲット電圧を使用し堆積する。また、
下層の遷移金属膜52Aは、上層の遷移金属膜52Bの
エッチング速度と実質的に等し性質を有している。ま
た、下層の遷移金属膜52Aは、TiN膜等に比べて耐
腐食性が高く、しかもSiとの仕事関数差が小さいので
接触抵抗値を小さくすることができる。
The transition metal film 52A under the wiring 52 is formed of, for example, a W film deposited by a sputtering method.
It is formed with a film thickness of about 120 [nm]. The lower transition metal film 52A has high adhesiveness to the underlying interlayer insulating film (silicon oxide based insulating film) 51. If the thickness of the lower transition metal film 52A is too large, the transition metal film 52A has an overhang shape at the upper part of the step formed by the connection hole 51C, and nests are generated, and the step coverage of the upper transition metal film 52A is increased. Is formed at the above-mentioned thin film thickness. The lower transition metal film 52A is formed as shown in FIG.
As shown in FIG. 03, the relationship between the target voltage and the film stress at the time of sputtering causes separation from the surface of the interlayer insulating film 51, so that film stress does not occur (stress is zero or within an allowable range near the target). Deposit using voltage. Also,
The lower transition metal film 52A has properties substantially equal to the etching rate of the upper transition metal film 52B. The lower transition metal film 52A has higher corrosion resistance than the TiN film and the like, and has a small work function difference from Si, so that the contact resistance value can be reduced.

【0396】前記配線52の上層の遷移金属膜52B
は、CVD法で堆積されたW膜で形成され、例えば25
0〜350[nm]程度の膜厚で形成されている。この
上層の遷移金属膜52Aは、配線52の実質的な抵抗値
を低減し、配線52の主体として構成されている。上層
の遷移金属膜52Bは、CVD法で堆積しているので、
下地の段差部分でのステップカバレッジが高く、断線不
良を低減することができるので、配線としての信頼性を
向上することができる。この上層の遷移金属膜52B
は、同一金属膜材料で形成されているので、その下地の
下層の遷移金属膜52Aとの接着性が高い。
The transition metal film 52B above the wiring 52
Is formed of a W film deposited by the CVD method.
It is formed with a film thickness of about 0 to 350 [nm]. The upper transition metal film 52 </ b> A reduces the substantial resistance value of the wiring 52 and is configured as a main component of the wiring 52. Since the upper transition metal film 52B is deposited by the CVD method,
Since the step coverage in the step portion of the base is high and the disconnection failure can be reduced, the reliability as the wiring can be improved. The upper transition metal film 52B
Are made of the same metal film material, and therefore have high adhesion to the underlying transition metal film 52A.

【0397】このように、(51−30)下地層間絶縁
膜51上にCVD法で堆積した遷移金属膜52Bで配線
52を形成するDRAM1において、前記下地層間絶縁
膜51と前記配線52の遷移金属膜52Bとの間にスパ
ッタ法で堆積した前記遷移金属膜52Bと実質的に同種
の遷移金属膜52Aを設ける。この構成により、前記ス
パッタ法で堆積した下層の遷移金属膜52Aは前記下地
層間絶縁膜51、配線52の上層の遷移金属膜52Bの
夫々との接着性が高いので、前記下地層間絶縁膜51と
配線52との接着性を向上することができると共に、前
記スパッタ法で堆積した下層の遷移金属膜52Aはその
上層の遷移金属膜52Bと実質的に同種の遷移金属膜で
形成されているので、配線52の加工された側壁に凹凸
が形成されることを防止し、配線52の加工精度を向上
することができる。
As described above, in the DRAM 1 in which the wiring 52 is formed by the (51-30) transition metal film 52B deposited on the underlying interlayer insulating film 51 by the CVD method, the transition metal of the underlying interlayer insulating film 51 and the wiring 52 is formed. A transition metal film 52A substantially the same as the transition metal film 52B deposited by the sputtering method is provided between the transition metal film 52A and the film 52B. With this configuration, the lower transition metal film 52A deposited by the sputtering method has high adhesiveness to the underlying interlayer insulating film 51 and the upper transition metal film 52B of the wiring 52, respectively. The adhesiveness with the wiring 52 can be improved, and the lower transition metal film 52A deposited by the sputtering method is formed of a transition metal film of substantially the same type as the upper transition metal film 52B. Irregularities are prevented from being formed on the processed side wall of the wiring 52, and the processing accuracy of the wiring 52 can be improved.

【0398】また、同図102に示すように、前記配線
52の下層の遷移金属膜52Aが直接n+型半導体領域
32やp+型半導体領域39に接続する場合は、前記下
層の遷移金属膜52Aの堆積後の熱処理をWとSiとが
合金化反応しない温度以下で行う。具体的には熱処理は
約600[℃]以下で行う。このように、前記配線52
の下層の遷移金属膜52Aの熱処理温度を制限すること
により、前述のWとSiとの合金化反応による接続部の
抵抗値の増大を抑制し、又アロイスパイク現象を防止す
ることができる。
As shown in FIG. 102, when the lower transition metal film 52A of the wiring 52 is directly connected to the n + type semiconductor region 32 or the p + type semiconductor region 39, the lower transition metal film 52A The heat treatment after the deposition is performed at a temperature lower than a temperature at which W and Si do not undergo an alloying reaction. Specifically, the heat treatment is performed at about 600 ° C. or less. Thus, the wiring 52
By limiting the heat treatment temperature of the lower transition metal film 52A, it is possible to suppress an increase in the resistance value of the connection portion due to the above-described alloying reaction between W and Si, and to prevent an alloy spike phenomenon.

【0399】(実施の形態14)本実施の形態14は、
前述の実施の形態1のDRAM1において、メモリセル
M、各素子の夫々と配線との接続部での信頼性を向上し
た、本発明の第14実施の形態である。
(Embodiment 14) Embodiment 14
In the DRAM 1 according to the first embodiment, the fourteenth embodiment of the present invention has improved reliability at a connection portion between a memory cell M and each element and a wiring.

【0400】本発明の実施の形態14であるDRAM1
の構成を図104(要部断面図)で示す。
DRAM 1 according to Embodiment 14 of the present invention
104 is shown in FIG.

【0401】本実施の形態14のDRAM1は、図10
4に示すように、メモリセルアレイ11Eにおいて、メ
モリセルMのメモリセル選択用MISFETQsの一方
のn型半導体領域29と相補性データ線(DL)50と
の間に中間導電膜130を介在させている。この中間導
電膜130は、層間絶縁膜131に形成された接続孔1
31A及び接続孔34Aを通して一部がn型半導体領域
29に接続され、他部がサイドウォールスペーサ31上
及び層間絶縁膜131上に引き伸ばされている。前記接
続孔34Aは、前記層間絶縁膜131に形成された接続
孔131A内において、メモリセル選択用MISFET
Qsのゲート電極27の側壁に形成されたサイドウォー
ルスペーサ31で形成されかつそれで開口サイズを規定
している。この接続孔34Aはゲート電極27に対して
自己整合で形成されるので、結果的に中間導電膜130
とn型半導体領域29との接続は前記ゲート電極27に
対して自己整合で行われる。つまり、メモリセル選択用
MISFETQsのn型半導体領域29と相補性データ
線50とは、中間導電膜130を介在させ、メモリセル
選択用MISFETQsのゲート電極27に対して自己
整合で接続されている。
The DRAM 1 according to the fourteenth embodiment has the structure shown in FIG.
As shown in FIG. 4, in the memory cell array 11E, an intermediate conductive film 130 is interposed between one n-type semiconductor region 29 of the memory cell selecting MISFET Qs of the memory cell M and the complementary data line (DL) 50. . This intermediate conductive film 130 is formed in the connection hole 1 formed in the interlayer insulating film 131.
One part is connected to the n-type semiconductor region 29 through 31A and the connection hole 34A, and the other part is extended on the sidewall spacer 31 and the interlayer insulating film 131. The connection hole 34A is formed within the connection hole 131A formed in the interlayer insulating film 131 in the memory cell selecting MISFET.
The opening is defined by the sidewall spacer 31 formed on the side wall of the gate electrode 27 of Qs. Since this connection hole 34A is formed in self-alignment with gate electrode 27, as a result, intermediate conductive film 130 is formed.
Is connected to the gate electrode 27 in a self-aligned manner. That is, the n-type semiconductor region 29 of the memory cell selecting MISFET Qs and the complementary data line 50 are connected in a self-aligned manner to the gate electrode 27 of the memory cell selecting MISFET Qs via the intermediate conductive film 130.

【0402】前記中間導電膜130は、メモリセル選択
用MISFETQsのゲート電極27(ワード線27も
含む)よりも上層で形成され、かつスタックド構造の情
報蓄積用容量素子Cの下層電極層35よりも下層に形成
される。つまり、スタックド構造の情報蓄積用容量素子
Cの下層電極層35は電荷蓄積量を増加するために厚い
膜厚で形成されるので、中間導電膜130は、加工精度
を向上するために、前記下層電極層35と別層でかつ下
層に形成されている。中間導電膜130は、例えばCV
D法で堆積させた多結晶珪素膜で形成され、80〜12
0[nm]程度の薄い膜厚で形成されている。この多結
晶珪素膜には抵抗値を低減するn型不純物が導入されて
いる。
The intermediate conductive film 130 is formed above the gate electrode 27 (including the word line 27) of the memory cell selecting MISFET Qs and is lower than the lower electrode layer 35 of the stacked information storage capacitor C. Formed in the lower layer. That is, since the lower electrode layer 35 of the information storage capacitor C having the stacked structure is formed with a large film thickness in order to increase the charge storage amount, the intermediate conductive film 130 is formed in the lower layer in order to improve processing accuracy. It is formed separately from and below the electrode layer 35. The intermediate conductive film 130 is, for example, CV
Formed of a polycrystalline silicon film deposited by the method D;
It is formed with a thin film thickness of about 0 [nm]. An n-type impurity for reducing the resistance value is introduced into the polycrystalline silicon film.

【0403】前記中間導電膜130は、メモリセルMと
相補性データ線50との接続部分の特に急峻な段差形状
を緩和することができるので、相補性データ線50の断
線不良を低減することができる。
Since the intermediate conductive film 130 can alleviate the particularly steep step at the connection portion between the memory cell M and the complementary data line 50, the disconnection failure of the complementary data line 50 can be reduced. it can.

【0404】前記中間導電膜130は同一製造工程で周
辺回路の素子にも形成されている。これに限定されない
が、本実施の形態14においては、nチャネルMISF
ETQn特にレイアウトルールが厳しい領域においてn
+型半導体領域32と配線52との間に設けられてい
る。通常、周辺回路はメモリセルアレイ11Eに比べて
レイアウトルールが緩い。同図104に示すように、周
辺回路の領域において、配線52が素子間分離用絶縁膜
23上に乗り上げる場合においても、中間導電膜130
を介在させてn+型半導体領域32と配線52とを確実
に接続することができるので、n+型半導体領域32の
面積を縮小し、結果的にDRAM1の集積度を向上する
ことができる。また、周辺回路のnチャネルMISFE
TQn、pチャネルMISFETQpの夫々を遷移金属
膜等不純物の相互拡散を生じ易い材料で形成された配線
52で接続する場合においても、中間導電膜130は前
記相互拡散を防止することができるので接続部分での抵
抗値を低減することができる。
The intermediate conductive film 130 is also formed on peripheral circuit elements in the same manufacturing process. Although not limited to this, in Embodiment 14, n-channel MISF
ETQn n especially in an area where layout rules are strict
It is provided between the + type semiconductor region 32 and the wiring 52. Normally, peripheral circuits have looser layout rules than the memory cell array 11E. As shown in FIG. 104, even in the case where the wiring 52 runs over the element isolation insulating film 23 in the peripheral circuit region, the intermediate conductive film 130
Can be reliably connected between the n + type semiconductor region 32 and the wiring 52, so that the area of the n + type semiconductor region 32 can be reduced, and as a result, the integration degree of the DRAM 1 can be improved. Also, the n-channel MISFE of the peripheral circuit
Even when each of the TQn and the p-channel MISFET Qp is connected by a wiring 52 formed of a material such as a transition metal film which is liable to cause mutual diffusion of impurities, the intermediate conductive film 130 can prevent the mutual diffusion. Can be reduced.

【0405】次に、本実施の形態14であるDRAM1
の形成方法について、図105及び図106(各製造工
程毎に示す要部断面図)を用いて簡単に説明する。
Next, the DRAM 1 according to the fourteenth embodiment will be described.
The method of forming will be briefly described with reference to FIGS. 105 and 106 (cross-sectional views of main parts shown in respective manufacturing steps).

【0406】まず、前記実施の形態1のDRAM1の形
成方法と同様に、メモリセルMのメモリセル選択用MI
SFETQs、周辺回路のnチャネルMISFETQn
の夫々を形成する。
First, similarly to the method of forming the DRAM 1 of the first embodiment, the memory cell selecting MI of the memory cell M is selected.
SFET Qs, n-channel MISFET Qn of peripheral circuit
To form each.

【0407】次に、前記メモリセル選択用MISFET
Qs、nチャネルMISFETQnの夫々を覆うよう
に、基板全面に層間絶縁膜131を堆積する。層間絶縁
膜131は、例えば無機シランガス及び酸化窒素ガスを
ソースガスとするCVD法で堆積した酸化珪素膜を使用
し、40〜60[nm]程度の膜厚で形成する。
Next, the memory cell selecting MISFET
An interlayer insulating film 131 is deposited on the entire surface of the substrate so as to cover each of the Qs and n-channel MISFETs Qn. The interlayer insulating film 131 is formed with a thickness of about 40 to 60 [nm] using, for example, a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitrogen oxide gas as a source gas.

【0408】次に、メモリセルMのメモリセル選択用M
ISFETQsの一方のn型半導体領域29、所定のn
チャネルMISFETQnのn+型半導体領域32の夫
々の領域において、前記層間絶縁膜131に接続孔13
1Aを形成すると共に接続孔34Aを形成する。
Next, the memory cell selection M of the memory cell M
One n-type semiconductor region 29 of ISFET Qs has a predetermined n
In each region of the n + type semiconductor region 32 of the channel MISFET Qn, the connection hole 13 is formed in the interlayer insulating film 131.
1A and the connection hole 34A are formed.

【0409】次に、図105に示すように、前記接続孔
131A及び34Aを通してn型半導体領域29、n+
型半導体領域32の夫々に接続される中間導電膜130
を形成する。
Next, as shown in FIG. 105, the n-type semiconductor regions 29, n + through the connection holes 131A and 34A.
Conductive film 130 connected to each of type semiconductor regions 32
To form

【0410】次に、図106に示すように、前記中間導
電膜130上を含む基板全面に層間絶縁膜33を形成す
る。そして、この後、スタックド構造の情報蓄積用容量
素子C、pチャネルMISFETQp等、前記実施の形
態1のDRAM1の形成方法と同様の工程を施すことに
より、本実施の形態14のDRAM1は完成する。
Next, as shown in FIG. 106, an interlayer insulating film 33 is formed on the entire surface of the substrate including the intermediate conductive film. Thereafter, by performing the same steps as those of the method of forming the DRAM 1 of the first embodiment, such as the stacked information storage capacitor C and the p-channel MISFET Qp, the DRAM 1 of the fourteenth embodiment is completed.

【0411】このように、(53−31)相補性データ
線50とワード線27との交差部に、メモリセル選択用
MISFETQsと下層電極層35、誘電体膜36、上
層電極層37の夫々を順積積層したスタックド構造の情
報蓄積用容量素子Cとの直列回路で形成されたメモリセ
ルMを配置するDRAM1において、前記相補性データ
線50と前記メモリセル選択用MISFETQsの一方
のn型半導体領域29との間に、前記一方のn型半導体
領域29に一部が自己整合で形成されかつ前記メモリセ
ル選択用MISFETQsのゲート電極27上に他部が
引き出されると共に、前記スタックド構造の情報蓄積用
容量素子Cの下層電極層35の下層にそれと別層で形成
された中間導電膜130を設ける。この構成により、前
記中間導電膜130を介在させているので、メモリセル
選択用MISFETQsの一方のn型半導体領域29と
相補性データ線50との間の製造工程におけるマスク合
せ余裕寸法に相当する分、メモリセルM面積を縮小して
集積度を向上することができると共に、前記中間導電膜
130とスタックド構造の情報蓄積用容量素子Cの下層
電極層35との離隔寸法がなくなり、中間導電膜130
と独立に下層電極層35の面積を増加することができる
ので、スタックド構造の情報蓄積用容量素子Cの電荷蓄
積量を増加してメモリセルM面積を縮小し、集積度を向
上することができる。
As described above, at the intersection of the (53-31) complementary data line 50 and the word line 27, the MISFET Qs for memory cell selection, the lower electrode layer 35, the dielectric film 36, and the upper electrode layer 37 are respectively provided. In the DRAM 1 in which a memory cell M formed of a series circuit of a stacked structure and an information storage capacitor C having a stacked structure is arranged, the complementary data line 50 and one n-type semiconductor region of the memory cell selecting MISFET Qs are arranged. 29, a part is formed in the one n-type semiconductor region 29 in a self-aligned manner, and another part is drawn out on the gate electrode 27 of the memory cell selecting MISFET Qs. An intermediate conductive film 130 formed as a layer separate from the lower electrode layer 35 of the capacitor C is provided below the lower electrode layer 35 of the capacitor C. According to this configuration, since the intermediate conductive film 130 is interposed, a portion corresponding to a mask alignment margin in a manufacturing process between one n-type semiconductor region 29 of the memory cell selecting MISFET Qs and the complementary data line 50 is provided. In addition, the area of the memory cell M can be reduced and the degree of integration can be improved, and the distance between the intermediate conductive film 130 and the lower electrode layer 35 of the stacked information storage capacitor C is eliminated.
Independently, the area of the lower electrode layer 35 can be increased, so that the amount of charge stored in the information storage capacitor C having a stacked structure can be increased, the area of the memory cell M can be reduced, and the degree of integration can be improved. .

【0412】また、(54−32)中間導電膜130は
前記スタックド構造の情報蓄積用容量素子Cの下層電極
層35の膜厚に比べて薄い膜厚で構成する。この構成に
より、前記スタックド構造の情報蓄積用容量素子Cは、
下層電極層35の膜厚を厚くし、高さ方向に面積を稼ぐ
ことができるので、電荷蓄積量を向上してメモリセルM
面積を縮小し、集積度を向上することができると共に、
前記中間導電膜130は、その膜厚を薄く形成している
ので、加工を簡単化することができる。
The (54-32) intermediate conductive film 130 has a smaller thickness than the lower electrode layer 35 of the information storage capacitor C having the stacked structure. With this configuration, the information storage capacitor C having the stacked structure is
The thickness of the lower electrode layer 35 can be increased and the area can be increased in the height direction.
The area can be reduced and the degree of integration can be improved,
Since the intermediate conductive film 130 is formed to be thin, the processing can be simplified.

【0413】また、(55−33)周辺回路を構成する
nチャネルMISFETQnのn+型半導体領域32と
それに接続される配線52との間には前記メモリセルM
に設けられる中間導電膜130と同一導電層で形成され
た中間導電膜130を設ける。この構成により、DRA
M1のメモリセルMに形成される中間導電膜130を形
成する工程で周辺回路の中間導電膜130を形成するこ
とができるので、DRAM1の製造工程数を低減するこ
とができる。
(55-33) The memory cell M is provided between the n + -type semiconductor region 32 of the n-channel MISFET Qn constituting the peripheral circuit and the wiring 52 connected thereto.
Is provided with an intermediate conductive film 130 formed of the same conductive layer as the intermediate conductive film 130 provided on the substrate. With this configuration, DRA
Since the intermediate conductive film 130 of the peripheral circuit can be formed in the step of forming the intermediate conductive film 130 formed in the memory cell M of M1, the number of manufacturing steps of the DRAM 1 can be reduced.

【0414】以上、本発明者によってなされた発明を、
前記実施の形態に基づき具体的に説明したが、本発明
は、前記実施の形態に限定されるものではなく、その要
旨を逸脱しない範囲において種々変更可能であることは
勿論である。
As described above, the invention made by the present inventors is described below.
Although a specific description has been given based on the above-described embodiment, the present invention is not limited to the above-described embodiment, and it is needless to say that various modifications can be made without departing from the gist of the invention.

【0415】例えば、本発明は、マイクロコンピュータ
(1チップマイコン)等、DRAMを1つのユニットと
して使用する半導体集積回路装置に適用することができ
る。
For example, the present invention can be applied to a semiconductor integrated circuit device using a DRAM as one unit, such as a microcomputer (one-chip microcomputer).

【0416】また、本発明は、前記DRAMに限定され
ず、SRAM、ROM等他の記憶機能を有する半導体集
積回路装置に適用することができる。
The present invention is not limited to the above-described DRAM, but can be applied to a semiconductor integrated circuit device having another storage function such as an SRAM and a ROM.

【0417】また、本発明は、プリント配線基板等の多
層配線技術に適用することができる。
Further, the present invention can be applied to a multilayer wiring technology for a printed wiring board or the like.

【0418】[0418]

【発明の効果】本願において開示される発明のうち代表
的なものによって得られる効果を簡単に説明すれば、下
記のとおりである。
The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

【0419】本発明によれば、前記パッシベーション膜
の下層の酸化珪素膜は、前記配線を溶融しない低温度で
しかも高ステップカバレッジで堆積することができ、前
記配線層で形成される段差形状を平坦化することができ
るので、前記パッシベーション膜の上層の耐湿性に優れ
た窒化珪素膜を前記段差形状に基づく巣を生じることな
く形成することができる。この結果、前記パッシベーシ
ョン膜の上層の窒化珪素膜に巣が発生しないので、前記
窒化珪素膜の割れの発生や前記巣に水分が溜まることが
ないので、前記パッシベーション膜の耐湿性を向上する
ことができる。
[0419] According to the present invention, the silicon oxide film under the passivation film can be deposited at a low temperature that does not melt the wiring and at a high step coverage, and the step formed by the wiring layer has a flat shape. Therefore, it is possible to form a silicon nitride film having excellent moisture resistance as an upper layer of the passivation film without forming a cavity based on the step shape. As a result, no cavities are generated in the silicon nitride film above the passivation film, so that cracks in the silicon nitride film and moisture do not accumulate in the cavities, thereby improving the moisture resistance of the passivation film. it can.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態1であるDRAMの要部断
面図である。
FIG. 1 is a sectional view of a main part of a DRAM according to a first embodiment of the present invention;

【図2】前記DRAMを封止する樹脂封止型半導体装置
の部分断面斜視図である。
FIG. 2 is a partial sectional perspective view of a resin-sealed semiconductor device for sealing the DRAM.

【図3】前記DRAMのチップレイアウト図である。FIG. 3 is a chip layout diagram of the DRAM.

【図4】前記DRAMのメモリセルアレイの要部等価回
路図である。
FIG. 4 is an equivalent circuit diagram of a main part of a memory cell array of the DRAM.

【図5】前記DRAMのメモリセルアレイの要部平面図
である。
FIG. 5 is a plan view of a main part of a memory cell array of the DRAM.

【図6】前記DRAMのメモリセルアレイの所定の製造
工程における要部平面図である。
FIG. 6 is a plan view of a principal part in a predetermined manufacturing process of the memory cell array of the DRAM.

【図7】前記DRAMのメモリセルアレイの所定の製造
工程における要部平面図である。
FIG. 7 is a plan view of a principal part in a predetermined manufacturing process of the memory cell array of the DRAM.

【図8】前記DRAMに使用される膜のスパッタ時のタ
ーゲット電圧と比抵抗値との関係を示す図である。
FIG. 8 is a diagram showing a relationship between a target voltage and a specific resistance value at the time of sputtering a film used in the DRAM.

【図9】前記膜のX線入射角度とX線回折スペクトルと
の関係を示す図である。
FIG. 9 is a diagram showing a relationship between an X-ray incident angle of the film and an X-ray diffraction spectrum.

【図10】前記膜のX線入射角度とX線回折スペクトル
との関係を示す図である。
FIG. 10 is a diagram showing a relationship between an X-ray incident angle of the film and an X-ray diffraction spectrum.

【図11】前記メモリセルアレイと周辺回路との境界領
域を示す概略平面図である。
FIG. 11 is a schematic plan view showing a boundary region between the memory cell array and a peripheral circuit.

【図12】前記境界領域の要部拡大平面図である。FIG. 12 is an enlarged plan view of a main part of the boundary region.

【図13】前記メモリセルアレイと周辺回路との境界領
域を示す概略平面図である。
FIG. 13 is a schematic plan view showing a boundary region between the memory cell array and a peripheral circuit.

【図14】前記境界領域の要部拡大平面図である。FIG. 14 is an enlarged plan view of a main part of the boundary region.

【図15】前記DRAMの他の位置における要部断面図
である。
FIG. 15 is a cross-sectional view of a principal part at another position of the DRAM.

【図16】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 16 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図17】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 17 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図18】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 18 is a fragmentary cross-sectional view of the DRAM during each manufacturing step.

【図19】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 19 is a cross-sectional view of a principal part showing each manufacturing step of the DRAM.

【図20】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 20 is a cross-sectional view of a principal part showing each manufacturing step of the DRAM.

【図21】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 21 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図22】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 22 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図23】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 23 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図24】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 24 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図25】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 25 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図26】前記D2AMの各製造工程毎に示す要部断面
図である。
FIG. 26 is a cross-sectional view of a principal part shown in each manufacturing process of the D2AM.

【図27】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 27 is a cross-sectional view of a principal part showing each manufacturing step of the DRAM.

【図28】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 28 is a cross-sectional view of a principal part shown in each manufacturing process of the DRAM.

【図29】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 29 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図30】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 30 is a cross-sectional view of a principal part showing each manufacturing step of the DRAM.

【図31】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 31 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図32】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 32 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図33】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 33 is a cross-sectional view of a principal part showing each manufacturing step of the DRAM.

【図34】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 34 is a cross-sectional view of a principal part showing each manufacturing step of the DRAM.

【図35】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 35 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図36】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 36 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図37】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 37 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図38】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 38 is a cross-sectional view of a principal part shown in each manufacturing process of the DRAM.

【図39】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 39 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図40】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 40 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図41】前記D2AMの各製造工程毎に示す要部断面
図である。
FIG. 41 is a cross-sectional view of a principal part shown in each manufacturing process of the D2AM.

【図42】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 42 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図43】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 43 is a cross-sectional view of a principal part shown in each manufacturing process of the DRAM.

【図44】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 44 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図45】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 45 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図46】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 46 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図47】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 47 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図48】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 48 is a fragmentary cross-sectional view showing the DRAM at each manufacturing step;

【図49】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 49 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図50】前記DRAMのヒューズ素子の要部断面図で
ある。
FIG. 50 is a cross-sectional view of a main part of the fuse element of the DRAM.

【図51】前記ヒューズ素子の各製造工程毎に示す要部
断面図である。
FIG. 51 is a cross-sectional view of a main part showing each manufacturing step of the fuse element.

【図52】前記ヒューズ素子の各製造工程毎に示す要部
断面図である。
FIG. 52 is a cross-sectional view of a main part showing each manufacturing step of the fuse element.

【図53】前記ヒューズ素子の各製造工程毎に示す要部
断面図である。
FIG. 53 is a cross-sectional view of a main part showing each manufacturing step of the fuse element.

【図54】前記DRAMで使用される膜の温度と蒸気圧
との関係を示す図である。
FIG. 54 is a diagram showing a relationship between a temperature of a film used in the DRAM and a vapor pressure.

【図55】前記DRAMで使用されるエッチング特性を
示す図である。
FIG. 55 is a view showing etching characteristics used in the DRAM.

【図56】本発明の実施の形態2であるDRAMの要部
断面図である。
FIG. 56 is a cross-sectional view of a principal part of the DRAM according to the second embodiment of the present invention;

【図57】本発明の実施の形態2であるDRAMの要部
断面図である。
FIG. 57 is a cross-sectional view of a principal part of the DRAM according to the second embodiment of the present invention;

【図58】本発明の実施の形態2であるDRAMの要部
断面図である。
FIG. 58 is a cross-sectional view of a principal part of the DRAM according to the second embodiment of the present invention;

【図59】本発明の実施の形態3であるDRAMの要部
断面図である。
FIG. 59 is a cross-sectional view of a principal part of the DRAM according to the third embodiment of the present invention;

【図60】本発明の実施の形態3であるDRAMの要部
断面図である。
FIG. 60 is a cross-sectional view of a principal part of the DRAM according to the third embodiment of the present invention;

【図61】(A)は、前記DRAMで使用される膜の堆
積時間とガス流量との関係を示す図でり、(B)は、前
記膜の堆積時間と反応副生成物の発生量との関係を示す
図である。
FIG. 61A is a diagram showing the relationship between the deposition time of a film used in the DRAM and the gas flow rate, and FIG. 61B is a diagram showing the relationship between the deposition time of the film and the amount of reaction by-products generated; FIG.

【図62】本発明の実施の形態IVであるCVD装置の概
略構成図である。
FIG. 62 is a schematic configuration diagram of a CVD apparatus according to Embodiment IV of the present invention.

【図64】前記CVD装置の要部概略構成図である。FIG. 64 is a schematic configuration diagram of a main part of the CVD apparatus.

【図63】前記CVD装置の要部概略構成図である。FIG. 63 is a schematic configuration diagram of main parts of the CVD apparatus.

【図65】本発明の実施の形態VであるCVD装置のガ
スバルブの開閉動作を示すタイムチャート図である。
FIG. 65 is a time chart showing opening and closing operations of a gas valve of the CVD apparatus according to Embodiment V of the present invention.

【図66】前記CVD装置のガス流量を示すタイムチャ
ート図である。
FIG. 66 is a time chart showing a gas flow rate of the CVD apparatus.

【図67】前記CVD装置の概略構成図である。FIG. 67 is a schematic structural view of the CVD apparatus.

【図68】本発明の実施の形態6であるDRAMの各製
造工程毎に示す要部断面図である。
FIG. 68 is an essential part cross sectional view showing the manufacturing process of the DRAM according to the sixth embodiment of the present invention;

【図69】本発明の実施の形態6であるDRAMの各製
造工程毎に示す要部断面図である。
FIG. 69 is an essential part cross sectional view showing the manufacturing process of the DRAM according to the sixth embodiment of the present invention;

【図70】本発明の実施の形態6であるDRAMの各製
造工程毎に示す要部断面図である。
FIG. 70 is a fragmentary cross-sectional view for each manufacturing step of the DRAM that is the sixth embodiment of the present invention;

【図71】本発明の実施の形態6であるDRAMの各製
造工程毎に示す要部断面図である。
FIG. 71 is an essential part cross sectional view showing each manufacturing step of the DRAM which is Embodiment 6 of the present invention;

【図72】本発明の実施の形態7であるDRAMの所定
の製造工程における要部平面図である。
FIG. 72 is an essential part plan view in a predetermined manufacturing step of the DRAM according to Embodiment 7 of the present invention;

【図73】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 73 is a cross-sectional view of a principal part shown in each manufacturing process of the DRAM.

【図74】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 74 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図75】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 75 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図76】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 76 is a cross-sectional view of a main part showing each manufacturing step of the DRAM.

【図77】前記DRAMの他の例の所定の製造工程にお
ける要部平面図である。
FIG. 77 is a plan view of a principal part in another example of the DRAM in a predetermined manufacturing process;

【図78】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 78 is a cross-sectional view of a principal part shown in each manufacturing process of another example of the DRAM.

【図79】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 79 is a cross-sectional view of a principal part shown in each manufacturing process of another example of the DRAM;

【図80】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 80 is a fragmentary cross-sectional view showing the manufacturing steps of another example of the DRAM in each manufacturing step;

【図81】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 81 is a fragmentary cross-sectional view showing the manufacturing steps of another example of the DRAM;

【図82】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 82 is a cross-sectional view of a principal part shown in each manufacturing process of another example of the DRAM;

【図83】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 83 is a fragmentary cross-sectional view showing the manufacturing steps of another example of the DRAM in each manufacturing step;

【図84】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 84 is an essential part cross sectional view showing the manufacturing process of another example of the DRAM in each step;

【図85】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 85 is a cross-sectional view of a principal part illustrating another manufacturing example of the DRAM in each manufacturing step;

【図86】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
86 is a fragmentary cross-sectional view showing a step of each manufacturing step of another example of the DRAM; FIG.

【図87】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 87 is a fragmentary cross-sectional view showing the manufacturing steps of another example of the DRAM in each manufacturing step;

【図88】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 88 is a cross-sectional view of a principal part illustrating another manufacturing step of the DRAM in each manufacturing step;

【図89】本発明の実施の形態8であるDRAMのアラ
イメントツリー図である。
FIG. 89 is an alignment tree diagram of the DRAM according to the eighth embodiment of the present invention.

【図90】本発明の実施の形態9であるDRAMのター
ゲットマーク部分の要部断面図である。
FIG. 90 is an essential part cross sectional view of a target mark portion of a DRAM according to a ninth embodiment of the present invention;

【図91】本発明の実施の形態10であるDRAMの製
造プロセスで使用されるフォトリソグラフィ技術の概念
図である。
FIG. 91 is a conceptual diagram of the photolithography technique used in the DRAM manufacturing process according to the tenth embodiment of the present invention.

【図92】前記フォトリソグラフィ技術の工程フロー図
である。
FIG. 92 is a process flowchart of the photolithography technique.

【図93】フォトリソグラフィ技術で使用される物質の
構造図である。
FIG. 93 is a structural diagram of a substance used in a photolithography technique.

【図94】前記物質の特性を示す図である。FIG. 94 is a view showing characteristics of the substance.

【図95】前記物質を使用した時の効果を説明するため
の図である。
FIG. 95 is a view for explaining effects when the substance is used.

【図96】本発明の実施の形態11である半導体ウエー
ハの構成を示す概略平面図である。
FIG. 96 is a schematic plan view showing a configuration of a semiconductor wafer according to Embodiment 11 of the present invention;

【図97】前記半導体ウエーハの拡大平面図である。FIG. 97 is an enlarged plan view of the semiconductor wafer.

【図98】前記図97に示す半導体ウエーハの拡大平面
図である。
FIG. 98 is an enlarged plan view of the semiconductor wafer shown in FIG. 97;

【図99】連想アライメント方式を適用した場合の効果
を説明するための図である。
FIG. 99 is a diagram for describing an effect when the associative alignment method is applied.

【図100】本発明の実施の形態12であるDRAM1
の要部断面図である。
FIG. 100 is a DRAM 1 according to a twelfth embodiment of the present invention.
It is principal part sectional drawing of.

【図101】前記DRAMの所定の製造工程における要
部断面図である。
FIG. 101 is a cross-sectional view of a principal part in a predetermined manufacturing process of the DRAM;

【図102】本発明の実施の形態13であるDRAMの
要部断面図である。
FIG. 102 is a cross-sectional view of a principal part of a DRAM according to a thirteenth embodiment of the present invention;

【図103】前記DRAMで使用される膜のスパッタ時
のターゲット電圧と応力との関係を示す図である。
FIG. 103 is a diagram showing a relationship between a target voltage and a stress at the time of sputtering a film used in the DRAM.

【図104】本発明の実施の形態14であるDRAM1
の要部断面図である。
FIG. 104 is a DRAM 1 according to a fourteenth embodiment of the present invention.
It is principal part sectional drawing of.

【図105】前記DRAMの各製造工程毎に示す要部断
面図である。
FIG. 105 is a cross-sectional view of a principal part shown for each manufacturing step of the DRAM.

【図106】前記DRAMの各製造工程毎に示す要部断
面図である。
FIG. 106 is a cross-sectional view of a principal part shown in each manufacturing step of the DRAM.

【符号の説明】[Explanation of symbols]

図中、1…DRAM、Qs…メモリセル選択用MISF
ET、C…スタックド構造の情報蓄積用容量素子、Q
n,Qp…MISFETである。
In the figure, 1 ... DRAM, Qs ... MISF for memory cell selection
ET, C: Stacked information storage capacitor, Q
n, Qp... MISFET.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 小笠原 誠 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 大塚 文雄 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 鳥居 和功 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所 中央研究所内 (72)発明者 浅野 勇 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 大和田 伸郎 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 堀内 光明 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 田丸 剛 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 青木 英雄 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 大塚 伸宏 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 白井 精一郎 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 佐川 雅一 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 池田 良広 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 加賀 徹 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所 中央研究所内 (72)発明者 恒岡 正年 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 新名 朋次 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 大岸 秀次 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 笠原 修 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 榎並 弘充 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 若原 篤志 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 秋森 裕之 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 鈴木 慎一 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 船津 圭亮 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (72)発明者 川崎 義直 山口県下松市東豊井794番地 株式会社 日立製作所 笠戸工場内 (72)発明者 坪根 恒彦 山口県下松市東豊井794番地 株式会社 日立製作所 笠戸工場内 (72)発明者 古賀野 正佳 東京都小平市上水本町5丁目20番1号 日立超エル・エス・アイ・エンジニアリ ング株式会社内 (72)発明者 津金 賢 東京都青梅市今井2326番地 株式会社日 立製作所 デバイス開発センタ内 (56)参考文献 特開 昭61−184847(JP,A) 特開 昭63−246829(JP,A) 特開 昭63−213934(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 27/108 H01L 21/3205 H01L 21/8242 ──────────────────────────────────────────────────の Continued on the front page (72) Inventor Makoto Ogasawara 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Fumio Otsuka 2326 Imai, Ome-shi, Tokyo Device, Hitachi Ltd. Inside the Development Center (72) Inventor Kazunori Torii 1-280 Higashi Koigakubo, Kokubunji-shi, Tokyo Inside the Central Research Laboratory, Hitachi, Ltd. (72) Inventor Nobuo Owada 2326 Imai, Ome-shi, Tokyo Inside Device Development Center, Hitachi, Ltd. (72) Inventor Mitsuaki Horiuchi 2326 Imai, Device Development Center, Hitachi Ltd. (72) Invention Company Go Tamaru 2326 Imai, Ome-shi, Tokyo Stock Inside the Device Development Center, Inc. (72) Inventor Hideo Aoki 2326 Imai, Ome-shi, Tokyo Hitachi, Ltd. Inside the Device Development Center, Ltd. (72) Nobuhiro Otsuka 2326 Imai, Ome-shi, Tokyo Hitachi, Ltd. Inside the Device Development Center (72) Inventor Seiichiro Shirai 2326 Imai, Ome-shi, Tokyo Hitachi, Ltd. Inside the Device Development Center (72) Inventor Masakazu Sagawa 2326 Imai, Ome-shi, Tokyo Device Development Center, Hitachi, Ltd. (72) Inventor Yoshihiro Ikeda 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Toru Kaga 1-280 Higashi-Koikekubo, Kokubunji-shi, Tokyo Hitachi, Ltd. Inventor Masatoshi Tsuneoka 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. Inside the Device Development Center (72) Inventor Shuji Ogishi 2326 Imai, Ome-shi, Tokyo Japan Hitachi, Ltd. Inside the Device Development Center (72) Inventor Osamu 2326 Imai, Ome-shi, Tokyo Device Development, Hitachi Ltd. Inside the center (72) Inventor Hiromitsu Enonami 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Atsushi Wakahara 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72 Inventor Hiroyuki Akimori 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Shinichi Suzuki 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Keisuke Funatsu 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Yoshinao Kawasaki 794, Higashi-Toyoi, Kudamatsu, Yamaguchi Prefecture Hitachi, Ltd. On-site (72) Inventor Tsunehiko Tsubone 794 Higashi-Toyoi, Kudamatsu-shi, Yamaguchi Prefecture Inside the Kasado Plant, Hitachi, Ltd. Inside Eye Engineering Co., Ltd. (72) Inventor Ken Tsugane 2326 Imai, Ome-shi, Tokyo Inside Hitachi, Ltd. Device Development Center (56) References JP-A-61-184847 (JP, A) JP-A Sho 63-246829 (JP, A) JP-A-63-213934 (JP, A) (58) Fields investigated (Int. Cl. 7 , DB name) H01L 27/108 H01L 21/3205 H01L 21/8242

Claims (3)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 半導体基板上に配置された複数の配線
と、 テトラエトキシシランをソースガスとするCVD法を用
いて、前記配線上に形成された第1絶縁膜と、 前記第1絶縁膜上にプラズマCVD法で形成された第2
絶縁膜とを有する半導体集積回路装置において、前記配線の膜厚は、前記配線の最小配置間隔よりも大で
あり、 前記第1絶縁膜は、前記配線の最小配置間隔の2
分の1以上の膜厚を有することを特徴とする半導体集積
回路装置。
A plurality of wires as claimed in claim 1] it is disposed on the semiconductor substrate, using the CVD method using tetraethoxysilane as a source gas, a first insulating film formed previously Sharing, ABS line, the first insulating film The second is formed by plasma CVD
In a semiconductor integrated circuit device having an insulating film, a thickness of the wiring is larger than a minimum arrangement interval of the wiring.
And the first insulating film has a minimum spacing of 2 between the wirings.
A semiconductor integrated circuit device having a thickness of at least 1 /.
【請求項2】 請求項1において、 前記第2絶縁膜は、ナイトライド膜であることを特徴と
する半導体集積回路装置。
2. The semiconductor integrated circuit device according to claim 1, wherein the second insulating film is a nitride film.
【請求項3】 請求項1又は請求項2において、 前記半導体基板上に形成されたメモリセル選択用MIS
FETと、 前記メモリセル選択用MISFETに直列接続され、前
記メモリセル選択用MISFETの上部に形成された情
報蓄積用容量素子と、 前記メモリセル選択用MISFETに電気的に接続さ
れ、前記半導体基板上に絶縁膜を介して所定の間隔で規
則的に配置された複数の第1ワード線と、 前記第1ワード線上に絶縁膜を介して所定の間隔で規則
的に配置され、前記第1ワード線と同じ方向に延び、か
つ前記第1ワード線に電気的に接続された複数の第1ワ
ード線とを有し、 前記複数の配線は、前記第2ワード線であることを特徴
とする半導体集積回路装置。
3. The memory cell selecting MIS according to claim 1, wherein the memory cell selecting MIS is formed on the semiconductor substrate.
FET and the memory cell selecting MISFET are connected in series.
Information formed above the memory cell selecting MISFET.
Information storage capacitor and the memory cell selecting MISFET.
Are formed on the semiconductor substrate at predetermined intervals via an insulating film.
A plurality of first word lines regularly arranged, and a plurality of first word lines arranged at regular intervals on the first word lines via an insulating film.
And extending in the same direction as the first word line,
A plurality of first word lines electrically connected to the first word line.
And the plurality of wirings are the second word lines.
Semiconductor integrated circuit device.
JP06407696A 1996-03-21 1996-03-21 Semiconductor integrated circuit device Expired - Lifetime JP3177436B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP06407696A JP3177436B2 (en) 1996-03-21 1996-03-21 Semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06407696A JP3177436B2 (en) 1996-03-21 1996-03-21 Semiconductor integrated circuit device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP1065849A Division JP2859288B2 (en) 1989-03-20 1989-03-20 Semiconductor integrated circuit device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
JPH08241969A JPH08241969A (en) 1996-09-17
JP3177436B2 true JP3177436B2 (en) 2001-06-18

Family

ID=13247643

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06407696A Expired - Lifetime JP3177436B2 (en) 1996-03-21 1996-03-21 Semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP3177436B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3036456B2 (en) * 1997-02-07 2000-04-24 日本電気株式会社 Semiconductor memory device and method of manufacturing the same
DE102008033395B3 (en) 2008-07-16 2010-02-04 Austriamicrosystems Ag Method for producing a semiconductor component and semiconductor component

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61184847A (en) * 1985-02-12 1986-08-18 Nec Corp Multilayer wiring structure
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JP2550337B2 (en) * 1987-03-03 1996-11-06 日本電気株式会社 Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
JPH08241969A (en) 1996-09-17

Similar Documents

Publication Publication Date Title
JP2859288B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
US5734188A (en) Semiconductor integrated circuit, method of fabricating the same and apparatus for fabricating the same
US6043118A (en) Semiconductor memory circuit device and method for fabricating a semiconductor memory device circuit
US5444012A (en) Method for manufacturing semiconductor integrated circuit device having a fuse element
US5264712A (en) Semiconductor integrated circuit, method of fabricating the same and apparatus for fabricating the same
US6897541B2 (en) Semiconductor integrated circuit device and method of producing the same
US5917211A (en) Semiconductor integrated circuit, method of fabricating the same and apparatus for fabricating the same
JP3177436B2 (en) Semiconductor integrated circuit device
JP3115818B2 (en) Semiconductor integrated circuit device
JP2866390B2 (en) Method for manufacturing semiconductor integrated circuit device
JP3115817B2 (en) Semiconductor integrated circuit device
JP3177435B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
KR100235529B1 (en) Fabrication of semiconductor integrated circuit device
JP2813571B2 (en) Semiconductor integrated circuit device
JP2818144B2 (en) Semiconductor integrated circuit device
JPH08250681A (en) Semiconductor integrated circuit device
KR100191017B1 (en) Fabrication method of semiconductor device
JPH08241968A (en) Semiconductor integrated circuit device and its manufacture
KR100191021B1 (en) Ic device
KR100620656B1 (en) Method for forming fuse of semiconductor device
JPH01298738A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010327

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080406

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090406

Year of fee payment: 8

EXPY Cancellation because of completion of term