JPH08241968A - Semiconductor integrated circuit device and its manufacture - Google Patents

Semiconductor integrated circuit device and its manufacture

Info

Publication number
JPH08241968A
JPH08241968A JP8064045A JP6404596A JPH08241968A JP H08241968 A JPH08241968 A JP H08241968A JP 8064045 A JP8064045 A JP 8064045A JP 6404596 A JP6404596 A JP 6404596A JP H08241968 A JPH08241968 A JP H08241968A
Authority
JP
Japan
Prior art keywords
film
region
insulating film
memory cell
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8064045A
Other languages
Japanese (ja)
Inventor
Jun Sugiura
順 杉浦
Osamu Tsuchiya
修 土屋
Makoto Ogasawara
誠 小笠原
Fumio Otsuka
文雄 大塚
Kazunari Torii
和功 鳥居
Isamu Asano
勇 浅野
Nobuo Owada
伸郎 大和田
Mitsuaki Horiuchi
光明 堀内
Takeshi Tamaru
剛 田丸
Hideo Aoki
英雄 青木
Nobuhiro Otsuka
伸宏 大塚
Seiichiro Shirai
精一郎 白井
Masakazu Sagawa
雅一 佐川
Yoshihiro Ikeda
良広 池田
Toru Kaga
徹 加賀
Masatoshi Tsuneoka
正年 恒岡
Tomoji Niina
朋次 新名
Hideji Ogishi
秀次 大岸
Osamu Kasahara
修 笠原
Hiromitsu Enami
弘充 榎並
Atsushi Wakahara
篤志 若原
Hiroyuki Akimori
裕之 秋森
Shinichi Suzuki
慎一 鈴木
Yoshiaki Funatsu
圭亮 船津
Yoshinao Kawasaki
義直 川崎
Tsunehiko Tsubone
恒彦 坪根
Masayoshi Kogano
正佳 古賀野
Masaru Tsugane
賢 津金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi ULSI Engineering Corp
Hitachi Ltd
Original Assignee
Hitachi ULSI Engineering Corp
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi ULSI Engineering Corp, Hitachi Ltd filed Critical Hitachi ULSI Engineering Corp
Priority to JP8064045A priority Critical patent/JPH08241968A/en
Publication of JPH08241968A publication Critical patent/JPH08241968A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE: To obtain a semiconductor integrated circuit device which enhances the junction breakdown strength of a substrate to an element and which reduces a leakage current amount at the junction part of the substrate to the element by a method wherein an active region is not arranged in the boundary region between a first channel stopper region and a second channel stopper region. CONSTITUTION: In a DRAM, a memory cell and an n-channel MISFET in a peripheral circuit are arranged respectively on main faces inside respective different active regions in a p-type well region which is prescribed by a p-type channel stopper region formed on the main face of an inactive region in the p-type well region. Here, a p-type channel stopper region 25A which surrounds the circumference of the memory cell and an n-channel stopper region 24 in the peripheral circuit are constituted independently in separate manufacturing processes. Then, an active region Act such as the memory cell, the n-channel MISFET or the like in the peripheral circuit are not arranged in the boundary region between the p-type channel stopper region 25A and the n-type channel region 24.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体技術に関
し、特に、DRAM(ynamic andom ccess emor
y)を有する半導体集積回路装置及びその形成技術に適用
して有効な技術に関するものである。
The present invention relates to relates to semiconductor technology, in particular, DRAM (D ynamic R andom A ccess M emor
The present invention relates to a semiconductor integrated circuit device having y) and a technique effectively applied to a forming technique thereof.

【0002】[0002]

【従来の技術】DRAMの1[bit]の情報を保持するメ
モリセルはメモリセル選択用MISFETと情報蓄積用
容量素子との直列回路で構成されている。前記メモリセ
ルのメモリセル選択用MISFETは半導体基板(又は
ウエル領域)の活性領域の主面に構成されている。この
半導体基板の活性領域は前記半導体基板の非活性領域に
形成された素子間分離用絶縁膜(フィールド絶縁膜)及び
チャネルストッパ領域で周囲を規定された領域内に設け
られている。前記メモリセル選択用MISFETのゲー
ト電極は行方向に延在するワード線に接続されている。
メモリセル選択用MISFETの一方の半導体領域は相
補性データ線に接続されている。他方の半導体領域は前
記情報蓄積用容量素子の一方の電極に接続されている。
情報蓄積用容量素子の他方の電極には所定電位が印加さ
れている。
2. Description of the Related Art A memory cell for holding 1-bit information of a DRAM is composed of a series circuit of a memory cell selecting MISFET and an information storing capacitive element. The memory cell selecting MISFET of the memory cell is formed on the main surface of the active region of the semiconductor substrate (or well region). The active region of the semiconductor substrate is provided in a region defined around the element isolation insulating film (field insulating film) and the channel stopper region formed in the inactive region of the semiconductor substrate. The gate electrode of the memory cell selecting MISFET is connected to a word line extending in the row direction.
One semiconductor region of the memory cell selection MISFET is connected to the complementary data line. The other semiconductor region is connected to one electrode of the information storage capacitive element.
A predetermined potential is applied to the other electrode of the information storage capacitive element.

【0003】この種のDRAMは大容量化のために集積
化され、メモリセルのサイズが縮小される傾向にある。
メモリセルのサイズが縮小された場合、情報蓄積用容量
素子のサイズも縮小されるので、情報となる電荷蓄積量
が低下する。電荷蓄積量の低下はα線ソフトエラー耐圧
を低下させる。このため、特に1[Mbit]以上の大容量
を有するDRAMはこのα線ソフトエラー耐圧の向上が
重要な技術的課題の一つとなっている。
DRAMs of this type are integrated to increase the capacity, and the size of memory cells tends to be reduced.
When the size of the memory cell is reduced, the size of the information storage capacitive element is also reduced, so that the amount of charge accumulated as information is reduced. The decrease in the amount of accumulated charge decreases the α-ray soft error withstand voltage. For this reason, particularly in a DRAM having a large capacity of 1 [Mbit] or more, improvement of this α-ray soft error withstand voltage is one of the important technical problems.

【0004】このような技術的課題に基づき、DRAM
のメモリセルの情報蓄積用容量素子にスタックド構造
(STC構造)が採用される傾向にある。このスタックド
構造の情報蓄積用容量素子は、下層電極層、誘電体膜、
上層電極層の夫々を順次積層し構成されている。下層電
極層は、メモリセル選択用MISFETの他方の半導体
領域に一部が接続され、他の領域がゲート電極上まで引
き伸ばされている。上層電極層は前記下層電極層の表面
上に誘電体膜を介在させて形成されている。この上層電
極層は、隣接する他のメモリセルのスタックド構造の情
報蓄積用容量素子の上層電極層と一体に構成され、共通
プレート電極として使用されている。
Based on such technical problems, DRAM
Stacked structure for the information storage capacitor of the memory cell
(STC structure) tends to be adopted. The information storage capacitor of this stacked structure includes a lower electrode layer, a dielectric film,
Each of the upper electrode layers is sequentially laminated and configured. The lower electrode layer is partially connected to the other semiconductor region of the memory cell selection MISFET, and the other region is extended to above the gate electrode. The upper electrode layer is formed on the surface of the lower electrode layer with a dielectric film interposed. The upper electrode layer is integrally formed with the upper electrode layer of the information storage capacitor of the stacked structure of another adjacent memory cell and is used as a common plate electrode.

【0005】なお、スタックド構造の情報蓄積用容量素
子でメモリセルを構成するDRAMについては例えば特
願昭62−235906号に記載されている。
A DRAM in which memory cells are composed of stacked information storage capacitors is described in, for example, Japanese Patent Application No. 62-235906.

【0006】[0006]

【発明が解決しようとする課題】本発明者は16[Mbi
t]の大容量を有するDRAMの開発中に以下に記載する
問題点を見出した。
DISCLOSURE OF THE INVENTION The present inventor has proposed 16 [Mbi
The following problems were discovered during the development of a DRAM having a large capacity of t].

【0007】DRAMにおいて、現在、メモリセル間の
分離は素子間分離用絶縁膜及びチャネルストッパ領域で
行っている。素子間分離用絶縁膜は、半導体基板の活性
領域の主面上に形成された耐酸化マスク(窒化珪素膜)を
用い、半導体基板の非活性領域の主面を酸化することに
より形成されている。一方、チャネルストッパ領域は、
半導体基板の活性領域(メモリセルアレイのみ)及び非活
性領域の主面部に導入された不純物例えばBにより形成
されている。この不純物は、素子間分離用絶縁膜を形成
した後、この素子間分離用絶縁膜を通過する程度の高エ
ネルギのイオン打込法により導入されている。つまり、
半導体基板の非活性領域の素子間分離用絶縁膜下の主面
部に導入された不純物が前記チャネルストッパ領域とし
て形成される。半導体基板の活性領域の主面部に導入さ
れた不純物は、非活性領域の主面部に導入された不純物
に比べて深い領域に導入されるので、メモリセルに悪影
響を与えることがない。この高エネルギのイオン打込法
を使用するチャネルストッパ領域の形成方法はメモリセ
ル選択用MISFETの挟チャネル効果を低減すること
ができる特徴がある。つまり、前記形成方法は、素子間
分離用絶縁膜に対して自己整合的にチャネルストッパ領
域を形成することができるので、チャネルストッパ領域
を形成する不純物の活性領域側の拡散量を低減すること
ができる。
In a DRAM, isolation between memory cells is currently performed by an insulating film for element isolation and a channel stopper region. The element isolation insulating film is formed by oxidizing the main surface of the non-active region of the semiconductor substrate using an oxidation resistant mask (silicon nitride film) formed on the main surface of the active region of the semiconductor substrate. . On the other hand, the channel stopper area is
It is formed of impurities such as B introduced into the main surface of the active region (only the memory cell array) and the non-active region of the semiconductor substrate. The impurities are introduced by an ion implantation method with high energy such that they pass through the insulating film for separating elements after forming the insulating film for separating elements. That is,
Impurities introduced into the main surface portion of the inactive region of the semiconductor substrate below the insulating film for element isolation are formed as the channel stopper region. The impurities introduced into the main surface portion of the active region of the semiconductor substrate are introduced into a deeper region than the impurities introduced into the main surface portion of the non-active region, so that the memory cell is not adversely affected. This method of forming the channel stopper region using the high-energy ion implantation method has a feature that the inter-channel effect of the memory cell selecting MISFET can be reduced. That is, according to the above-described forming method, the channel stopper region can be formed in a self-aligning manner with respect to the inter-element isolation insulating film, so that the diffusion amount of the impurities forming the channel stopper region on the active region side can be reduced. it can.

【0008】ところが、本発明者が開発中のDRAM
は、16[Mbit]の大容量化がなされ、メモリセル面積
及びメモリセル間の分離面積を充分に確保することが難
い。つまり、前記素子間分離用絶縁膜は横方向の酸化量
(バーズビーク)が大きいので、素子間分離用絶縁膜の面
積が必要以上に増大する。この素子間分離用絶縁膜の面
積の増大は逆にメモリセル面積を必要以上に縮小する。
そこで、前記素子間分離用絶縁膜の膜厚を薄くし、横方
向の酸化量を低減した場合、半導体基板の活性領域の主
面部の浅い領域にチャネルストッパ領域を形成する不純
物が導入される。この半導体基板の活性領域の主面部に
導入された不純物は、表面の不純物濃度を高めるので、
メモリセルのメモリセル選択用MISFETのしきい値
電圧を変動させる。このため、メモリセル面積を確保し
かつメモリセル間の分離面積を縮小することができない
ので、DRAMの高集積化を図ることができないという
問題があった。
However, the DRAM under development by the present inventor
Has a large capacity of 16 [Mbit], and it is difficult to sufficiently secure the memory cell area and the isolation area between the memory cells. In other words, the insulating film for element isolation is the amount of oxidation in the lateral direction.
Since the (bird's beak) is large, the area of the insulating film for element isolation increases more than necessary. On the contrary, the increase in the area of the inter-element isolation insulating film reduces the memory cell area more than necessary.
Therefore, when the film thickness of the element isolation insulating film is reduced and the lateral oxidation amount is reduced, impurities for forming a channel stopper region are introduced into a shallow region of the main surface portion of the active region of the semiconductor substrate. Impurities introduced into the main surface of the active region of the semiconductor substrate increase the impurity concentration on the surface,
The threshold voltage of the memory cell selecting MISFET of the memory cell is changed. Therefore, the area of the memory cells cannot be secured and the isolation area between the memory cells cannot be reduced, so that there has been a problem that the DRAM cannot be highly integrated.

【0009】本発明の目的は下記のとおりである。The objects of the present invention are as follows.

【0010】(1)記憶機能を有する半導体集積回路装
置において、集積度を向上することが可能な技術を提供
することにある。
(1) To provide a technique capable of improving the degree of integration in a semiconductor integrated circuit device having a memory function.

【0011】(2)前記半導体集積回路装置において、
電気的信頼性を向上することが可能な技術を提供するこ
とにある。
(2) In the semiconductor integrated circuit device,
It is to provide a technique capable of improving electrical reliability.

【0012】(3)前記半導体集積回路装置において、
ソフトエラー耐圧を向上することが可能な技術を提供す
ることにある。
(3) In the semiconductor integrated circuit device,
It is to provide a technique capable of improving the soft error withstand voltage.

【0013】(4)前記半導体集積回路装置において、
製造工程数を低減することが可能な技術を提供すること
にある。
(4) In the semiconductor integrated circuit device,
It is to provide a technique capable of reducing the number of manufacturing steps.

【0014】(5)前記半導体集積回路装置において、
製造上の加工精度を向上することが可能な技術を提供す
ることにある。
(5) In the semiconductor integrated circuit device,
It is to provide a technique capable of improving the processing accuracy in manufacturing.

【0015】(6)前記半導体集積回路装置において、
半導体素子の駆動能力を向上することが可能な技術を提
供することにある。
(6) In the semiconductor integrated circuit device,
It is to provide a technique capable of improving the driving capability of a semiconductor element.

【0016】(7)前記半導体集積回路装置において、
製造上の歩留りを向上することが可能な技術を提供する
ことにある。
(7) In the semiconductor integrated circuit device,
It is to provide a technique capable of improving the manufacturing yield.

【0017】(8)前記半導体集積回路装置において、
動作速度の高速化を図ることが可能な技術を提供するこ
とにある。
(8) In the semiconductor integrated circuit device,
It is to provide a technique capable of increasing the operating speed.

【0018】(9)前記半導体集積回路装置において、
配線の断線不良を防止することが可能な技術を提供する
ことにある。
(9) In the semiconductor integrated circuit device,
An object of the present invention is to provide a technique capable of preventing disconnection failure of wiring.

【0019】(10)前記半導体集積回路装置におい
て、耐湿性を向上することが可能な技術を提供すること
にある。
(10) It is an object of the present invention to provide a technique capable of improving the moisture resistance of the semiconductor integrated circuit device.

【0020】(11)冗長用ヒューズ素子を有する半導
体集積回路装置において、前記冗長用ヒューズ素子の形
成工程を簡単化することが可能な技術を提供することに
ある。
(11) In a semiconductor integrated circuit device having a redundant fuse element, it is an object of the present invention to provide a technique capable of simplifying the step of forming the redundant fuse element.

【0021】(12)前記半導体集積回路装置におい
て、それに使用される膜の膜質を向上することが可能な
技術を提供することにある。
(12) It is an object of the present invention to provide a technique capable of improving the film quality of the film used in the semiconductor integrated circuit device.

【0022】(13)前記(12)の製造装置を提供す
ることにある。
(13) To provide the manufacturing apparatus according to (12).

【0023】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述及び添付図面によって明らか
になるであろう。
The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

【0024】[0024]

【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
下記のとおりである。
Of the inventions disclosed in the present application, a representative one will be briefly described below.
It is as follows.

【0025】(1)第1領域とそれに隣接する第2領域
とを有する第1導電型のウエル領域を含む半導体基板
と、前記第2領域の半導体基板の主面に形成された第1
絶縁膜と、前記第1領域に所定の間隔で形成された第2
導電型の第1、第2半導体領域と、前記半導体基板の主
面において、前記第1、第2半導体領域の間に位置する
第2絶縁膜上に形成されたゲート電極とからなるメモリ
セル選択用MISFETと、前記第2領域の半導体基板
の主面に形成され、前記第1絶縁膜の下に位置する第1
導電型の第3半導体領域と、前記第2半導体領域に接続
され、前記ゲート電極上に延在する第2導電型の不純物
を含有する第1電極と、前記第1電極上に形成された誘
電体膜と、前記誘電体膜上に形成された第2電極とから
なる情報蓄積用容量素子と、前記第1領域において、前
記第1、第2半導体領域の下部に形成された第1導電型
の第4半導体領域とからなり、前記第1絶縁膜の膜厚
は、前記第2絶縁膜の膜厚よりも大であり、前記第2半
導体領域は、前記第1絶縁膜に対して自己整合的に形成
されており、前記第3半導体領域は、前記第2領域に前
記第1絶縁膜を通過させて第1導電型の不純物を導入す
ることによって形成され、前記第4半導体領域は、前記
第1領域に前記第1導電型の不純物を導入することによ
り、前記第3半導体領域と同一工程で形成されることを
特徴とする半導体集積回路装置。
(1) A semiconductor substrate including a well region of a first conductivity type having a first region and a second region adjacent thereto, and a first substrate formed on the main surface of the semiconductor substrate of the second region.
An insulating film and a second layer formed in the first region at a predetermined interval.
Memory cell selection including first and second semiconductor regions of conductivity type and a gate electrode formed on a second insulating film located between the first and second semiconductor regions on the main surface of the semiconductor substrate And a first MISFET formed on the main surface of the semiconductor substrate in the second region and located under the first insulating film.
A conductive third semiconductor region, a first electrode connected to the second semiconductor region and containing a second conductive impurity extending over the gate electrode, and a dielectric formed on the first electrode. An information storage capacitive element including a body film and a second electrode formed on the dielectric film, and a first conductivity type formed in the first region below the first and second semiconductor regions. And a thickness of the first insulating film is larger than that of the second insulating film, and the second semiconductor region is self-aligned with the first insulating film. And the third semiconductor region is formed by introducing an impurity of a first conductivity type into the second region through the first insulating film, and the fourth semiconductor region is formed by: By introducing the impurity of the first conductivity type into the first region, the third semiconductor The semiconductor integrated circuit device characterized by being formed by-pass the same step.

【0026】(2)更に、前記第3及び第4半導体領域
の不純物濃度は、前記ウエル領域の不純物濃度よりも大
である。
(2) Furthermore, the impurity concentration of the third and fourth semiconductor regions is higher than the impurity concentration of the well region.

【0027】(3)更に、前記第2半導体領域は、所定
の濃度の第1部分と、前記第1部分よりも高濃度の第2
部分とからなり、前記第2部分は前記第1電極に含有さ
れた不純物が前記半導体基板内へ拡散することによって
形成されたものである。
(3) Further, the second semiconductor region has a first portion having a predetermined concentration and a second portion having a higher concentration than the first portion.
The second portion is formed by diffusing the impurities contained in the first electrode into the semiconductor substrate.

【0028】(4)更に、前記メモリセル選択用MIS
FETと情報蓄積用容量素子とからなるメモリセルが行
列状に複数配置されたメモリセルアレイと、前記メモリ
セルアレイにおいて、行方向に延在する複数のデータ線
と、前記メモリセルアレイにおいて、列方向に延在する
複数のワード線とを有し、前記データ線は、前記第1半
導体領域に接続されており、前記ワード線は、前記メモ
リセル選択用MISFETのゲート電極に接続されてい
る。
(4) Further, the memory cell selecting MIS
A memory cell array in which a plurality of memory cells including FETs and information storage capacitors are arranged in a matrix, a plurality of data lines extending in a row direction in the memory cell array, and a column direction in the memory cell array. A plurality of existing word lines, the data line is connected to the first semiconductor region, and the word line is connected to a gate electrode of the memory cell selecting MISFET.

【0029】(5)第1導電型のウエル領域を有する半
導体基板上に、ソース及びドレイン領域とゲート電極と
からなるメモリセル選択用MISFETと、第1電極、
誘電体膜、第2電極とからなる情報蓄積用容量素子とが
直列接続されたメモリセルを複数形成した半導体集積回
路装置において、前記半導体基板の主面の第1領域に耐
酸化マスクとなる第1絶縁膜を形成する工程と、前記第
1絶縁膜をマスクにして、前記半導体基板の第2領域に
第2絶縁膜を形成する工程と、前記第2絶縁膜を通過す
るのに十分なエネルギーで第1導電型の第1不純物を前
記半導体基板の主面の前記第1、第2領域にイオン打ち
込みする工程と、前記半導体基板の主面の第1領域に前
記第2絶縁膜よりも膜厚が小である第3絶縁膜を形成す
る工程と、前記第3絶縁膜上に前記メモリセル選択用M
ISFETのゲート電極を形成する工程と、前記ソース
及びドレイン領域の一方を形成するために、前記ゲート
電極及び第2絶縁膜に対して自己整合で、前記半導体基
板の主面に第2導電型の第2不純物をイオン打ち込みす
る工程と、前記ソース及びドレイン領域の一方に電気的
に接続されるように、第2導電型の不純物を含有する第
1電極を前記ゲート電極上に延在するように形成する工
程と、前記第1電極上に前記誘電体膜及び前記第2電極
を形成する工程とからなる半導体集積回路装置の製造方
法。
(5) On a semiconductor substrate having a first conductivity type well region, a memory cell selecting MISFET including a source / drain region and a gate electrode, a first electrode,
In a semiconductor integrated circuit device in which a plurality of memory cells in which a dielectric film and an information storage capacitive element including a second electrode are connected in series are formed, a first mask serving as an oxidation resistant mask is formed on a first region of the main surface of the semiconductor substrate. A step of forming a first insulating film, a step of forming a second insulating film in the second region of the semiconductor substrate using the first insulating film as a mask, and an energy sufficient to pass through the second insulating film. And a step of ion-implanting the first impurity of the first conductivity type into the first and second regions of the main surface of the semiconductor substrate, and forming a film in the first region of the main surface of the semiconductor substrate, rather than the second insulating film. Forming a third insulating film having a small thickness, and selecting the memory cell M on the third insulating film.
In order to form a gate electrode of the ISFET and to form one of the source and drain regions, the second conductive type is self-aligned with the gate electrode and the second insulating film and is of a second conductivity type on the main surface of the semiconductor substrate. Ion implanting a second impurity, and extending a first electrode containing a second conductivity type impurity onto the gate electrode so as to be electrically connected to one of the source and drain regions. A method of manufacturing a semiconductor integrated circuit device, comprising: a forming step; and a step of forming the dielectric film and the second electrode on the first electrode.

【0030】(6)更に、前記第1不純物のイオン打ち
込み工程の前に、前記第1絶縁膜を半導体基板の主面か
ら除去する工程を含むことを特徴とする半導体集積回路
装置の製造方法。
(6) A method of manufacturing a semiconductor integrated circuit device, further comprising a step of removing the first insulating film from the main surface of the semiconductor substrate before the step of ion-implanting the first impurity.

【0031】(7)更に、前記第2不純物のイオン打ち
込み工程と前記第1電極形成工程の間に、前記半導体基
板の主面上に第4絶縁膜を形成する工程と、前記第4絶
縁膜を異方性エッチングすることにより、前記ゲート電
極の側壁にサイドウォールを形成する工程と、前記ソー
ス及びドレイン領域の一方が露出するような開孔を有す
る第5絶縁膜を、前記サイドウォール上に形成する工程
とを有する。
(7) Further, a step of forming a fourth insulating film on the main surface of the semiconductor substrate between the step of ion-implanting the second impurity and the step of forming the first electrode, and the fourth insulating film. Forming a side wall on the side wall of the gate electrode by anisotropically etching, and forming on the side wall a fifth insulating film having an opening so that one of the source and drain regions is exposed. Forming process.

【0032】(8)更に、前記第1電極に含有された不
純物はリンであり、前記第1電極に含有された不純物
は、半導体基板内に拡散し、前記ソース及びドレイン領
域の一方を構成する。
(8) Furthermore, the impurity contained in the first electrode is phosphorus, and the impurity contained in the first electrode diffuses into the semiconductor substrate to form one of the source and drain regions. .

【0033】(作用)上述した手段によれば、前記第1
チャネルストッパ領域、第2チャネルストッパ領域の夫
々が前記境界領域で重なる場合はその領域の不純物濃度
が高くなるが、前記境界領域には活性領域は配置してい
ないので、基板と素子との接合耐圧を向上することがで
きる。また、前記第1チャネルストッパ領域、第2チャ
ネルストッパ領域の夫々が前記境界領域で離隔する場合
は前記境界領域にその面積に対応した大きな反転層が発
生し易くなり、前記境界領域に活性領域が存在するとこ
の活性領域に形成される素子の面積が見かけ上前記反転
層を加算した分増加し、基板と素子との接合部において
リーク電流量が増大するが、前記境界領域には活性領域
は配置していないので、前記接合部においてリーク電流
量を低減することができる。
(Operation) According to the above-mentioned means, the first
When each of the channel stopper region and the second channel stopper region overlaps with each other in the boundary region, the impurity concentration of the region becomes high. However, since no active region is arranged in the boundary region, the junction breakdown voltage between the substrate and the element is high. Can be improved. In addition, when the first channel stopper region and the second channel stopper region are separated from each other in the boundary region, a large inversion layer corresponding to the area thereof is likely to occur in the boundary region, and an active region is formed in the boundary region. If present, the area of the element formed in this active region apparently increases by the addition of the inversion layer, and the leak current amount increases at the junction between the substrate and the element, but the active region is arranged in the boundary region. Since this is not done, it is possible to reduce the amount of leak current at the junction.

【0034】上述した手段によれば、前記第1MISF
ETは、ゲート長寸法を長くしてホットキャリア耐圧を
向上したので、経時的なしきい値電圧の劣下を低減し、
電気的特性を向上することができると共に、前記第2M
ISFETは、低電圧を使用してホットキャリア耐圧を
確保しながら低電圧の使用により低消費電力化を図るこ
とができ、しかも、前記第1MISFETはゲート長寸
法を長くし、第2MISFETは低電圧の使用により夫
々ホットキャリア耐圧を向上しているので、前記LDD
構造を形成する低不純物濃度の半導体領域のゲート長方
向の長さを独立に制御することができ、前記第1MIS
FET、第2MISFETの夫々の低不純物濃度の半導
体領域のゲート長方向の長さを実質的に同一にすること
ができる。
According to the above-mentioned means, the first MISF is
In ET, the gate length dimension is increased to improve the hot carrier withstand voltage, so that the deterioration of the threshold voltage over time is reduced,
The electric characteristics can be improved, and the second M
The ISFET can achieve low power consumption by using a low voltage while securing a hot carrier withstand voltage by using a low voltage. Moreover, the first MISFET has a long gate length dimension and the second MISFET has a low voltage. Since the hot carrier withstand voltage is improved by each use, the LDD
The length of the low impurity concentration semiconductor region forming the structure in the gate length direction can be independently controlled.
The lengths of the low impurity concentration semiconductor regions of the FET and the second MISFET in the gate length direction can be made substantially the same.

【0035】上述した手段によれば、前記第1MISF
ET、第2MISFETの夫々の形成工程をすべて兼用
し、特に夫々のサイドウォールスペーサを同一製造工程
で形成することができるので、半導体集積回路装置の製
造工程数を低減することができる。
According to the above-mentioned means, the first MISF is
Since all the forming steps of the ET and the second MISFET can be used in common, and especially the respective side wall spacers can be formed in the same manufacturing step, the number of manufacturing steps of the semiconductor integrated circuit device can be reduced.

【0036】前記メモリセルMのメモリセル選択用MI
SFETQsは図1、図5及び図6(所定の製造工程に
おける要部平面図)に示すようにp−型ウエル領域22
の主面部に構成されている。実際には、メモリセル選択
用MISFETQsは、p型半導体領域25Bで周囲を
覆われた、若干不純物濃度が高く形成されたp−型ウエ
ル領域22の主面部に構成されている。メモリセル選択
用MISFETQsは素子間分離用絶縁膜23及びp型
チャネルストッパ領域25Aで規定された領域内に構成
されている。メモリセル選択用MISFETQsは主に
p−型ウエル領域22、ゲート絶縁膜26、ゲート電極
27、ソース領域及びドレイン領域である一対のn型半
導体領域29で構成されている。
MI for memory cell selection of the memory cell M
As shown in FIGS. 1, 5 and 6 (plan views of main parts in a predetermined manufacturing process), the SFET Qs has a p-type well region 22.
The main surface of the. Actually, the memory cell selecting MISFET Qs is formed on the main surface portion of the p − type well region 22 which is covered with the p type semiconductor region 25B and has a slightly higher impurity concentration. The memory cell selecting MISFET Qs is formed in a region defined by the element isolation insulating film 23 and the p-type channel stopper region 25A. The memory cell selecting MISFET Qs is mainly composed of a p-type well region 22, a gate insulating film 26, a gate electrode 27, and a pair of n-type semiconductor regions 29 which are a source region and a drain region.

【0037】前記p−型ウエル領域22はチャネル形成
領域として使用されている。ゲート絶縁膜26はp−型
ウエル領域22の主面を酸化して形成した酸化珪素膜で
形成されている。また、ゲート絶縁膜26の薄膜化に伴
い絶縁耐圧を確保する場合には、ゲート絶縁膜26は酸
化珪素膜、窒化珪素膜の夫々を順次積層した複合膜で形
成してもよい。
The p-type well region 22 is used as a channel forming region. The gate insulating film 26 is formed of a silicon oxide film formed by oxidizing the main surface of the p − type well region 22. Further, when the dielectric strength is secured as the gate insulating film 26 is made thinner, the gate insulating film 26 may be formed of a composite film in which a silicon oxide film and a silicon nitride film are sequentially laminated.

【0038】ゲート電極27はゲート絶縁膜26の上部
に設けられている。ゲート電極27は、例えば、CVD
法で堆積した多結晶珪素膜で形成し、200〜300
[nm]程度の膜厚で形成されている。この多結晶珪素膜
は抵抗値を低減するn型不純物(P或はAs)を導入し
ている。また、ゲート電極27は、遷移金属(高融点金
属Mo,Ti,Ta,W)膜や遷移金属シリサイド(高融点金属
シリサイドMoSi2,TiSi2,TaSi2,WSi2)膜の単層
で構成してもよい。また、ゲート電極27は、多結晶珪
素膜上に前記遷移金属膜や遷移金属シリサイド膜を積層
した複合膜で構成してもよい。
The gate electrode 27 is provided on the gate insulating film 26. The gate electrode 27 is, for example, CVD.
Formed of a polycrystalline silicon film deposited by the
It is formed with a film thickness of about [nm]. This polycrystalline silicon film is introduced with an n-type impurity (P or As) that reduces the resistance value. The gate electrode 27 is composed of a single layer of a transition metal (high melting point metal Mo, Ti, Ta, W) film or a transition metal silicide (high melting point metal silicide MoSi 2 , TiSi 2 , TaSi 2 , WSi 2 ) film. May be. Further, the gate electrode 27 may be composed of a composite film in which the transition metal film or the transition metal silicide film is laminated on the polycrystalline silicon film.

【0039】ゲート電極27は、図5及び図6に示すよ
うに、列方向に延在するワード線(WL)27と一体に構
成されている。つまり、ゲート電極27、ワード線27
の夫々は同一導電層で形成されている。ワード線27は
列方向に配置された複数のメモリセルMのメモリセル選
択用MISFETQsの夫々のゲート電極27を接続す
るように構成されている。
As shown in FIGS. 5 and 6, the gate electrode 27 is formed integrally with the word line (WL) 27 extending in the column direction. That is, the gate electrode 27 and the word line 27
Are formed of the same conductive layer. The word line 27 is configured to connect the gate electrodes 27 of the memory cell selecting MISFETs Qs of the plurality of memory cells M arranged in the column direction.

【0040】図6に示すように、メモリセル選択用MI
SFETQsのゲート電極27のゲート長寸法はワード
線27の幅寸法に比べて長く構成されている。例えば、
ゲート電極27のゲート長寸法は0.7[μm]に対して
ワード線27の幅寸法は0.5[μm]で構成されてい
る。つまり、メモリセル選択用MISFETQsは、実
効ゲート長(実効チャネル長)寸法を確保し、短チャネル
効果を低減できるように構成されている。一方、ワード
線27は、ワード線27間隔を最小限に小さくし、メモ
リセルMの面積を縮小して集積度を向上するように構成
されている。このワード線27は、後述するが、シャン
ト用ワード線(WL)55で抵抗値を低減しているので、
幅寸法を縮小しても情報書込み動作、情報読出し動作の
夫々の動作速度を低下することがない。なお、本実施例
においてDRAM1は最小加工寸法を0.5[μm]とす
る所謂0.5[μm]製造プロセスを採用している。
As shown in FIG. 6, MI for memory cell selection
The gate length dimension of the gate electrode 27 of the SFET Qs is configured to be longer than the width dimension of the word line 27. For example,
The gate length of the gate electrode 27 is 0.7 [μm], while the width of the word line 27 is 0.5 [μm]. That is, the memory cell selecting MISFET Qs is configured to secure the effective gate length (effective channel length) dimension and reduce the short channel effect. On the other hand, the word lines 27 are configured to minimize the distance between the word lines 27 and reduce the area of the memory cells M to improve the degree of integration. As will be described later, the word line 27 has the resistance value reduced by the shunt word line (WL) 55.
Even if the width dimension is reduced, the operation speeds of the information writing operation and the information reading operation are not reduced. In this embodiment, the DRAM 1 employs a so-called 0.5 [μm] manufacturing process in which the minimum processing dimension is 0.5 [μm].

【0041】n型半導体領域29は、周辺回路を構成す
るMISFETQnのn+型半導体領域(32)に比べ
て、低不純物濃度で形成されている。具体的に、n型半
導体領域29は1×1014[atoms/cm2]未満の低不純
物濃度のイオン打込法で構成されている。つまり、n型
半導体領域29は、不純物の導入に起因する結晶欠陥の
発生を低減し、しかも不純物の導入後の熱処理によって
結晶欠陥を充分に回復できるように形成されている。し
たがって、n型半導体領域29は、p−型ウエル領域2
2とのpn接合部においてリーク電流量が少ないので、
情報蓄積用容量素子Cに蓄積された情報となる電荷を安
定に保持することができる。
The n-type semiconductor region 29 is formed with a lower impurity concentration than the n + -type semiconductor region (32) of the MISFET Qn which constitutes the peripheral circuit. Specifically, the n-type semiconductor region 29 is formed by an ion implantation method with a low impurity concentration of less than 1 × 10 14 [atoms / cm 2 ]. That is, the n-type semiconductor region 29 is formed so as to reduce the occurrence of crystal defects due to the introduction of impurities and to sufficiently recover the crystal defects by heat treatment after the introduction of impurities. Therefore, the n-type semiconductor region 29 is the p-type well region 2
Since the amount of leak current is small at the pn junction with 2,
It is possible to stably hold the electric charge that becomes the information stored in the information storage capacitive element C.

【0042】前記n型半導体領域29は、ゲート電極2
7に対して自己整合で形成され、チャネル形成領域側が
低不純物濃度で構成されているので、LDD(ightly
oped rain)構造のメモリセル選択用MISFETQ
sを構成する。
The n-type semiconductor region 29 is the gate electrode 2
7 is formed in self alignment with the channel formation region side
Since it is composed of low impurity concentration, LDD (Lightly
DopedDrain) structure MISFETQ for memory cell selection
compose s.

【0043】また、前記メモリセル選択用MISFET
Qsの一方(相補性データ線50の接続側)のn型半導体
領域29は、後述する接続孔(40A)で規定された領域
内において、相補性データ線(50)の下層の多結晶珪素
膜(50A)に導入されたn型不純物が拡散され、若干不
純物濃度が高く構成されている。このn型半導体領域2
9に導入されるn型不純物は、n型半導体領域29、相
補性データ線(50)の夫々をオーミック接続すること
ができるので、接続部分の抵抗値を低減することができ
る。また、前記n型不純物は、n型半導体領域29と前
記接続孔(40A)との間に製造工程におけるマスク合
せずれが生じ、前記接続孔(40A)が素子間分離用絶縁
膜23に重合し、接続孔(40A)内にp−型ウエル領域
22の主面が露出した場合においても、相補性データ線
(50)とp−型ウエル領域22が短絡しないように、n
型半導体領域を形成するようになっている。
Further, the memory cell selecting MISFET
The n-type semiconductor region 29 on one side of Qs (the connection side of the complementary data line 50) is a polycrystalline silicon film below the complementary data line (50) in the region defined by the connection hole (40A) described later. The n-type impurities introduced into (50A) are diffused to have a slightly higher impurity concentration. This n-type semiconductor region 2
The n-type impurity introduced into 9 can ohmic-connect each of the n-type semiconductor region 29 and the complementary data line (50), so that the resistance value of the connection portion can be reduced. Further, the n-type impurity causes mask misalignment between the n-type semiconductor region 29 and the connection hole (40A) in a manufacturing process, and the connection hole (40A) is superposed on the element isolation insulating film 23. , Even if the main surface of the p-type well region 22 is exposed in the connection hole (40A), the complementary data line
In order not to short-circuit the (50) and the p-type well region 22, n
A type semiconductor region is formed.

【0044】また、メモリセル選択用MISFETQs
の他方(情報蓄積用容量素子Cの接続側)のn型半導体領
域29は、接続孔(34)で規定される領域内において、
後述する情報蓄積用容量素子Cの下層電極層(35)に導
入されたn型不純物が拡散され、若干不純物濃度が高く
形成されている。このn型半導体領域29に導入される
n型不純物は、n型半導体領域29、下層電極層(35)
の夫々をオーミック接続することができるので、接続部
分の抵抗値を低減することができる。また、前記n型不
純物は、n型半導体領域29の不純物濃度を高め、n型
半導体領域29とp−型ウエル領域22とで形成される
pn接合容量を増加することができるので、情報蓄積用
容量素子Cの電荷蓄積量を増加することができる。
Further, MISFETQs for memory cell selection
The n-type semiconductor region 29 on the other side (connection side of the information storage capacitive element C) is in the region defined by the connection hole (34),
The n-type impurities introduced into the lower electrode layer (35) of the information storage capacitive element C, which will be described later, are diffused to have a slightly higher impurity concentration. The n-type impurities introduced into the n-type semiconductor region 29 are the n-type semiconductor region 29 and the lower electrode layer (35).
Since each of them can be ohmic-connected, the resistance value of the connection portion can be reduced. In addition, the n-type impurity can increase the impurity concentration of the n-type semiconductor region 29 and increase the pn junction capacitance formed by the n-type semiconductor region 29 and the p-type well region 22. The amount of charge stored in the capacitor C can be increased.

【0045】前記メモリセル選択用MISFETQsの
ゲート電極27の上層には絶縁膜28が設けられ、ゲー
ト電極27、絶縁膜28の夫々の側壁にはサイドウォー
ルスペーサ31が設けられている。絶縁膜28は主にゲ
ート電極27、その上に形成される情報蓄積用容量素子
Cの各電極(特に35)の夫々を電気的に分離するように
構成されている。サイドウォールスペーサ31は、メモ
リセルMの形成領域において、メモリセル選択用MIS
FETQsのゲート電極27に対して自己整合で、他方
のn型半導体領域29、情報蓄積用容量素子Cの下層電
極層35の夫々を接続するために形成されている。ま
た、サイドウォールスペーサ31は、周辺回路の形成領
域において、CMOSをLDD構造にするために構成さ
れている。前記絶縁膜28、サイドウォールスペーサ3
1の夫々は、その製造方法については後述するが、無機
シランガス及び酸化窒素ガスをソースガスとするCVD
法で堆積された酸化珪素膜で形成されている。この酸化
珪素膜は、有機シランガスをソースガスとするCVD法
で堆積した酸化珪素膜に比べて、下地の段差部分でのス
テップカバレッジが高く、又膜の縮みが小さい。つま
り、この方法で形成される前記絶縁膜28、サイドウォ
ールスペーサ31の夫々は膜の縮みによる両者間の剥離
を低減することができるので、前記ゲート電極27とそ
れ以外の導電層例えば下層電極層35との間の短絡を防
止することができる。
An insulating film 28 is provided on the upper layer of the gate electrode 27 of the memory cell selecting MISFET Qs, and sidewall spacers 31 are provided on the side walls of the gate electrode 27 and the insulating film 28, respectively. The insulating film 28 is mainly configured to electrically isolate the gate electrode 27 and each electrode (especially 35) of the information storage capacitive element C formed thereon. The sidewall spacer 31 is provided in the formation region of the memory cell M and is a MIS for memory cell selection.
It is formed in self-alignment with the gate electrode 27 of the FET Qs so as to connect the other n-type semiconductor region 29 and the lower electrode layer 35 of the information storage capacitor C, respectively. Further, the sidewall spacers 31 are configured to make the CMOS an LDD structure in the peripheral circuit formation region. The insulating film 28 and the sidewall spacer 3
Each of No. 1 will be described later with respect to its manufacturing method, but CVD using inorganic silane gas and nitric oxide gas as source gases
It is formed of a silicon oxide film deposited by the method. This silicon oxide film has a higher step coverage in the step portion of the base and a smaller shrinkage of the film than a silicon oxide film deposited by a CVD method using an organic silane gas as a source gas. That is, since the insulating film 28 and the sidewall spacers 31 formed by this method can reduce the peeling between the insulating film 28 and the sidewall spacers 31 due to the shrinkage of the film, the gate electrode 27 and other conductive layers such as the lower electrode layer. A short circuit with 35 can be prevented.

【0046】前記メモリセルMの情報蓄積用容量素子C
は、図1、図5及び第7図(所定の製造工程における要
部平面図)に示すように、主に、下層電極層35、誘電
体膜36、上層電極層37の夫々を順次積層して構成さ
れている。情報蓄積用容量素子Cは所謂スタックド構造
(積層型:STC)で構成されている。
Information storage capacitor C of the memory cell M
As shown in FIG. 1, FIG. 5 and FIG. 7 (plan view of the main part in a predetermined manufacturing process), mainly, a lower electrode layer 35, a dielectric film 36, and an upper electrode layer 37 are sequentially laminated. Is configured. The information storage capacitor C is a so-called stacked structure.
(Stacked type: STC).

【0047】このスタックド構造の情報蓄積用容量素子
Cの下層電極層35の一部(中央部分)はメモリセル選択
用MISFETQsの他方のn型半導体領域29に接続
されている。この接続は層間絶縁膜33に形成された接
続孔33A、サイドウォールスペーサ31及び33Bで
規定された接続孔34の夫々を通して行われている。接
続孔34の行方向の開口サイズはメモリセル選択用MI
SFETQsのゲート電極27、それに隣接するワード
線27の夫々の離隔寸法及びサイドウォールスペーサ3
1、33Bの夫々の膜厚で規定されている。接続孔33
Aの開口サイズと接続孔34の開口サイズとの差は少な
くとも製造工程におけるマスク合せ余裕寸法に相当する
分より大きくなっている。下層電極層35の他部(周辺
部分)はゲート電極27、ワード線27の夫々の上部ま
で引き伸ばされている。
A part (center portion) of the lower electrode layer 35 of the information storage capacitor C having the stacked structure is connected to the other n-type semiconductor region 29 of the memory cell selecting MISFET Qs. This connection is made through each of the connection hole 33A formed in the interlayer insulating film 33 and the connection hole 34 defined by the sidewall spacers 31 and 33B. The opening size in the row direction of the connection hole 34 is MI for memory cell selection.
The gate electrode 27 of the SFET Qs, the distance between the word line 27 adjacent to the gate electrode 27, and the sidewall spacer 3
It is specified by the respective film thicknesses of 1 and 33B. Connection hole 33
The difference between the opening size A and the opening size of the connection hole 34 is larger than at least the mask alignment margin in the manufacturing process. The other portion (peripheral portion) of the lower electrode layer 35 is extended to the upper portions of the gate electrode 27 and the word line 27, respectively.

【0048】前記層間絶縁膜33はその下層の絶縁膜2
8、サイドウォールスペーサ31の夫々と同様の絶縁膜
で形成されている。つまり、無機シランガス及び酸化窒
素ガスをソースガスとするCVD法で堆積した酸化珪素
膜で形成されている。
The interlayer insulating film 33 is the insulating film 2 below it.
8. The sidewall spacers 31 are formed of the same insulating film. That is, it is formed of a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitric oxide gas as source gases.

【0049】前記下層電極層35は例えばCVD法で堆
積した多結晶珪素膜で形成し、この多結晶珪素膜には抵
抗値を低減するn型不純物(As或はP)が高濃度に導入
されている。下層電極層35はその表面のうち側壁の面
積を増加してスタックド構造の情報蓄積用容量素子Cの
電荷蓄積量を増加するように構成されている。下層電極
層35は、その表面が平坦化されるように、前記接続孔
34の開口サイズのゲート長方向の2分の1のサイズと
同等か又はそれ以上の膜厚で形成されている。例えば、
下層電極層35は400〜600[nm]程度の比較的厚
い膜厚で形成されている。下層電極層35の平面形状
は、図5及び第7図に示すように、相補性データ線(5
0)が延在する行方向に長い長方形状で構成されてい
る。
The lower electrode layer 35 is formed of, for example, a polycrystalline silicon film deposited by a CVD method, and an n-type impurity (As or P) for reducing the resistance value is introduced into this polycrystalline silicon film at a high concentration. ing. The lower electrode layer 35 is configured to increase the area of the side wall of the surface thereof to increase the charge storage amount of the information storage capacitive element C having the stacked structure. The lower electrode layer 35 is formed to have a film thickness equal to or larger than a half of the opening size of the connection hole 34 in the gate length direction so as to flatten the surface. For example,
The lower electrode layer 35 is formed with a relatively thick film thickness of about 400 to 600 [nm]. The planar shape of the lower electrode layer 35 is, as shown in FIGS.
0) extends in a rectangular shape that is long in the row direction.

【0050】誘電体膜36は、基本的には下層電極層
(多結晶珪素膜)35の上層(表面上)にCVD法で堆積
させた窒化珪素膜36A、この窒化珪素膜36Aを高圧
で酸化した酸化珪素膜36Bを積層した2層構造で構成
されている。実際には、誘電体膜36は、下層電極層3
5である多結晶珪素膜の表面に自然酸化珪素膜(5[n
m]未満の非常に薄い膜厚なので図示しない)が形成さ
れるので、自然酸化珪素膜、窒化珪素膜36A、酸化珪
素膜36Bの夫々を順次積層した3層構造で構成されて
いる。前記誘電体膜36の窒化珪素膜36Aは、CVD
法で堆積されるので、下地の多結晶珪素膜(下層電極層
35)の結晶状態や段差形状に影響されず、下地に対し
て独立なプロセス条件で形成することができる。つま
り、窒化珪素膜36Aは、多結晶珪素膜の表面を酸化し
て形成した酸化珪素膜に比べて、絶縁耐圧が高く、単位
面積当りの欠陥数が少ないので、リーク電流が非常に少
ない。しかも、窒化珪素膜36Aは酸化珪素膜に比べて
誘電率が高い特徴がある。酸化珪素膜36Bは、非常に
良質な膜で形成することができるので、前記窒化珪素膜
36Aの前記特性をさらに向上させることができる。ま
た、後に詳述するが、酸化珪素膜36Bは、高圧酸化
(1.5〜10[toll])で形成されるので、常圧酸化に比
べて短い酸化時間つまり熱処理時間で形成することがで
きる。
The dielectric film 36 is basically a silicon nitride film 36A deposited by the CVD method on the upper layer (on the surface) of the lower electrode layer (polycrystalline silicon film) 35, and this silicon nitride film 36A is oxidized at high pressure. It has a two-layer structure in which the silicon oxide film 36B is laminated. In practice, the dielectric film 36 is the lower electrode layer 3
The surface of the polycrystalline silicon film which is No. 5 is a natural silicon oxide film (5 [n
Since it has a very thin film thickness of less than [m] and is not shown), it has a three-layer structure in which a native silicon oxide film, a silicon nitride film 36A, and a silicon oxide film 36B are sequentially laminated. The silicon nitride film 36A of the dielectric film 36 is formed by CVD.
Since it is deposited by the method, it can be formed under process conditions independent of the underlying layer, without being affected by the crystalline state or step shape of the underlying polycrystalline silicon film (lower electrode layer 35). That is, the silicon nitride film 36A has a higher withstand voltage and a smaller number of defects per unit area than a silicon oxide film formed by oxidizing the surface of the polycrystalline silicon film, and therefore has a very small leak current. Moreover, the silicon nitride film 36A is characterized by having a higher dielectric constant than the silicon oxide film. Since the silicon oxide film 36B can be formed of a very good quality film, the characteristics of the silicon nitride film 36A can be further improved. Further, as will be described later in detail, the silicon oxide film 36B is formed by high pressure oxidation.
Since it is formed by (1.5 to 10 [toll]), it can be formed in a shorter oxidation time, that is, a heat treatment time than in atmospheric pressure oxidation.

【0051】誘電体膜36は、下層電極層35の上面及
び側壁に沿って設けられており、下層電極層35の側壁
部分を利用して高さ方向に面積を稼いでいる。誘電体膜
36の面積の増加はスタックド構造の情報蓄積用容量素
子Cの電荷蓄積量を向上することができる。この誘電体
膜36の平面形状は上層電極層37の平面形状で規定さ
れ、実質的に上層電極層37と同一形状で構成されてい
る。
The dielectric film 36 is provided along the upper surface and the side wall of the lower electrode layer 35, and the side wall portion of the lower electrode layer 35 is utilized to increase the area in the height direction. The increase in the area of the dielectric film 36 can improve the charge storage amount of the information storage capacitive element C having the stacked structure. The planar shape of the dielectric film 36 is defined by the planar shape of the upper electrode layer 37, and is substantially the same as the upper electrode layer 37.

【0052】前記上層電極層37は誘電体膜36を介在
させて下層電極層35を覆うようにその上部に設けられ
ている。上層電極層37は隣接する他のメモリセルMの
スタックド構造の情報蓄積用容量素子Cの上層電極層3
7と一体に構成されている。上層電極層37には低電源
電圧1/2Vccが印加されている。上層電極層37は例
えばCVD法で堆積した多結晶珪素膜で形成され、この
多結晶珪素膜には抵抗値を低減するn型不純物が導入さ
れている。上層電極層37は例えば前記下層電極層35
に比べて薄い膜厚で形成されている。前記上層電極層3
7の表面には絶縁膜38が設けられている。絶縁膜38
は後述するが上層電極層37を加工した際に下地表面の
段差部分に残存するエッチング残りを除去する際に形成
される。
The upper electrode layer 37 is provided above the lower electrode layer 35 with the dielectric film 36 interposed therebetween. The upper electrode layer 37 is the upper electrode layer 3 of the information storage capacitive element C of the stacked structure of another adjacent memory cell M.
It is configured integrally with 7. A low power supply voltage 1/2 Vcc is applied to the upper electrode layer 37. The upper electrode layer 37 is formed of, for example, a polycrystalline silicon film deposited by the CVD method, and an n-type impurity that reduces the resistance value is introduced into this polycrystalline silicon film. The upper electrode layer 37 is, for example, the lower electrode layer 35.
The film thickness is smaller than that of The upper electrode layer 3
An insulating film 38 is provided on the surface of 7. Insulation film 38
Will be described later, but is formed when removing the etching residue remaining in the step portion of the underlying surface when the upper electrode layer 37 is processed.

【0053】前記スタックド構造の情報蓄積用容量素子
Cの誘電体膜36は、下層電極層35以外の領域におい
て、層間絶縁膜33上に形成されている。層間絶縁膜3
3は前述のように無機シランガス及び酸化窒素ガスをソ
ースガスとするCVD法で堆積した酸化珪素膜で形成さ
れている。つまり、誘電体膜36の特に下層の窒化珪素
膜36Aはそれに対して膜の縮みが少ない層間絶縁膜3
3に接触しているので、このスタックド構造の情報蓄積
用容量素子Cは誘電体膜36のストレスに基づく破壊を
防止することができるように構成されている。
The dielectric film 36 of the information storage capacitor C having the stacked structure is formed on the interlayer insulating film 33 in the region other than the lower electrode layer 35. Interlayer insulation film 3
3 is a silicon oxide film deposited by the CVD method using the inorganic silane gas and the nitric oxide gas as the source gas as described above. In other words, the silicon nitride film 36A, which is the lower layer of the dielectric film 36, has less shrinkage than that of the interlayer insulating film 3
Since it is in contact with the information storage capacitor 3, the information storage capacitor C having the stacked structure is configured to prevent the dielectric film 36 from being damaged due to the stress.

【0054】前記メモリセルMは図1、図5、図6及び
図7に示すように行方向に隣接する他の1個のメモリセ
ルMと接続されている。つまり、行方向に隣接する2個
のメモリセルMは、夫々のメモリセル選択用MISFE
TQsの一方のn型半導体領域29を一体に構成し、そ
の部分を中心に反転パターンで構成されている。この2
個のメモリセルMは列方向に複数配置され、この2個の
メモリセルMと列方向に隣接する他の2個のメモリセル
Mとは行方向に2分の1ピッチずれて配置されている。
The memory cell M is connected to another one memory cell M adjacent in the row direction as shown in FIGS. 1, 5, 6 and 7. That is, the two memory cells M adjacent in the row direction have their respective memory cell selection MISFEs selected.
One of the n-type semiconductor regions 29 of the TQs is integrally formed, and is formed in an inverted pattern centering on that part. This 2
A plurality of memory cells M are arranged in the column direction, and the two memory cells M and the other two memory cells M adjacent to each other in the column direction are arranged with a shift of ½ pitch in the row direction. .

【0055】メモリセルMのメモリセル選択用MISF
ETQsの一方のn型半導体領域29には図1及び図5
に示すように相補性データ線(DL)50が接続されてい
る。相補性データ線50は層間絶縁膜33、40の夫々
に形成された接続孔40Aを通してn型半導体領域29
に接続されている。
MISF for memory cell selection of memory cell M
1 and 5 in one n-type semiconductor region 29 of the ETQs.
A complementary data line (DL) 50 is connected as shown in FIG. The complementary data line 50 is connected to the n-type semiconductor region 29 through the connection hole 40A formed in each of the interlayer insulating films 33 and 40.
It is connected to the.

【0056】前記層間絶縁膜40は例えば無機シランガ
ス及び酸化窒素ガスをソースガスとするCVD法で堆積
した酸化珪素膜で形成されている。前記スタックド構造
の情報蓄積用容量素子Cは下層電極層35、誘電体膜3
6、上層電極層37の夫々を順次重ね合せしかも下層電
極層35の膜厚を厚く形成しているので段差形状が大き
くなる。そこで、層間絶縁膜40はその表面を平坦化し
ている。つまり、層間絶縁膜40は前記下層電極層35
の膜厚に相当する分表面の段差形状が大きく成長するの
で、前記下層電極層35と隣接する他の下層電極層35
との間を層間絶縁膜40で埋込むことにより、層間絶縁
膜40の表面は平坦化される。隣接するメモリセルMの
スタックド構造の情報蓄積用容量素子Cの下層電極層3
5間のうち、最小間隔の領域はアスペクト比が1以上の
大きな段差形状を形成する。本実施例において、下層電
極層35間の最小間隔は約0.5[μm]である。この下
層電極層35間には誘電体膜36及び上層電極層37を
介在する。したがって、層間絶縁膜40は前記誘電体膜
36及び上層電極層37を介在させた下層電極層35間
の最小間隔の2分の1以上の膜厚で形成する。しかも、
層間絶縁膜40は絶縁耐圧を確保しかつ寄生容量を低減
できる膜厚で形成する。層間絶縁膜40は例えば250
〜350[nm]程度の膜厚で形成されている。
The interlayer insulating film 40 is formed of, for example, a silicon oxide film deposited by a CVD method using inorganic silane gas and nitric oxide gas as source gases. The information storage capacitor C having the stacked structure includes the lower electrode layer 35 and the dielectric film 3.
6. Since the upper electrode layers 37 are sequentially superposed and the lower electrode layer 35 is formed to have a large film thickness, the step shape becomes large. Therefore, the surface of the interlayer insulating film 40 is flattened. That is, the interlayer insulating film 40 is formed on the lower electrode layer 35.
Since the step shape of the surface grows by an amount corresponding to the thickness of the lower electrode layer 35, the lower electrode layer 35 adjacent to the lower electrode layer 35 is adjacent to the lower electrode layer 35.
The surface of the interlayer insulating film 40 is flattened by burying the gap between and. The lower electrode layer 3 of the information storage capacitor C of the stacked structure of the adjacent memory cells M
A region having the smallest interval among the 5 areas forms a large step shape having an aspect ratio of 1 or more. In this embodiment, the minimum distance between the lower electrode layers 35 is about 0.5 [μm]. A dielectric film 36 and an upper electrode layer 37 are interposed between the lower electrode layers 35. Therefore, the interlayer insulating film 40 is formed to have a film thickness of ½ or more of the minimum distance between the dielectric film 36 and the lower electrode layer 35 with the upper electrode layer 37 interposed. Moreover,
The interlayer insulating film 40 is formed to have a film thickness that can secure the dielectric strength and reduce the parasitic capacitance. The interlayer insulating film 40 is, for example, 250
It is formed with a film thickness of about 350 [nm].

【0057】前記相補性データ線50は多結晶珪素膜5
0A、遷移金属シリサイド膜50Bの夫々を順次積層し
た2層構造の複合膜で構成されている。下層の多結晶珪
素膜50Aは、CVD法で堆積し、例えば100〜15
0[nm]程度の膜厚で形成されている。この多結晶珪素
膜50Aには抵抗値を低減するn型不純物例えばPが導
入されている。下層の多結晶珪素膜50Aは、下地段差
部分においてステップカバレッジが良好であるので、相
補性データ線50の断線不良を低減することができる。
上層の遷移金属シリサイド膜50Bは、CVD法(又は
スパッタ法)で堆積され、例えば100〜200[nm]
程度の膜厚で形成されている。上層の遷移金属シリサイ
ド膜50Bは相補性データ線50の抵抗値を低減し、情
報書込み動作、情報読出し動作の夫々の動作速度を速く
することができる。また、上層の遷移金属シリサイド膜
50Bは下地段差部分においてステップカバレッジが良
好であるので、相補性データ線50の断線不良を低減す
ることができる。この相補性データ線50の下層の多結
晶珪素膜50A、上層の遷移金属シリサイド膜50Bの
夫々は耐熱性及び耐酸化性を有している。相補性データ
線50は例えば0.6[μm]程度の配線幅で形成されて
いる。
The complementary data line 50 is formed of the polycrystalline silicon film 5.
0A and a transition metal silicide film 50B are sequentially laminated to form a two-layer composite film. The lower-layer polycrystalline silicon film 50A is deposited by the CVD method and is, for example, 100 to 15
It is formed with a film thickness of about 0 [nm]. An n-type impurity such as P that reduces the resistance value is introduced into the polycrystalline silicon film 50A. Since the lower polycrystalline silicon film 50A has good step coverage in the step portion of the base, it is possible to reduce disconnection defects of the complementary data lines 50.
The upper transition metal silicide film 50B is deposited by the CVD method (or the sputtering method), and is, for example, 100 to 200 [nm].
It is formed with a film thickness of about the same. The upper transition metal silicide film 50B can reduce the resistance value of the complementary data line 50 and can increase the operation speed of each of the information writing operation and the information reading operation. Further, since the upper layer transition metal silicide film 50B has good step coverage in the step portion of the underlying layer, it is possible to reduce disconnection defects of the complementary data line 50. Each of the lower polycrystalline silicon film 50A and the upper transition metal silicide film 50B of the complementary data line 50 has heat resistance and oxidation resistance. The complementary data line 50 is formed with a wiring width of, for example, about 0.6 [μm].

【0058】このように、(請求項23−手段14)一方
のn型半導体領域29に相補性データ線50が接続され
るメモリセル選択用MISFETQsと、その上層に形
成される下層電極層35、誘電体膜36、上層電極層3
7の夫々を順次積層したスタックド構造の情報蓄積用容
量素子Cとの直列回路でメモリセルMを構成するDRA
M1において、前記スタックド構造の情報蓄積用容量素
子Cの上層電極層37の上層に、層間絶縁膜40を介在
させ、CVD法で堆積した多結晶珪素膜50A、遷移金
属シリサイド膜50Bの夫々を順次積層した複合膜で形
成された前記相補性データ線50を構成し、前記上層電
極層37と相補性データ線50との間の層間絶縁膜40
の膜厚を、前記メモリセルMのスタックド構造の情報蓄
積用容量素子Cの下層電極層35と最小間隔で隣接する
他のメモリセルMのスタックド構造の情報蓄積用容量素
子Cの下層電極層35との間の前記上層電極層37を介
在させた間隔の2分の1よりも厚く構成する。この構成
により、前記相補性データ線50の上層の遷移金属シリ
サイド膜50Bは不純物の相互拡散を生じるので、層間
絶縁膜40としてBPSG膜やPSG膜を使用してフロ
ーを施し、前記相補性データ線50の下地表面の平坦化
を促進することができないが、前記最小間隔で隣接する
下層電極層35間の間隔の寸法に基づき前記層間絶縁膜
40の膜厚を制御し、前記下層電極層35間の間隔を前
記層間絶縁膜40で埋込みこの層間絶縁膜40の表面の
平坦化を図ることができるので、前記相補性データ線5
0の加工時に前記下層電極層35間において層間絶縁膜
40の段差部分に残存するエッチング残りに起因する相
補性データ線50間の短絡を防止し、電気的信頼性を向
上することができる。
Thus, (claim 23-means 14), the memory cell selecting MISFET Qs in which the complementary data line 50 is connected to one of the n-type semiconductor regions 29, and the lower electrode layer 35 formed above the MISFET Qs. Dielectric film 36, upper electrode layer 3
A DRA in which a memory cell M is configured by a series circuit with a stacked structure information storage capacitive element C in which 7 are sequentially stacked.
In M1, the polycrystalline silicon film 50A and the transition metal silicide film 50B deposited by the CVD method are sequentially formed on the upper electrode layer 37 of the information storage capacitor C having the stacked structure with the interlayer insulating film 40 interposed therebetween. The complementary data line 50 formed of the laminated composite film is formed, and the interlayer insulating film 40 between the upper electrode layer 37 and the complementary data line 50 is formed.
Of the film thickness of the information storage capacitor C of the stacked structure of the memory cell M and the lower electrode layer 35 of the information storage capacitor C of the stacked structure of another memory cell M that is adjacent to the memory cell M at the minimum distance. Is thicker than a half of the interval between the upper electrode layer 37 and. With this configuration, since the transition metal silicide film 50B in the upper layer of the complementary data line 50 causes mutual diffusion of impurities, a flow is performed by using a BPSG film or a PSG film as the interlayer insulating film 40, and the complementary data line is formed. Although it is not possible to promote the flattening of the underlying surface of 50, the film thickness of the interlayer insulating film 40 is controlled based on the size of the interval between the lower electrode layers 35 adjacent to each other at the minimum interval, and Is filled with the interlayer insulating film 40, and the surface of the interlayer insulating film 40 can be flattened.
It is possible to prevent the short circuit between the complementary data lines 50 due to the etching residue remaining in the step portion of the interlayer insulating film 40 between the lower electrode layers 35 during the processing of 0, and improve the electrical reliability.

【0059】前記相補性データ線50の上層には層間絶
縁膜51を介在させてカラムセレクト信号線(YSL)5
2が構成されている。
A column select signal line (YSL) 5 is formed on the complementary data line 50 with an interlayer insulating film 51 interposed therebetween.
2 are configured.

【0060】前記層間絶縁膜51は例えばCVD法で堆
積した酸化珪素膜51A、CVD法で堆積したBPSG
膜51Bの夫々を順次積層した2層構造の複合膜で構成
されている。下層の酸化珪素膜51Aは上層のBPSG
膜51Bに添加されたBやPが下層に漏れることを防止
するために設けられている。下層の酸化珪素膜51Aは
例えば無機シランガス及び酸化窒素ガスをソースガスと
するCVD法で堆積した酸化珪素膜で形成されている。
下層の酸化珪素膜51Aは例えば100〜200[nm]
程度の膜厚で形成されている。上層のBPSG膜51B
はその表面を平坦化するようにフローが施されている。
BPSG膜51Bは例えば250〜350[nm]程度の
膜厚で形成されている。
The interlayer insulating film 51 is, for example, a silicon oxide film 51A deposited by the CVD method and BPSG deposited by the CVD method.
It is composed of a composite film having a two-layer structure in which the films 51B are sequentially laminated. The lower silicon oxide film 51A is an upper BPSG film.
It is provided to prevent B and P added to the film 51B from leaking to the lower layer. The lower silicon oxide film 51A is formed of, for example, a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitric oxide gas as source gases.
The lower silicon oxide film 51A is, for example, 100 to 200 [nm].
It is formed with a film thickness of about the same. Upper BPSG film 51B
Has been flowed to flatten its surface.
The BPSG film 51B is formed with a film thickness of, for example, about 250 to 350 [nm].

【0061】前記カラムセレクト信号線52は、下地の
層間絶縁膜51の表面上に堆積させるので、例えばスパ
ッタ法で堆積した遷移金属膜で形成されている。この遷
移金属膜は例えばW膜で形成する。カラムセレクト信号
線52は例えば350〜450[nm]程度の膜厚で形成
されている。このカラムセレクト信号線52は、前記相
補性データ線50と異なる上層で形成しているので、相
補性データ線50の配線ピッチに規定されず、又相補性
データ線50とメモリセルMとの接続部分を回避する必
要がない。つまり、カラムセレクト信号線52は、相補
性データ線50の配線幅寸法に比べて広く、又実質的に
直線的に延在させることができるので、抵抗値を低減す
ることができる。カラムセレクト信号線52は例えば
2.0[μm]程度の配線幅寸法で形成されている。
Since the column select signal line 52 is deposited on the surface of the underlying interlayer insulating film 51, it is formed of, for example, a transition metal film deposited by sputtering. This transition metal film is formed of, for example, a W film. The column select signal line 52 is formed with a film thickness of, for example, about 350 to 450 [nm]. Since the column select signal line 52 is formed in an upper layer different from that of the complementary data line 50, it is not defined by the wiring pitch of the complementary data line 50, and the connection between the complementary data line 50 and the memory cell M is established. No need to avoid parts. That is, the column select signal line 52 is wider than the wiring width dimension of the complementary data line 50 and can extend substantially linearly, so that the resistance value can be reduced. The column select signal line 52 is formed with a wiring width dimension of, for example, about 2.0 [μm].

【0062】前記カラムセレクト信号線52の上層には
層間絶縁膜53を介在させてシャント用ワード線(WL)
55が構成されている。シャント用ワード線55は、図
示しないが、数十〜数百個のメモリセルM毎に相当する
所定領域において、前記ワード線(WL)27に接続され
ている。ワード線27はメモリセルアレイ11Eにおい
て延在方向に複数個に分割されており、シャント用ワー
ド線55は前記分割された複数個の夫々のワード線27
に接続されている。シャント用ワード線55は、ワード
線27の抵抗値を低減し、情報書込み動作、情報読出し
動作の夫々においてメモリセルMの選択速度を速くでき
るように構成されている。
A shunt word line (WL) is formed on the column select signal line 52 with an interlayer insulating film 53 interposed therebetween.
55 is configured. Although not shown, the shunt word line 55 is connected to the word line (WL) 27 in a predetermined region corresponding to every tens to hundreds of memory cells M. The word line 27 is divided into a plurality of parts in the extending direction in the memory cell array 11E, and the shunt word line 55 is divided into the plurality of divided word lines 27.
It is connected to the. The shunt word line 55 is configured to reduce the resistance value of the word line 27 and increase the selection speed of the memory cell M in each of the information writing operation and the information reading operation.

【0063】前記層間絶縁膜53は、図1に示すよう
に、酸化珪素膜(堆積型絶縁膜)53A、酸化珪素膜(塗
布型絶縁膜)53B、酸化珪素膜(堆積型絶縁膜)53C
の夫々を順次積層した複合膜で形成される3層構造で構
成されている。層間絶縁膜53の下層の酸化珪素膜53
A、上層の酸化珪素膜53Cの夫々はテトラエポキシシ
ラン(TEOS:Si(OC25)4)ガスをソースガスとす
るコンフォーマルプラズマCVD(以下、C−CVD)法
で堆積されている。C−CVD法で堆積された下層の酸
化珪素膜53A、上層の酸化珪素膜53Cの夫々は低温
度(約400[℃]以下)で堆積することができ、高いス
テップカバレッジを有している。下層の酸化珪素膜53
A、上層の酸化珪素膜53Cの夫々は例えば250〜3
50[nm]程度の膜厚で形成されている。層間絶縁膜5
3の中層の酸化珪素膜53BはSOG(pin n las
s)法で塗布した後ベーク処理を施した酸化珪素膜で形成
されている。この中層の酸化珪素膜53Bは層間絶縁膜
53の表面を平坦化する目的で形成されている。中層の
酸化珪素膜53Bは、塗布した後ベーク処理を施し、さ
らに全表面にエッチング処理を施して段差部の凹部のみ
に埋込むように形成されている。特に、中層の酸化珪素
膜53Bは後述するが層間絶縁膜53に形成される接続
孔53Dの内壁の表面において残存しないようにエッチ
ング処理により除去されている。つまり、中層の酸化珪
素膜53Bはそれに含まれる水分により前記シャント用
ワード線55のアルミニウム膜又はその合金膜が腐食さ
れることを低減できるように構成されている。中層の酸
化珪素膜53Bは例えば100[nm]程度の膜厚で塗布
される。
As shown in FIG. 1, the interlayer insulating film 53 is a silicon oxide film (deposition type insulating film) 53A, a silicon oxide film (coating type insulating film) 53B, a silicon oxide film (deposition type insulating film) 53C.
It has a three-layer structure formed by a composite film in which each of the above is sequentially laminated. Silicon oxide film 53 under the interlayer insulating film 53
A, the upper silicon oxide film 53C is deposited by conformal plasma CVD (hereinafter, C-CVD) method using tetraepoxysilane (TEOS: Si (OC 2 H 5 ) 4 ) gas as a source gas. Each of the lower silicon oxide film 53A and the upper silicon oxide film 53C deposited by the C-CVD method can be deposited at a low temperature (about 400 [° C.] or less) and has high step coverage. Lower silicon oxide film 53
A, the upper silicon oxide film 53C is, for example, 250 to 3 respectively.
It is formed with a film thickness of about 50 [nm]. Interlayer insulating film 5
Silicon oxide film 53B of the third intermediate layer is SOG (S pin O n G las
It is formed of a silicon oxide film which is applied by the method s) and then baked. The intermediate silicon oxide film 53B is formed for the purpose of flattening the surface of the interlayer insulating film 53. The middle-layer silicon oxide film 53B is formed so as to be applied, baked, and then etched on the entire surface so as to be embedded only in the recessed portion of the stepped portion. In particular, the intermediate silicon oxide film 53B is removed by etching so that it will not remain on the surface of the inner wall of the connection hole 53D formed in the interlayer insulating film 53, which will be described later. That is, the intermediate silicon oxide film 53B is configured to reduce the corrosion of the aluminum film of the shunt word line 55 or its alloy film due to the moisture contained therein. The intermediate silicon oxide film 53B is applied with a film thickness of, for example, about 100 [nm].

【0064】前記シャント用ワード線55は、遷移金属
窒化膜(又は遷移金属シリサイド膜)55A、アルミニウ
ム合金膜(又はアルミニウム膜)55Bの夫々を順次積層
して形成された複合膜で構成されている。
The shunt word line 55 is composed of a composite film formed by sequentially laminating a transition metal nitride film (or transition metal silicide film) 55A and an aluminum alloy film (or aluminum film) 55B. .

【0065】下層の遷移金属窒化膜55Aは、上層のア
ルミニウム合金膜55BにCuが添加されている場合、
バリア性を有する例えばTiN膜で形成する。また、下
層の遷移金属窒化膜55Aは、上層のアルミニウム合金
膜55BにSiが添加されている場合、例えばTiN膜
で形成する。また、この場合、遷移金属シリサイド膜例
えばMoSi2等で形成する。この下層の遷移金属窒化
膜55Aは、例えばスパッタ法で堆積され、100[n
m]程度の膜厚で形成されている。下層の遷移金属窒化
膜55AとしてTiN膜を使用する場合、後に詳細する
が、(200)の結晶の配向性を有するTiN膜を使用
する。
When the lower transition metal nitride film 55A is formed by adding Cu to the upper aluminum alloy film 55B,
For example, a TiN film having a barrier property is used. The lower transition metal nitride film 55A is formed of, for example, a TiN film when Si is added to the upper aluminum alloy film 55B. In this case, a transition metal silicide film such as MoSi 2 is used. The lower transition metal nitride film 55A is deposited by, for example, a sputtering method, and has a thickness of 100 [n
The film thickness is about m]. When a TiN film is used as the lower-layer transition metal nitride film 55A, a TiN film having a (200) crystal orientation is used, which will be described in detail later.

【0066】上層のアルミニウム合金膜55Bはアルミ
ニウムにCu及びSiを添加している。Cuは、マイグ
レーション現象を低減するために添加され、例えば0.
5[重量%]程度添加されている。Siは、アロイスパイ
ク現象を低減するために添加され、例えば1.5[重量
%]程度添加されている。アルミニウム合金膜50B
は、例えばスパッタ法で堆積され、600〜800[n
m]程度の膜厚で形成されている。
The upper aluminum alloy film 55B is formed by adding Cu and Si to aluminum. Cu is added to reduce the migration phenomenon.
About 5% by weight is added. Si is added to reduce the alloy spike phenomenon, for example, about 1.5 [wt%]. Aluminum alloy film 50B
Is deposited by, for example, a sputtering method, and is 600 to 800 [n
The film thickness is about m].

【0067】前記シャント用ワード線55は例えば0.
7[μm]程度の配線幅寸法で構成されている。
The shunt word line 55 is, for example, 0.1.
The wiring width is about 7 [μm].

【0068】このように、本実施の形態のDRAM1の
メモリセルアレイ11Eは4層ゲート配線構造上に2層
配線構造を設けた合計6層の多層配線構造で構成されて
いる。
As described above, the memory cell array 11E of the DRAM 1 of the present embodiment has a multilayer wiring structure of a total of 6 layers in which the 2-layer wiring structure is provided on the 4-layer gate wiring structure.

【0069】前記4層ゲート配線構造はメモリセル選択
用MISFETQsのゲート電極27(又はワード線2
7)、スタックド構造の情報蓄積用容量素子Cの下層電
極層35、上層電極層37及び相補性データ線50で構
成されている。前記2層の配線構造はカラムセレクト信
号線52及びシャント用ワード線55で構成されてい
る。
The four-layer gate wiring structure has the gate electrode 27 (or word line 2) of the MISFETQs for memory cell selection.
7), it is composed of the lower electrode layer 35, the upper electrode layer 37 and the complementary data line 50 of the stacked structure information storage capacitor C. The two-layer wiring structure is composed of column select signal lines 52 and shunt word lines 55.

【0070】前記DRAM1の周辺回路を構成するCM
OSは前記図1の右側に示すように構成されている。C
MOSのnチャネルMISFETQnは、素子間分離用
絶縁膜23及びp型チャネルストッパ領域24で周囲を
囲まれた領域内において、p−型ウエル領域22の主面
部に構成されている。nチャネルMISFETQnは、
主に、p−型ウエル領域22、ゲート絶縁膜26、ゲー
ト電極27、ソース領域及びドレイン領域である一対の
n型半導体領域29及び一対のn+型半導体領域32で
構成されている。
CM constituting the peripheral circuit of the DRAM 1
The OS is configured as shown on the right side of FIG. C
The MOS n-channel MISFET Qn is formed on the main surface portion of the p − type well region 22 in a region surrounded by the element isolation insulating film 23 and the p type channel stopper region 24. The n-channel MISFET Qn is
The p-type well region 22, the gate insulating film 26, the gate electrode 27, a pair of n-type semiconductor regions 29 serving as a source region and a drain region, and a pair of n + -type semiconductor regions 32 are mainly formed.

【0071】前記nチャネルMISFETQnの周囲を
囲むp型チャネルストッパ領域24は前記メモリセルM
のメモリセル選択用MISFETQsの周囲を囲むp型
チャネルストッパ領域25Aと別の製造工程で形成され
ている。p型チャネルストッパ領域24は、前記素子間
分離用絶縁膜23を形成するマスクと同一マスクを使用
してp型不純物を導入し、このp型不純物を素子間分離
用絶縁膜23を形成する熱処理で活性化することにより
形成されている。このp型チャネルストッパ領域24
は、素子間分離用絶縁膜23と同一製造工程で形成され
るので、p型不純物の活性領域側への拡散量が若干大き
いが、nチャネルMISFETQnはメモリセル選択用
MISFETQsに比べて大きいサイズで形成されてい
るので、前記p型不純物の拡散量は相対的に小さい。し
たがって、nチャネルMISFETQnは挟チャネル効
果の影響が小さい。逆に、p型チャネルストッパ領域2
4を形成するp型不純物は、p−型ウエル領域22の非
活性領域の主面部にしか導入しないので、p−型ウエル
領域22の活性領域の主面の不純物濃度を低くすること
ができる。つまり、nチャネルMISFETQnはしき
い値電圧を低くすることができるので、基板効果を低減
し、駆動能力を高めることができる。特に、nチャネル
MISFETQnを出力段回路として使用する場合、出
力信号レベルを充分に確保することができる。
The p-type channel stopper region 24 surrounding the n-channel MISFET Qn is the memory cell M.
Of the p-type channel stopper region 25A surrounding the memory cell selecting MISFET Qs. In the p-type channel stopper region 24, a p-type impurity is introduced using the same mask as the mask for forming the inter-element isolation insulating film 23, and the p-type impurity is heat-treated for forming the inter-element isolation insulating film 23. It is formed by activating with. This p-type channel stopper region 24
Is formed in the same manufacturing process as the element isolation insulating film 23, the diffusion amount of the p-type impurity to the active region side is slightly large, but the n-channel MISFET Qn has a larger size than the memory cell selecting MISFET Qs. Since it is formed, the diffusion amount of the p-type impurity is relatively small. Therefore, the n-channel MISFET Qn is less affected by the sandwiched channel effect. On the contrary, the p-type channel stopper region 2
Since the p-type impurity forming 4 is introduced only into the main surface portion of the inactive region of the p-type well region 22, the impurity concentration of the main surface of the active region of the p-type well region 22 can be lowered. That is, since the threshold voltage of the n-channel MISFET Qn can be lowered, the substrate effect can be reduced and the driving ability can be enhanced. In particular, when the n-channel MISFET Qn is used as the output stage circuit, the output signal level can be sufficiently secured.

【0072】前記p−型ウエル領域22、ゲート絶縁膜
26、ゲート電極27及びn型半導体領域29の夫々
は、前記メモリセル選択用MISFETQsと同一製造
工程で構成され、実質的に同様の機能を有している。つ
まり、nチャネルMISFETQnはLDD構造で構成
されている。
Each of the p-type well region 22, the gate insulating film 26, the gate electrode 27, and the n-type semiconductor region 29 is formed in the same manufacturing process as the memory cell selecting MISFET Qs, and has substantially the same function. Have That is, the n-channel MISFET Qn has an LDD structure.

【0073】高不純物濃度のn+型半導体領域32はソ
ース領域、ドレイン領域の夫々の比抵抗値を低減するよ
うに構成されている。n+型半導体領域32は、ゲート
電極26の側壁に自己整合で形成されたサイドウォール
スペーサ31に規定されて形成され、ゲート電極27に
対して自己整合で形成される。前記サイドウォールスペ
ーサ31は前記LDD構造を形成するn型半導体領域2
9のゲート長方向の長さを規定するようになっている。
サイドウォールスペーサ31は、nチャネルMISFE
TQnの形成領域において単層で形成されているので、
前記n型半導体領域29のゲート長方向の寸法を短くす
ることができる。n型半導体領域29は不純物濃度が低
いので、高い抵抗値を有しているが、n型半導体領域2
9の長さが短いので、nチャネルMISFETQnは伝
達コンダクタンスを向上できるようになっている。
The high impurity concentration n + type semiconductor region 32 is configured to reduce the specific resistance value of each of the source region and the drain region. The n + type semiconductor region 32 is defined and formed by the sidewall spacer 31 formed on the side wall of the gate electrode 26 in a self-aligned manner, and is formed in a self-aligned manner with respect to the gate electrode 27. The sidewall spacer 31 serves as an n-type semiconductor region 2 forming the LDD structure.
The length of 9 in the gate length direction is specified.
The sidewall spacer 31 is an n-channel MISFE.
Since it is formed as a single layer in the formation region of TQn,
The dimension of the n-type semiconductor region 29 in the gate length direction can be shortened. Since the n-type semiconductor region 29 has a low impurity concentration, it has a high resistance value.
Since the length of 9 is short, the n-channel MISFET Qn can improve the transfer conductance.

【0074】nチャネルMISFETQnのうち、入出
力段回路で使用されるnチャネルMISFETQnは、
単一電源電圧Vcc(5[V])で外部装置とインターフェイ
スが行われるので、電源電圧Vccで駆動される。このn
チャネルMISFETQnは、例えばゲート長を8[μ
m]程度で構成し、ドレイン領域近傍での電界強度を緩
和している。一方、内部回路例えば直接周辺回路や間接
周辺回路で使用されるnチャネルMISFETQnは低
消費電力化を図るために低電源電圧Vcc(約3.3[V])
で駆動されている。このnチャネルMISFETQnは
高集積化を図るためにゲート長を例えば0.8〜1.4
[μm]程度の範囲で構成し、ドレイン領域近傍の電界強
度は低電源電圧Vccの導入で緩和されている。この入出
力段回路、内部回路の夫々のnチャネルMISFETQ
nは、ゲート長の寸法を変化させかつ使用電源を変える
だけで、実質的に同一構造で構成されている。つまり、
入出力段回路、内部回路の夫々のnチャネルMISFE
TQnは、ゲート絶縁膜26、ゲート電極27、n型半
導体領域29及びn+型半導体領域32で構成すること
ができる。さらに、夫々のnチャネルMISFETQn
はサイドウォールスペーサ31のゲート長方向のサイズ
を実質的に同一寸法で構成することができる。
Of the n-channel MISFETQn, the n-channel MISFETQn used in the input / output stage circuit is
Since a single power supply voltage Vcc (5 [V]) is used to interface with an external device, the device is driven by the power supply voltage Vcc. This n
The channel MISFET Qn has, for example, a gate length of 8 [μ
m] to reduce the electric field strength near the drain region. On the other hand, an n-channel MISFET Qn used in an internal circuit such as a direct peripheral circuit or an indirect peripheral circuit has a low power supply voltage Vcc (about 3.3 [V]) to reduce power consumption.
Is driven by. This n-channel MISFET Qn has a gate length of, for example, 0.8 to 1.4 in order to achieve high integration.
The field intensity in the vicinity of the drain region is relaxed by the introduction of the low power supply voltage Vcc. The n-channel MISFET Q of each of the input / output stage circuit and the internal circuit
n has substantially the same structure only by changing the size of the gate length and changing the power supply used. That is,
N channel MISFE for each of the input / output stage circuit and the internal circuit
The TQn can be composed of the gate insulating film 26, the gate electrode 27, the n-type semiconductor region 29, and the n + -type semiconductor region 32. Furthermore, each n-channel MISFET Qn
The sidewall spacers 31 can be formed to have substantially the same size in the gate length direction.

【0075】このように、(11−6)入出力段回路とし
て使用されるLDD構造のnチャネルMISFETQ
n、内部回路として使用されるLDD構造のnチャネル
MISFETQnの夫々を有するDRAM1において、
前記入出力段回路のnチャネルMISFETQsの使用
電圧を前記内部回路のnチャネルMISFETQnの使
用電圧に比べて高く構成し、前記入出力段回路のnチャ
ネルMISFETQnのゲート長寸法を前記内部回路の
nチャネルMISFETQnのゲート長寸法に比べて長
く構成し、前記入出力段回路、内部回路の夫々のnチャ
ネルMISFETのLDD構造を形成する低不純物濃度
のn型半導体領域29のゲート長方向の寸法を実質的に
同一寸法で構成する。この構成により、前記入出力段回
路のnチャネルMISFETQnは、ゲート長寸法を長
くしてホットキャリア耐圧を向上したので、経時的なし
きい値電圧の劣下を低減し、電気的特性を向上すること
ができると共に、前記内部回路のnチャネルMISFE
TQnは、低電源電圧Vccを使用してホットキャリア耐
圧を確保しながら低電源電圧Vccの使用により低消費電
力化を図ることができ、しかも、前記入出力段回路のn
チャネルMISFETQnはゲート長寸法を長くし、内
部回路のnチャネルMISFETQnは低電源電圧Vcc
の使用により夫々ホットキャリア耐圧を向上しているの
で、前記LDD構造を形成する低不純物濃度のn型半導
体領域29のゲート長方向の長さを独立に制御すること
ができ、前記入出力段回路、内部回路の夫々のnチャネ
ルMISFETQnの夫々の低不純物濃度のn型半導体
領域29のゲート長方向の長さ(又はサイドウォールス
ペーサ31のゲート長方向の長さ)を実質的に同一にす
ることができる。つまり、DRAM1は、低消費電力化
を図ると共にホットキャリア耐圧を向上することがで
き、しかも後述するがnチャネルMISFETQnを形
成するための製造工程数を低減することができる。
Thus, the (11-6) n-channel MISFETQ of the LDD structure used as the input / output stage circuit.
n, in the DRAM 1 having the n-channel MISFET Qn of the LDD structure used as an internal circuit,
The operating voltage of the n-channel MISFET Qs of the input / output stage circuit is set higher than the operating voltage of the n-channel MISFET Qn of the internal circuit, and the gate length dimension of the n-channel MISFET Qn of the input / output stage circuit is set to the n-channel of the internal circuit. The length in the gate length direction of the n-type semiconductor region 29 having a low impurity concentration, which is longer than the gate length of the MISFET Qn and forms the LDD structure of the n-channel MISFET of each of the input / output stage circuit and the internal circuit, is substantially the same. The same size. With this configuration, in the n-channel MISFET Qn of the input / output stage circuit, the length of the gate length is increased to improve the hot carrier withstand voltage, so that the deterioration of the threshold voltage over time is reduced and the electrical characteristics are improved. And the n-channel MISFE of the internal circuit
TQn can achieve low power consumption by using the low power supply voltage Vcc while securing the hot carrier breakdown voltage by using the low power supply voltage Vcc, and further, n of the input / output stage circuit can be achieved.
The channel MISFETQn has a long gate length, and the n-channel MISFETQn in the internal circuit has a low power supply voltage Vcc.
Since the hot carrier withstand voltage is improved by using each of them, the length in the gate length direction of the low impurity concentration n-type semiconductor region 29 forming the LDD structure can be independently controlled, and the input / output stage circuit , Making the lengths of the low impurity concentration n-type semiconductor regions 29 of the respective n-channel MISFETs Qn of the internal circuits in the gate length direction (or the lengths of the sidewall spacers 31 in the gate length direction) substantially the same. You can That is, the DRAM 1 can reduce power consumption and improve the hot carrier breakdown voltage, and can reduce the number of manufacturing steps for forming the n-channel MISFET Qn, which will be described later.

【0076】前記nチャネルMISFETQnのn+型
半導体領域32には層間絶縁膜40及び層間絶縁膜51
に形成された接続孔51Cを通して配線52が接続され
ている。配線52は前記カラムセレクト信号線52と同
一導電層である2層配線構造の下層の配線層で形成され
ている。
An interlayer insulating film 40 and an interlayer insulating film 51 are formed in the n + type semiconductor region 32 of the n-channel MISFET Qn.
The wiring 52 is connected through the connection hole 51C formed in. The wiring 52 is formed in the lower wiring layer of the two-layer wiring structure which is the same conductive layer as the column select signal line 52.

【0077】CMOSのpチャネルMISFETQp
は、素子間分離用絶縁膜23で周囲を囲まれた領域内に
おいて、n−型ウエル領域21の主面部に構成されてい
る。pチャネルMISFETQpは、主に、n−型ウエ
ル領域21、ゲート絶縁膜26、ゲート電極27、ソー
ス領域及びドレイン領域である一対のp型半導体領域3
0及び一対のp+型半導体領域39で構成されている。
CMOS p-channel MISFET Qp
Is formed on the main surface portion of the n − type well region 21 in the region surrounded by the element isolation insulating film 23. The p-channel MISFET Qp mainly includes the n − type well region 21, the gate insulating film 26, the gate electrode 27, and the pair of p type semiconductor regions 3 which are the source region and the drain region.
0 and a pair of p + type semiconductor regions 39.

【0078】n−型ウエル領域21、ゲート絶縁膜26
及びゲート電極27の夫々は、前記メモリセル選択用M
ISFETQs、nチャネルMISFETQnの夫々と
実質的に同様の機能を有している。
The n--type well region 21 and the gate insulating film 26
Each of the gate electrode 27 and the gate electrode 27 is a memory cell selection M.
The ISFET Qs and the n-channel MISFET Qn have substantially the same functions.

【0079】低不純物濃度のp型半導体領域30はLD
D構造のpチャネルMISFETQpを構成する。高不
純物濃度のp+型半導体領域39はゲート電極27の側
壁にそれに対して自己整合で形成されたサイドウォール
スペーサ31及び33Cに対して自己整合で形成されて
いる。つまり、pチャネルMISFETQpの高不純物
濃度のp+型半導体領域39は、サイドウォールスペー
サ31の側壁にサイドウォールスペーサ33Cを積層し
た2層構造のもので形成されている。このサイドウォー
ルスペーサ31及び33Cは、nチャネルMISFET
Qnのサイドウォールスペーサ31に比べて、サイドウ
ォールスペーサ33Cに相当する分、ゲート長方向の寸
法が長く構成されている。つまり、サイドウォールスペ
ーサ31及び33Cは、そのゲート長方向の寸法を長く
し、前記p+型半導体領域39のp型不純物のチャネル
形成領域側への拡散量を低減することができるので、実
効チャネル長を確保し、pチャネルMISFETQpの
短チャネル効果を低減できるように構成されている。n
型不純物に比べてp型不純物は拡散係数が大きいので、
pチャネルMISFETQpは前述の構造で構成されて
いる。
The p-type semiconductor region 30 having a low impurity concentration is LD
A p-channel MISFET Qp having a D structure is constructed. The high impurity concentration p + type semiconductor region 39 is formed in self-alignment with the side wall spacers 31 and 33C formed in the side wall of the gate electrode 27 in self-alignment. That is, the high impurity concentration p + type semiconductor region 39 of the p-channel MISFET Qp is formed to have a two-layer structure in which the sidewall spacer 33C is laminated on the sidewall of the sidewall spacer 31. The sidewall spacers 31 and 33C are n-channel MISFETs.
Compared with the sidewall spacer 31 of Qn, the dimension in the gate length direction is made longer by the amount corresponding to the sidewall spacer 33C. That is, since the sidewall spacers 31 and 33C can increase the dimension in the gate length direction and reduce the diffusion amount of the p-type impurity of the p + -type semiconductor region 39 toward the channel formation region side, the effective channel length. Is ensured and the short channel effect of the p channel MISFET Qp can be reduced. n
Since the diffusion coefficient of p-type impurities is larger than that of type impurities,
The p-channel MISFET Qp has the above-mentioned structure.

【0080】このように、(15−8)LDD構造のnチ
ャネルMISFETQn、LDD構造のpチャネルMI
SFETQpの夫々を有するDRAM1において、前記
pチャネルMISFETQpのゲート電極27の側壁に
それに対して自己整合で形成されるサイドウォールスペ
ーサ31及び33Cのゲート長方向の寸法を、前記nチ
ャネルMISFETQnのゲート電極27の側壁にそれ
に対して自己整合で形成されるサイドウォールスペーサ
31のゲート長方向の寸法に比べて長く構成する。この
構成により、前記nチャネルMISFETQnのサイド
ウォールスペーサ31のゲート長方向の寸法を短くし、
LDD構造を形成する低不純物濃度のn型半導体領域2
9のゲート長方向の長さを短くすることができるので、
nチャネルMISFETQnの伝達コンダクタンスを向
上し、動作速度の高速化を図ることができると共に、前
記pチャネルMISFETQpのサイドウォールスペー
サ31及び33Cのゲート長方向の寸法を長くし、高不
純物濃度のp+型半導体領域39のチャネル形成領域側
への回り込みを低減することができるので、pチャネル
MISFETQpの短チャネル効果を低減し、高集積化
を図ることができる。
As described above, the n-channel MISFET Qn having the (15-8) LDD structure and the p-channel MI having the LDD structure are provided.
In the DRAM 1 having each SFETQp, the side wall spacers 31 and 33C formed in self-alignment with the side walls of the gate electrode 27 of the p-channel MISFETQp in the gate length direction are the same as the gate electrode 27 of the n-channel MISFETQn. The side wall spacers 31 formed on the side walls of the above in a self-aligned manner are longer than the dimension in the gate length direction. With this configuration, the dimension of the sidewall spacer 31 of the n-channel MISFET Qn in the gate length direction is shortened,
Low impurity concentration n-type semiconductor region 2 forming LDD structure
Since the length of 9 in the gate length direction can be shortened,
It is possible to improve the transfer conductance of the n-channel MISFET Qn and increase the operating speed, and increase the dimension of the sidewall spacers 31 and 33C of the p-channel MISFET Qp in the gate length direction to obtain a high impurity concentration p + type semiconductor. Since the wraparound of the region 39 to the channel formation region side can be reduced, the short channel effect of the p-channel MISFET Qp can be reduced, and high integration can be achieved.

【0081】前記pチャネルMISFETQpのp+型
半導体領域39には前記接続孔51Cを通して配線52
が接続されている。
A wiring 52 is formed in the p + type semiconductor region 39 of the p channel MISFET Qp through the connection hole 51C.
Is connected.

【0082】前記図1の右側に示すように、配線52は
層間絶縁膜53に形成された接続孔53D内に埋込まれ
た遷移金属膜54を介在させて上層の配線55に接続さ
れている。前記層間絶縁膜53上に延在する配線55は
前記シャント用ワード線55と同一導電層である2層配
線構造の上層の配線層で形成されている。前記接続孔5
3D内に埋込まれた遷移金属膜54は例えば選択CVD
法で前記接続孔53D内から露出する配線52の表面上
に選択的に堆積したW膜で形成されている。前記遷移金
属膜54は配線55の接続孔53Dで形成される段差形
状においてステップカバレッジを向上するために形成さ
れている。
As shown on the right side of FIG. 1, the wiring 52 is connected to the wiring 55 in the upper layer with the transition metal film 54 buried in the connection hole 53D formed in the interlayer insulating film 53 being interposed. . The wiring 55 extending on the interlayer insulating film 53 is formed in the upper wiring layer of the two-layer wiring structure which is the same conductive layer as the shunt word line 55. The connection hole 5
The transition metal film 54 embedded in 3D is formed by selective CVD, for example.
Formed by the W film selectively deposited on the surface of the wiring 52 exposed from the inside of the connection hole 53D by the method. The transition metal film 54 is formed in order to improve step coverage in the step shape formed by the connection hole 53D of the wiring 55.

【0083】前記配線55(シャント用ワード線55も
含む)は前述のように遷移金属窒化膜55A、アルミニ
ウム合金膜55Bの夫々を順次積層した複合膜で形成さ
れている。配線55は主に上層のアルミニウム合金膜5
5Bにより信号伝達速度が律則されている。配線55の
下層の遷移金属窒化膜(遷移金属シリサイド膜)55A
は、上層のアルミニウム合金膜55BにSiが添加され
ている場合、配線55と接続孔53D内に埋込まれた遷
移金属膜54との接続部分を含む、上層のアルミニウム
合金膜55Bと層間絶縁膜53との間の全域に設けられ
ている。つまり、配線55は、上層のアルミニウム合金
膜55Bの下地の材質を前記接続孔53D部分、層間絶
縁膜53部分の夫々において均一化している。また、配
線55の下層の遷移金属膜55Aはマイグレーション耐
圧が上層のアルミニウム合金膜55Bに比べて高い。つ
まり、上層のアルミニウム合金膜55Bがマイグレーシ
ョン現象で断線した場合においても、下層の遷移金属膜
55Aで信号を伝達することができるので、配線55の
断線不良を低減することができる。
The wiring 55 (including the shunt word line 55) is formed of a composite film in which the transition metal nitride film 55A and the aluminum alloy film 55B are sequentially laminated as described above. The wiring 55 is mainly the upper aluminum alloy film 5
The signal transmission speed is regulated by 5B. Transition metal nitride film (transition metal silicide film) 55A under the wiring 55
When Si is added to the upper aluminum alloy film 55B, the upper aluminum alloy film 55B and the interlayer insulating film including the connection portion between the wiring 55 and the transition metal film 54 embedded in the connection hole 53D are included. It is provided in the whole area between 53. That is, in the wiring 55, the underlying material of the upper aluminum alloy film 55B is made uniform in each of the connection hole 53D portion and the interlayer insulating film 53 portion. Further, the transition metal film 55A in the lower layer of the wiring 55 has a higher migration withstand voltage than the aluminum alloy film 55B in the upper layer. That is, even when the upper layer aluminum alloy film 55B is disconnected due to the migration phenomenon, a signal can be transmitted by the lower transition metal film 55A, so that the disconnection failure of the wiring 55 can be reduced.

【0084】このように、(29−16)下地の層間絶縁
膜53に形成された接続孔53D内に選択CVD法で埋
込まれた遷移金属膜54、前記層間絶縁膜53上に延在
するSiが添加されたアルミニウム合金膜55Bの夫々
を接続するDRAM1において、前記接続孔53内に埋
込まれた遷移金属膜54とアルミニウム合金膜55Bと
の間を含む前記アルミニウム合金膜55Bと下地の層間
絶縁膜53との間に遷移金属窒化膜(又は遷移金属シリ
サイド膜)55Aを設ける。この構成により、前記アル
ミニウム合金膜55Bの下地を前記接続孔53D内に埋
込まれた遷移金属膜54上、層間絶縁膜53上の夫々に
おいて均一化し、前記アルミニウム合金膜55Bに添加
されたSiが前記接続孔53D内に埋込まれた遷移金属
膜54とアルミニウム合金膜55Bとの界面に析出され
ることを低減することができるので、前記界面の抵抗値
を低減することができる。また、前記アルミニウム合金
膜55Bの下層に設けられた遷移金属窒化膜55Aは、
前記アルミニウム合金膜55Bが例えばマイグレーショ
ン現象により断線されてもこの断線部を介在させてアル
ミニウム合金膜55B間を接続することができるので、
配線55の断線不良を低減することができる。
As described above, the transition metal film 54 buried by the selective CVD method in the connection hole 53D formed in the (29-16) underlying interlayer insulating film 53 and extending on the interlayer insulating film 53. In the DRAM 1 that connects the respective aluminum alloy films 55B to which Si is added, the aluminum alloy film 55B including the transition metal film 54 and the aluminum alloy film 55B embedded in the connection hole 53 and the underlying interlayer A transition metal nitride film (or a transition metal silicide film) 55A is provided between the insulating film 53 and the insulating film 53. With this configuration, the base of the aluminum alloy film 55B is made uniform on the transition metal film 54 embedded in the connection hole 53D and on the interlayer insulating film 53, and Si added to the aluminum alloy film 55B is removed. Since it is possible to reduce precipitation at the interface between the transition metal film 54 and the aluminum alloy film 55B buried in the connection hole 53D, it is possible to reduce the resistance value at the interface. The transition metal nitride film 55A provided under the aluminum alloy film 55B is
Even if the aluminum alloy film 55B is broken due to, for example, a migration phenomenon, the aluminum alloy films 55B can be connected to each other with this broken portion interposed.
The disconnection failure of the wiring 55 can be reduced.

【0085】前記配線55(シャント用ワード線55も
含む)は、上層のアルミニウム合金膜55BにCuが添
加されている場合、少なくともアルミニウム合金膜55
Bと接続孔53D内に埋込まれた遷移金属膜54との接
続部分(界面部分)に遷移金属窒化膜55Aが設けられて
いる。この遷移金属窒化膜55Aは前述のようにバリア
性を有している。つまり、配線55は上層のアルミニウ
ム合金膜55Bのアルミニウムと接続孔53D内に埋込
まれた遷移金属膜54のWとの相互拡散による合金化反
応を防止するように構成されている。
The wiring 55 (including the shunt word line 55) has at least the aluminum alloy film 55 when Cu is added to the upper aluminum alloy film 55B.
A transition metal nitride film 55A is provided at a connection portion (interface portion) between B and the transition metal film 54 embedded in the connection hole 53D. This transition metal nitride film 55A has a barrier property as described above. That is, the wiring 55 is configured to prevent an alloying reaction due to mutual diffusion between aluminum of the upper aluminum alloy film 55B and W of the transition metal film 54 buried in the connection hole 53D.

【0086】このように、(31−17)下地の層間絶縁
膜53に形成された接続孔53D内に選択CVD法で埋
込まれた遷移金属膜54、前記層間絶縁膜53上に延在
するCuが添加されたアルミニウム合金膜55Bの夫々
を接続するDRAM1において、前記接続孔53D内に
埋込まれた遷移金属膜54とアルミニウム合金膜55B
との間にバリア性を有する遷移金属窒化膜55Aを設け
る。この構成により、前記接続孔53D内に埋込まれた
遷移金属膜54とアルミニウム合金膜55Bとの界面に
おいて、遷移金属とアルミニウムとの相互拡散よる合金
化反応を防止し、前記界面の抵抗値を低減することがで
きる。
As described above, the transition metal film 54 embedded by the selective CVD method in the connection hole 53D formed in the (31-17) underlying interlayer insulating film 53 and extending on the interlayer insulating film 53. In the DRAM 1 that connects the respective Cu-added aluminum alloy films 55B, the transition metal film 54 and the aluminum alloy film 55B buried in the connection holes 53D.
A transition metal nitride film 55A having a barrier property is provided between and. With this configuration, at the interface between the transition metal film 54 and the aluminum alloy film 55B buried in the connection hole 53D, the alloying reaction due to the mutual diffusion of the transition metal and aluminum is prevented, and the resistance value of the interface is reduced It can be reduced.

【0087】前記配線55の下層の遷移金属窒化膜55
Aは前述のように結晶の配向性が(200)のものを積
極的に使用する。図8にスパッタ時のターゲット電圧
[KW]と比抵抗値[μΩ-cm]との関係を示す。データ
(A)、(B)の夫々は半導体ウエーハの表面にスパッタ法
で堆積されたTiN膜の半導体ウエーハの中心からの距
離を示している。データ(A)は半導体ウエーハの中心か
らの距離が0[μm]つまり半導体ウエーハの中心のTi
N膜の特性を表している。データ(B)は半導体ウエーハ
の中心からの距離が50[μm]の位置のTiN膜の特性
を表している。
A transition metal nitride film 55 under the wiring 55.
As described above, A having a crystal orientation of (200) is positively used. Figure 8 shows the target voltage during sputtering
The relationship between [KW] and specific resistance value [μΩ-cm] is shown. data
Each of (A) and (B) shows the distance from the center of the semiconductor wafer of the TiN film deposited by the sputtering method on the surface of the semiconductor wafer. The data (A) shows that the distance from the center of the semiconductor wafer is 0 [μm], that is, Ti at the center of the semiconductor wafer.
The characteristics of the N film are shown. Data (B) represents the characteristics of the TiN film at the position where the distance from the center of the semiconductor wafer is 50 [μm].

【0088】同図8に示すように、データ(B)つまり半
導体ウエーハの中心からの距離が遠い程、TiN膜は比
抵抗値が低くなっている。この図8に示す比抵抗値が高
い領域C以上例えば約460[μΩ-cm]以上の領域に
おいて、TiN膜にX線回折スペクトルを行った結果を
図9(X線の入射角度とX線回折強度との関係を示す
図)に示す。また、比抵抗値が低い領域D以下例えば約
400[μΩ-cm]以下の領域において、TiN膜にX
線回折スペクトルを行った結果を図10(X線の入射角
度とX線回折強度との関係を示す図)に示す。前記図9
に示すように、比抵抗値が高い領域において、TiN膜
は(111)の結晶の配向、(200)の結晶の配向の
夫々が混り合っている。これに対して、図10に示すよ
うに、TiN膜は(200)の単独の結晶の配向を有し
ている。つまり、(200)の結晶の配向を有するTi
N膜は、(111)の単独や(111)及び(200)
の混在した結晶の配向を有するTiN膜に比べて、図8
に示すように比抵抗値が低いので膜密度が高い物理的性
質がある。したがって、この(200)の結晶の配向を
有するTiN膜は耐熱性(バリア性)に優れ、又Siの
析出を低減できる特徴がある。
As shown in FIG. 8, the data (B), that is, the distance from the center of the semiconductor wafer, the lower the specific resistance value of the TiN film. The X-ray diffraction spectrum of the TiN film is shown in FIG. 9 (X-ray incidence angle and X-ray diffraction angle) in the region C having a high specific resistance value shown in FIG. Figure showing the relationship with strength). In addition, in the region where the specific resistance value is lower than D, for example, in the region where it is about 400 [μΩ-cm] or less, X is added to the TiN film.
The result of the line diffraction spectrum is shown in FIG. 10 (a diagram showing the relationship between the X-ray incident angle and the X-ray diffraction intensity). FIG. 9
As shown in, the TiN film has a mixture of (111) crystal orientations and (200) crystal orientations in a region where the specific resistance value is high. On the other hand, as shown in FIG. 10, the TiN film has a single crystal orientation of (200). That is, Ti having a (200) crystal orientation
The N film includes (111) alone or (111) and (200).
8 compared with a TiN film having a crystal orientation in which
As shown in (1), since the specific resistance value is low, there are physical properties that the film density is high. Therefore, the TiN film having the (200) crystal orientation is excellent in heat resistance (barrier property) and can reduce the precipitation of Si.

【0089】このように、(33−18)前記配線55の
下層の遷移金属窒化膜55A特に少なくとも前記接続孔
53D内に埋込まれた遷移金属膜54と上層のアルミニ
ウム合金膜55Bとの間の遷移金属窒化膜55Aを結晶
の配向が(200)のTiN膜で構成する。この構成に
より、前記(200)の結晶の配向を有するTiN膜
は、(111)の結晶の配向を有するTiN膜や(11
1)と(200)との混合の結晶の配向を有するTiN
膜に比べてSiの析出量を低減することができるので、
前記界面(54−55B界面)の抵抗値をより低減する
ことができ、又前記他の結晶の配向を有するTiN膜に
比べて比抵抗値が小さいので、より前記界面での抵抗値
を低減することができ、又膜密度が高いので、よりバリ
ア性を向上することができる。
As described above, (33-18) the transition metal nitride film 55A as the lower layer of the wiring 55, particularly between the transition metal film 54 embedded in at least the connection hole 53D and the upper aluminum alloy film 55B. The transition metal nitride film 55A is composed of a TiN film whose crystal orientation is (200). With this configuration, the TiN film having the (200) crystal orientation is a TiN film having the (111) crystal orientation or the (11) crystal orientation.
TiN with mixed crystal orientation of 1) and (200)
Since it is possible to reduce the amount of Si deposited as compared with the film,
The resistance value at the interface (54-55B interface) can be further reduced, and the specific resistance value is smaller than that of the TiN film having the other crystal orientation, so that the resistance value at the interface can be further reduced. Moreover, since the film density is high, the barrier property can be further improved.

【0090】前記図1及び図15(前記図1に示す断面
構造と異なる位置の断面構造を示す要部断面図)に示す
ように、DRAM1の周辺回路の領域において、2層配
線構造のうちの下層の配線52は、高集積化で配線幅寸
法が縮小され、アルミニウム膜やアルミニウム合金膜で
はマイグレーション耐圧を確保できないので、前述のよ
うに遷移金属膜を使用している。周辺回路として特に直
接周辺回路は、メモリセルアレイ11EのメモリセルM
の配列ピッチに対応させてnチャネルMISFETQ
n、pチャネルMISFETQpの夫々を配置している
ので、配線52のレイアウトルールを厳しくしている。
As shown in FIGS. 1 and 15 (a cross-sectional view of a main part showing a cross-sectional structure at a position different from the cross-sectional structure shown in FIG. 1), in the peripheral circuit region of the DRAM 1, of the two-layer wiring structure, The wiring 52 of the lower layer is made of a transition metal film as described above because the wiring width dimension is reduced due to high integration and the migration withstand voltage cannot be secured by the aluminum film or the aluminum alloy film. As the peripheral circuit, particularly the direct peripheral circuit is a memory cell M of the memory cell array 11E.
N-channel MISFETQ corresponding to the array pitch of
Since the n and p channel MISFETs Qp are arranged, the layout rule of the wiring 52 is strict.

【0091】また、周辺回路の領域において、nチャネ
ルMISFETQnのn+型半導体領域32、pチャネ
ルMISFETQpのp+型半導体領域39の夫々を接
続する場合、遷移金属シリサイド膜又はその積層膜(例
えば相補性データ線50と同一導電層)で配線を形成し
た場合には不純物の相互拡散を生じる。したがって、配
線52は、前記メモリセルアレイ11Eで使用される相
補性データ線50と同一導電層を使用せずに、前記不純
物の相互拡散が生じない前述の遷移金属膜を使用してい
る。
In the peripheral circuit region, when the n + type semiconductor region 32 of the n-channel MISFET Qn and the p + type semiconductor region 39 of the p-channel MISFET Qp are connected, a transition metal silicide film or a laminated film thereof (for example, complementary data) When wiring is formed with the same conductive layer as the line 50, mutual diffusion of impurities occurs. Therefore, the wiring 52 does not use the same conductive layer as the complementary data line 50 used in the memory cell array 11E, but uses the above-described transition metal film in which mutual diffusion of the impurities does not occur.

【0092】このように、(26−15)メモリセルアレ
イ11E上に相補性データ線、シャント用ワード線、カ
ラムセレクト信号線の夫々を有し、前記メモリセルアレ
イ11Eの周辺回路の領域に2層の配線層を有するDR
AM1において、前記メモリセルアレイ11E上の相補
性データ線50を、CVD法で堆積した多結晶珪素膜5
0A、遷移金属シリサイド膜50Bの夫々を順次積層し
た複合膜で構成し、前記カラムセレクト信号線52を、
前記相補性データ線50の上層に、スパッタ法で堆積し
た遷移金属膜で構成し、前記シャント用ワード線55
を、前記カラムセレクト信号線52の上層に、スパッタ
法で堆積したアルミニウム合金膜55B(遷移金属窒化
膜55Aも含む)で構成し、このシャント用ワード線5
5と同一導電層(55)、その下層のカラムセレクト信号
線52と同一導電層(52)の夫々を、両者間の層間絶縁
膜53に形成された接続孔53D内に、選択CVD法で
埋込まれた遷移金属膜54を介在させて接続し、前記周
辺回路の領域の2層の配線層のうち、下層の配線52は
前記カラムセレクト信号線52と同一導電層で構成さ
れ、前記2層の配線層のうち、上層の配線55は前記シ
ャント用ワード線55と同一導電層で構成され、前記2
層の配線層の下層の配線52、上層の配線55の夫々は
前記選択CVD法で接続孔53D内に埋込まれた遷移金
属膜54を介在させて接続する。この構成により以下の
効果を奏することができる。
As described above, (26-15) each of the complementary data line, the shunt word line, and the column select signal line is provided on the memory cell array 11E, and two layers are formed in the peripheral circuit region of the memory cell array 11E. DR with wiring layer
In AM1, the complementary data line 50 on the memory cell array 11E is formed by the polycrystalline silicon film 5 deposited by the CVD method.
0A and a transition metal silicide film 50B are sequentially laminated to form a composite film, and the column select signal line 52 is
A word line 55 for shunt is formed on the complementary data line 50 by a transition metal film deposited by a sputtering method.
Is formed of an aluminum alloy film 55B (including a transition metal nitride film 55A) deposited by a sputtering method on the upper layer of the column select signal line 52, and the shunt word line 5
The same conductive layer (55) as 5 and the column select signal line 52 thereunder and the same conductive layer (52) are buried in the connection hole 53D formed in the interlayer insulating film 53 between them by the selective CVD method. Connected via the embedded transition metal film 54, the lower wiring 52 of the two wiring layers in the area of the peripheral circuit is formed of the same conductive layer as the column select signal line 52, Of the wiring layers, the upper wiring 55 is formed of the same conductive layer as the shunt word line 55,
The lower layer wiring 52 and the upper layer wiring 55 of the layer wiring layer are connected to each other with the transition metal film 54 buried in the connection hole 53D interposed by the selective CVD method. With this configuration, the following effects can be obtained.

【0093】(1)前記メモリセルアレイ11E上の相
補性データ線50は、耐熱処理性及び耐酸化性に優れ、
かつ下層のCVD法で堆積した多結晶珪素膜50Aのス
テップカバレッジが高いので断線不良を低減することが
できる。また、前記相補性データ線50は、上層の遷移
金属シリサイド膜50BをCVD法で堆積しているの
で、よりステップカバレッジを向上し、断線不良を低減
することができる。
(1) The complementary data line 50 on the memory cell array 11E has excellent heat resistance and oxidation resistance,
In addition, since the step coverage of the lower layer polycrystalline silicon film 50A deposited by the CVD method is high, disconnection defects can be reduced. Further, since the transition metal silicide film 50B of the upper layer is deposited by the CVD method on the complementary data line 50, the step coverage can be further improved and the disconnection defect can be reduced.

【0094】(2)前記カラムセレクト信号線52は、
前記相補性データ線50の上層に形成し、相補性データ
線50とメモリセルMとの接続部(接続孔40A)を回避
することなく略直線状に延在させることができるので、
信号伝達速度を速めて情報書込み動作及び情報読出し動
作の夫々の速度を速くすることができると共に、前記相
補性データ線50と別層で形成したので、下層の相補性
データ線50の配線間隔を縮小して集積度を向上するこ
とができる。
(2) The column select signal line 52 is
Since it can be formed in the upper layer of the complementary data line 50 and can be extended in a substantially straight line shape without avoiding the connection portion (connection hole 40A) between the complementary data line 50 and the memory cell M,
The signal transmission speed can be increased to increase the speed of each of the information writing operation and the information reading operation, and since the complementary data line 50 is formed in a different layer, the wiring interval of the complementary data line 50 in the lower layer can be increased. The degree of integration can be improved by reducing the size.

【0095】(3)前記シャント用ワード線55は、下
層の相補性データ線50やカラムセレクト信号線52に
比べて抵抗値が低いので、シャント用ワード線55の抵
抗値を低減し、情報書込み動作及び情報読出し動作の夫
々の速度を速くすることができる。
(3) Since the resistance value of the shunt word line 55 is lower than that of the complementary data line 50 and the column select signal line 52 in the lower layer, the resistance value of the shunt word line 55 is reduced to write information. The speed of each of the operation and the information reading operation can be increased.

【0096】(4)前記カラムセレクト信号線52と同
一導電層52、シャント用ワード線55と同一導電層
(55)の夫々を接続する遷移金属膜54は、上層のシャ
ント用ワード線55と同一導電層(55)の接続部でのス
テップカバレッジを補い、この導電層(55)の断線不良
を低減することができると共に、下地の導電層(52)を
同種の遷移金属膜(52)とすることで、下地の遷移金属
膜(52)との間のストレスを低減することができる。
(4) The same conductive layer 52 as the column select signal line 52 and the same conductive layer as the shunt word line 55.
The transition metal film 54 connecting each of the (55) compensates the step coverage at the connection part of the same conductive layer (55) as the upper shunt word line 55 and reduces the disconnection failure of the conductive layer (55). In addition, the underlying conductive layer (52) is made of the same kind of transition metal film (52), so that the stress between the underlying conductive layer (52) and the underlying transition metal film (52) can be reduced.

【0097】(5)前記周辺回路の領域の下層の配線5
2特に前記メモリセルアレイ11Eの直接周辺回路(セ
ンスアンプ回路やデコーダ回路)は、遷移金属膜なので
マイグレーション耐圧が高く、配線52幅を縮小する
(メモリセルMの配置ピッチに対応させて縮小する)こ
とができるので、集積度を向上することができる。
(5) Wiring 5 in the lower layer of the peripheral circuit region
2. In particular, since the direct peripheral circuits (sense amplifier circuit and decoder circuit) of the memory cell array 11E are transition metal films, the migration withstand voltage is high, and the width of the wiring 52 is reduced (reduced according to the arrangement pitch of the memory cells M). Therefore, the degree of integration can be improved.

【0098】前記図1に示すように、DRAM1のシャ
ント用ワード線55及び配線55の上層にはパッシベー
ション膜56が設けられている。パッシベーション膜5
6は酸化珪素膜56A、窒化珪素膜56Bの夫々を順次
積層した複合膜で構成されている。
As shown in FIG. 1, a passivation film 56 is provided on the upper layer of the shunt word line 55 and the wiring 55 of the DRAM 1. Passivation film 5
6 is a composite film in which a silicon oxide film 56A and a silicon nitride film 56B are sequentially laminated.

【0099】下層の酸化珪素膜56Aはその表面つまり
上層の窒化珪素膜56Bの下地表面を平坦化するように
構成されている。下層の酸化珪素膜56Aは、その下層
のシャント用ワード線55、配線55の夫々の上層にア
ルミニウム合金膜55Bを形成しているので、このアル
ミニウム合金膜55Bを溶融させない低温度で堆積す
る。すなわち、下層の酸化珪素膜56Aは例えばテトラ
エポキシシランガスをソースガスとするC−CVD法で
堆積する。下層の酸化珪素膜56Aは、下地表面の段差
部分のステップカバレッジが良好であるので、表面を平
坦化するには、シャント用ワード線55間又は配線55
間とその膜厚との比であるアスペクト比が1以上の領域
において、前記シャント用ワード線55間又は配線55
間の2分の1以上の膜厚で形成する。前記アスペクト比
が1以上の領域は最小配線間隔又はそれに近い寸法に相
当し、アスペクト比が1以下の領域においては前記上層
の窒化珪素膜56のステップカバレッジが問題とならな
い。前記シャント用ワード線55間は約0.7[μm]程
度の配線間隔で形成されているので、前記下層の酸化珪
素膜56Aは350〜500[nm]程度の膜厚で形成す
る。
The lower silicon oxide film 56A is configured to flatten its surface, that is, the underlying surface of the upper silicon nitride film 56B. Since the lower silicon oxide film 56A has the aluminum alloy film 55B formed on the upper layers of the lower shunt word line 55 and the wiring 55, the lower silicon oxide film 56A is deposited at a low temperature that does not melt the aluminum alloy film 55B. That is, the lower silicon oxide film 56A is deposited by the C-CVD method using tetraepoxysilane gas as a source gas, for example. Since the lower silicon oxide film 56A has good step coverage in the stepped portion of the underlying surface, in order to flatten the surface, the shunt word lines 55 or the wiring 55 are required.
Between the shunt word lines 55 or the wiring 55 in a region having an aspect ratio of 1 or more, which is a ratio between the space and the film thickness.
It is formed with a film thickness of ½ or more. The region having an aspect ratio of 1 or more corresponds to the minimum wiring interval or a dimension close thereto, and the step coverage of the upper silicon nitride film 56 does not pose a problem in the region having an aspect ratio of 1 or less. Since the shunt word lines 55 are formed with a wiring interval of about 0.7 [μm], the lower silicon oxide film 56A is formed with a thickness of about 350 to 500 [nm].

【0100】前記パッシベーション膜56の上層の窒化
珪素膜56Bは耐湿性を向上するために形成されてい
る。この上層の窒化珪素膜56Bは、例えばプラズマC
VD法で堆積され、1000〜1200[nm]程度の膜
厚で形成されている。この上層の窒化珪素膜56Bは、
下層の酸化珪素膜56Aの表面が平坦化されているの
で、下地の段差部分においてオーバーハング形状の成長
による巣等の発生を防止することができる。
The silicon nitride film 56B, which is the upper layer of the passivation film 56, is formed to improve the moisture resistance. The upper silicon nitride film 56B is formed, for example, by plasma C
It is deposited by the VD method and is formed with a film thickness of about 1000 to 1200 [nm]. The upper silicon nitride film 56B is
Since the surface of the lower silicon oxide film 56A is flattened, it is possible to prevent the formation of cavities and the like due to the growth of the overhang shape in the step portion of the base.

【0101】このように、(34−19)アルミニウム合
金膜55Bを主体とした配線55上にパッシベーション
膜56が設けられたDRAM1において、前記パッシベ
ーション膜56を、テトラエポキシシランガスをソース
ガスとするC−CVD法で堆積した酸化珪素膜56A、
プラズマCVD法で堆積した窒化珪素膜56Bの夫々を
順次積層した複合膜で構成し、このパッシベーション膜
56の下層の酸化珪素膜56Aを、前記配線55間隔と
前記配線55の膜厚とのアスペクト比が1以上の領域の
前記配線55の間隔の2分の1又はそれ以上の膜厚で構
成する。この構成により、前記パッシベーション膜56
の下層の酸化珪素膜56Aは、前記配線55のアルミニ
ウム合金膜55Bを溶融しない低温度でしかも高ステッ
プカバレッジで堆積することができ、前記配線55で形
成される段差形状を平坦化することができるので、前記
パッシベーション膜56の上層の耐湿性に優れた窒化珪
素膜56Bを前記段差形状に基づく巣を生じることなく
形成することができる。この結果、前記パッシベーショ
ン膜56の上層の窒化珪素膜56Bに巣が発生しないの
で、前記窒化珪素膜56の割れの発生や前記巣に水分が
溜まることがないので、前記パッシベーション膜56の
耐湿性を向上することができる。
As described above, in the DRAM 1 in which the passivation film 56 is provided on the wiring 55 mainly composed of the (34-19) aluminum alloy film 55B, the passivation film 56 is C-using tetraepoxysilane gas as a source gas. A silicon oxide film 56A deposited by the CVD method,
The silicon nitride film 56B deposited by the plasma CVD method is sequentially laminated to form a composite film, and the silicon oxide film 56A under the passivation film 56 has an aspect ratio of the interval between the wirings 55 and the film thickness of the wiring 55. Is formed to have a film thickness of ½ or more of the interval between the wirings 55 in the region of 1 or more. With this structure, the passivation film 56 is formed.
The lower silicon oxide film 56A can be deposited at a low temperature that does not melt the aluminum alloy film 55B of the wiring 55 and with high step coverage, and the step shape formed by the wiring 55 can be flattened. Therefore, it is possible to form the silicon nitride film 56B having an excellent moisture resistance as the upper layer of the passivation film 56 without forming a cavity based on the step shape. As a result, no cavities are formed in the silicon nitride film 56B, which is the upper layer of the passivation film 56, so that the silicon nitride film 56 is not cracked or moisture is not accumulated in the cavities. Can be improved.

【0102】前記DRAM1のメモリセルアレイ(MA)
11Eと周辺回路との境界領域は図11(概略平面図)及
び図12(前記図11の要部拡大平面図)に示すように構
成されている。つまり、メモリセルアレイ11Eの非活
性領域に形成されるp型チャネルストッパ領域25A、
周辺回路の非活性領域に形成されるp型チャネルストッ
パ領域24の夫々は前記境界領域において重ね合せてい
ない。メモリセルアレイ11Eのp型チャネルストッパ
領域25A、周辺回路のp型チャネルストッパ領域24
の夫々は別々の製造工程で形成されているので、前記境
界領域で前記重合させずに、前記境界領域である非活性
領域の不純物濃度は低くされている。これは、活性領域
に形成されたn型半導体領域29、n+型半導体領域3
2の夫々とp−型ウエル領域22の前記境界領域の主面
部とのpn接合耐圧を高めることができる。ところが、
p−型ウエル領域22の前記境界領域の非活性領域の主
面の不純物濃度が低いので、寄生MOSのしきい値電圧
は低下し、n型反転層が発生しやすい。このn型反転層
はメモリセルアレイ11Eを取り囲む大面積で形成さ
れ、前記境界領域を横切るように又はその近傍に活性領
域が存在すると、活性領域の面積が前記n型反転層の面
積に相当する分増加する。これは、見かけ上、pn接合
面積を増大し、pn接合部においてリーク電流量を増加
する。したがって、図12に示すように、活性領域Ac
t例えば周辺回路のnチャネルMISFETQnは前記
境界領域から離隔させる(前記境界領域を横切らない)。
この離隔は、少なくとも製造工程におけるマスク合せず
れ量、及びn型半導体領域29、n+型半導体領域32
の夫々のn型不純物の拡散量を考慮した寸法で行う。
Memory cell array (MA) of the DRAM 1
The boundary area between 11E and the peripheral circuit is configured as shown in FIG. 11 (schematic plan view) and FIG. 12 (enlarged plan view of the main part of FIG. 11). That is, the p-type channel stopper region 25A formed in the inactive region of the memory cell array 11E,
The p-type channel stopper regions 24 formed in the inactive region of the peripheral circuit are not overlapped with each other in the boundary region. The p-type channel stopper region 25A of the memory cell array 11E and the p-type channel stopper region 24 of the peripheral circuit
Since each of them is formed by a separate manufacturing process, the impurity concentration of the non-active region, which is the boundary region, is lowered without being polymerized in the boundary region. This is because the n-type semiconductor region 29 and the n + -type semiconductor region 3 are formed in the active region.
It is possible to increase the pn junction breakdown voltage between each of No. 2 and the main surface of the boundary region of the p − type well region 22. However,
Since the impurity concentration of the main surface of the inactive region of the boundary region of the p − type well region 22 is low, the threshold voltage of the parasitic MOS is lowered, and the n type inversion layer is likely to occur. This n-type inversion layer is formed in a large area surrounding the memory cell array 11E, and when an active region is present so as to cross the boundary region or in the vicinity thereof, the area of the active region corresponds to the area of the n-type inversion layer. To increase. This apparently increases the pn junction area and increases the leak current amount at the pn junction. Therefore, as shown in FIG. 12, the active region Ac is
t For example, the n-channel MISFET Qn of the peripheral circuit is separated from the boundary region (does not cross the boundary region).
This distance is at least the mask misalignment amount in the manufacturing process, and the n-type semiconductor region 29 and the n + -type semiconductor region 32.
The dimensions are determined in consideration of the diffusion amount of each n-type impurity.

【0103】また、前記メモリセルアレイ(MA)11E
と周辺回路との境界領域は図13(概略平面図)及び図1
4(前記図13の要部拡大平面図)に示すように構成して
もよい。つまり、メモリセルアレイ11Eのp型チャネ
ルストッパ領域25A、周辺回路のp型チャネルストッ
パ領域24の夫々は前記境界領域で重ね合せる。この重
ね合せは少なくとも製造工程におけるマスク合せ余裕寸
法に相当する分重ね合せる。p型チャネルストッパ領域
24、25Aの夫々を重ね合せた場合は非活性領域の前
記境界領域の不純物濃度が高くなる。p−型ウエル領域
22の非活性領域の主面部の不純物濃度が高くなると、
寄生MOSのしきい値電圧を高めて分離能力を向上する
ことができるが、逆に前記境界領域と活性領域に形成さ
れたn型半導体領域29、n+型半導体領域32の夫々
とのpn接合耐圧が劣化する。
Also, the memory cell array (MA) 11E
The boundary area between the peripheral circuit and the peripheral circuit is shown in FIG. 13 (schematic plan view) and FIG.
4 (main part enlarged plan view of FIG. 13). That is, the p-type channel stopper region 25A of the memory cell array 11E and the p-type channel stopper region 24 of the peripheral circuit are overlapped at the boundary region. This superposition is performed at least by the amount corresponding to the mask alignment margin in the manufacturing process. When the p-type channel stopper regions 24 and 25A are overlapped with each other, the impurity concentration of the boundary region of the inactive region becomes high. When the impurity concentration of the main surface portion of the inactive region of the p − type well region 22 becomes high,
Although the threshold voltage of the parasitic MOS can be increased to improve the isolation capability, on the contrary, the pn junction breakdown voltage between the n-type semiconductor region 29 and the n + -type semiconductor region 32 formed in the boundary region and the active region, respectively. Deteriorates.

【0104】したがって、図14に示すように、活性領
域Act例えば周辺回路のnチャネルMISFETQn
は前記境界領域から離隔させる。この離隔は、少なくと
も製造工程におけるマスク合せずれ量、及びp型チャネ
ルストッパ領域24、25Aの夫々のp型不純物やn型
半導体領域29、n+型半導体領域32の夫々のn型不
純物の拡散量を考慮した寸法で行う。
Therefore, as shown in FIG. 14, the active region Act, for example, the n-channel MISFET Qn of the peripheral circuit is formed.
Separate from the border region. This separation is at least the mask misalignment amount in the manufacturing process and the diffusion amount of each p-type impurity in the p-type channel stopper regions 24 and 25A and each n-type impurity in the n-type semiconductor region 29 and the n + -type semiconductor region 32. The dimensions should be taken into consideration.

【0105】前記境界領域には通常基板電位発生回路
(VBBジェネレータ回路)1703から発生する少数キ
ャリアがメモリセルアレイ11Eに侵入することを防止
する図示しないガードリング領域が配置されている。こ
のガードリング領域は、メモリセルアレイ11Eの周辺
に配置され、n型半導体領域29又はn+型半導体領域
32で構成されている。このガードリング領域は、前記
p型チャネルストッパ領域25A、24の夫々の境界領
域の内側であるメモリセルアレイ11E内(前記境界領
域とは離隔させる)に設ける。このガードリング領域の
上部には、前記メモリセルMのスタックド構造の情報蓄
積用容量素子Cの下層電極層35、上層電極層37又は
両者の層と同一導電層で形成された段差緩和層が設けら
れている。この段差緩和層は、メモリセルアレイ11E
と周辺回路との間に発生する段差形状を緩和し、上層配
線例えばカラムセレクト信号線52やシャント用ワード
線55の加工精度の向上や断線不良の低減を図るように
構成されている。
A guard ring region (not shown) for preventing minority carriers generated from the normal substrate potential generating circuit (V BB generator circuit) 1703 from entering the memory cell array 11E is arranged in the boundary region. The guard ring region is arranged around the memory cell array 11E and is constituted by the n-type semiconductor region 29 or the n + -type semiconductor region 32. The guard ring region is provided inside the memory cell array 11E (separated from the boundary region) inside the boundary regions of the p-type channel stopper regions 25A and 24. Above the guard ring region, a step reducing layer formed of the same conductive layer as the lower electrode layer 35, the upper electrode layer 37, or both layers of the information storage capacitor C of the stacked structure of the memory cell M is provided. Has been. This step reducing layer is used for the memory cell array 11E.
It is configured to reduce the shape of the step between the peripheral circuit and the peripheral circuit, improve the processing accuracy of the upper layer wiring such as the column select signal line 52 and the shunt word line 55, and reduce the disconnection defect.

【0106】このように、(8−5)p−型ウエル領域2
2の非活性領域の主面部に形成されたp型チャネルスト
ッパ領域で周囲を規定された、前記p−型ウエル領域2
2の夫々異なる活性領域内の主面にメモリセルM、周辺
回路のnチャネルMISFETQnの夫々を配置する、
DRAM1において、前記メモリセルMの周囲を囲むp
型チャネルストッパ領域25A、前記周辺回路のnチャ
ネルMISFETQnの周囲を囲むp型チャネルストッ
パ領域24の夫々を別々の製造工程で独立に構成し、前
記p型チャネルストッパ領域25A、p型チャネルスト
ッパ領域24の夫々の境界領域には前記メモリセルM、
前記周辺回路のnチャネルMISFETQn等、活性領
域Actを配置しない。この構成により、前記p型チャ
ネルストッパ領域25A、p型チャネルストッパ領域2
4の夫々が前記境界領域で離隔する場合は前記境界領域
にその面積に対応した大きなn型反転層が発生し易くな
り、前記境界領域に活性領域Actが存在するとこの活
性領域Actに形成されるn型半導体領域29やn+型
半導体領域32の面積が見かけ上前記n型反転層を加算
した分増加し、p−型ウエル領域22とn型半導体領域
29やn+型半導体領域32との接合部においてリーク
電流量が増大するが、前記境界領域には活性領域Act
は配置していないので、前記接合部においてリーク電流
量を低減することができる。また、前記p型チャネルス
トッパ領域25A、p型チャネルストッパ領域24の夫
々が前記境界領域で重なる場合はその領域の不純物濃度
が高くなるが、前記境界領域には活性領域Actは配置
していないので、p−型ウエル領域22とn型半導体領
域29やn+型半導体領域32とのpn接合耐圧を向上
することができる。
Thus, the (8-5) p-type well region 2
The p-type well region 2 defined around the p-type channel stopper region formed on the main surface of the second non-active region.
The memory cells M and the n-channel MISFETs Qn of the peripheral circuits are respectively arranged on the main surfaces in the two different active regions.
In the DRAM 1, p surrounding the memory cell M
The p-type channel stopper region 25A and the p-type channel stopper region 24 surrounding the n-channel MISFET Qn of the peripheral circuit are independently configured in different manufacturing steps, and the p-type channel stopper region 25A and the p-type channel stopper region 24 are formed. In each boundary area of the memory cells M,
The active region Act such as the n-channel MISFETQn of the peripheral circuit is not arranged. With this configuration, the p-type channel stopper region 25A and the p-type channel stopper region 2 are formed.
When the four regions are separated from each other in the boundary region, a large n-type inversion layer corresponding to the area thereof is likely to be generated in the boundary region, and when the active region Act is present in the boundary region, it is formed in the active region Act. The area of the n-type semiconductor region 29 and the n + -type semiconductor region 32 apparently increases by the amount of the n-type inversion layer added, and the junction between the p-type well region 22 and the n-type semiconductor region 29 or the n + -type semiconductor region 32. Although the amount of leakage current increases in the active region Act in the boundary region.
Is not provided, the amount of leak current can be reduced at the junction. Further, when the p-type channel stopper region 25A and the p-type channel stopper region 24 overlap each other in the boundary region, the impurity concentration of the region increases, but the active region Act is not arranged in the boundary region. , Pn junction breakdown voltage between the p− type well region 22 and the n type semiconductor region 29 or the n + type semiconductor region 32 can be improved.

【0107】次に、前述のDRAM1の具体的な製造方
法について、図16乃至図49(各製造工程毎に示す要
部断面図)を用いて簡単に説明する。
Next, a specific method for manufacturing the DRAM 1 described above will be briefly described with reference to FIGS.

【0108】まず、単結晶珪素からなるp−型半導体基
板20を用意する。
First, a p-type semiconductor substrate 20 made of single crystal silicon is prepared.

【0109】(ウエル形成工程)次に、前記p−型半導
体基板20の主面上に酸化珪素膜60、窒化珪素膜61
の夫々を順次積層する。酸化珪素膜60は、約900〜
1000[℃]程度の高温度のスチーム酸化法により形成
し、例えば40〜50[nm]程度の膜厚で形成する。こ
の酸化珪素膜60はバッファ層として使用される。前記
窒化珪素膜61は不純物導入マスク、耐酸化マスクの夫
々に使用する。窒化珪素膜61は、例えばCVD法で堆
積させ、40〜60[nm]程度の膜厚で形成する。
(Well Forming Step) Next, a silicon oxide film 60 and a silicon nitride film 61 are formed on the main surface of the p − type semiconductor substrate 20.
Are sequentially laminated. The silicon oxide film 60 is about 900-
It is formed by a steam oxidation method at a high temperature of about 1000 [° C.] and has a film thickness of, for example, about 40 to 50 [nm]. This silicon oxide film 60 is used as a buffer layer. The silicon nitride film 61 is used as an impurity introduction mask and an oxidation resistant mask, respectively. The silicon nitride film 61 is deposited by, for example, a CVD method and is formed to have a film thickness of about 40 to 60 [nm].

【0110】次に、n−型ウエル領域(21)形成領域の
窒化珪素膜61を除去し、マスクを形成する。マスク
(61)の形成はフォトリソグラフィ技術(フォトレジス
トマスクの形成技術)及びエッチング技術を用いて行
う。
Next, the silicon nitride film 61 in the n-type well region (21) forming region is removed to form a mask. mask
The formation of (61) is performed using a photolithography technique (a photoresist mask forming technique) and an etching technique.

【0111】次に、図16に示すように、前記マスク
(61)を用い、酸化珪素膜60を通してp−型半導体基
板20の主面部にn型不純物21nを導入する。n型不
純物21nは、例えば1013[atoms/cm2]程度の不純
物濃度のPを用い、120〜130[KeV]程度のエネ
ルギのイオン打込法で導入する。
Next, as shown in FIG. 16, the mask
Using (61), an n-type impurity 21n is introduced into the main surface portion of the p − type semiconductor substrate 20 through the silicon oxide film 60. As the n-type impurity 21n, for example, P with an impurity concentration of about 10 13 [atoms / cm 2 ] is used and is introduced by an ion implantation method with an energy of about 120 to 130 [KeV].

【0112】次に、前記マスク(61)を用い、図17に
示すように、マスクから露出する酸化珪素膜60を成長
させ、それに比べて厚い酸化珪素膜60Aを形成する。
酸化珪素膜60Aは、n−型ウエル領域(21)形成領域
だけに形成され、前記マスク(61)を除去するマスク及
び不純物導入マスクとして使用される。酸化珪素膜60
Aは、約900〜1000[℃]程度の高温度のスチーム
酸化法により形成し、例えば最終的に110〜130
[nm]程度の膜厚になるように形成する。この酸化珪素
膜60Aを形成する熱処理工程によって、前記導入され
たn型不純物21nは若干拡散される。
Next, using the mask (61), as shown in FIG. 17, a silicon oxide film 60 exposed from the mask is grown to form a silicon oxide film 60A thicker than that.
The silicon oxide film 60A is formed only in the n-type well region (21) forming region and is used as a mask for removing the mask (61) and an impurity introduction mask. Silicon oxide film 60
A is formed by a steam oxidation method at a high temperature of about 900 to 1000 [° C.], and finally 110 to 130, for example.
It is formed to have a film thickness of about [nm]. By the heat treatment process of forming the silicon oxide film 60A, the introduced n-type impurity 21n is slightly diffused.

【0113】次に、前記マスク(61)を例えば熱リン酸
で選択的に除去する。
Next, the mask (61) is selectively removed with, for example, hot phosphoric acid.

【0114】次に、図18に示すように、前記酸化珪素
膜60Aを不純物導入マスクとして用い、酸化珪素膜6
0を通したp−型半導体基板20の主面部にp型不純物
22pを導入する。このp型不純物22pは、例えば1
12〜1013[atoms/cm2]程度の不純物濃度のB(又
はBF2)を用い、20〜30[KeV]程度のエネルギの
イオン打込法で導入する。このp型不純物22pは、酸
化珪素膜60Aの膜厚を厚く形成しているので、n−型
ウエル領域(21)の形成領域には導入されない。
Then, as shown in FIG. 18, the silicon oxide film 60A is used as an impurity introduction mask, and the silicon oxide film 6 is formed.
A p-type impurity 22p is introduced into the main surface portion of the p-type semiconductor substrate 20 which has passed 0. The p-type impurity 22p is, for example, 1
B (or BF 2 ) having an impurity concentration of about 0 12 to 10 13 [atoms / cm 2 ] is used, and ion implantation is performed with an energy of about 20 to 30 [KeV]. Since the p-type impurity 22p is formed so that the silicon oxide film 60A is thick, it is not introduced into the formation region of the n-type well region (21).

【0115】次に、前記n型不純物21n、p型不純物
22pの夫々に引き伸し拡散を施し、図19に示すよう
に、n−型ウエル領域21及びp−型ウエル領域22を
形成する。n−型ウエル領域21及びp−型ウエル領域
22は1100〜1300[℃]程度の高温度の雰囲気中
で熱処理を施すことによって形成する。結果的に、p−
型ウエル領域22はn−型ウエル領域21に対して自己
整合で形成される。
Next, each of the n-type impurity 21n and the p-type impurity 22p is stretched and diffused to form an n-type well region 21 and a p-type well region 22, as shown in FIG. The n-type well region 21 and the p-type well region 22 are formed by heat treatment in an atmosphere of a high temperature of about 1100 to 1300 [° C]. As a result, p-
The type well region 22 is formed in self-alignment with the n − type well region 21.

【0116】(分離領域形成工程)次に、前記酸化珪素
膜60、60Aの夫々を除去し、n−型ウエル領域2
1、p−型ウエル領域22の夫々の主面を露出する。
(Separation Region Forming Step) Next, each of the silicon oxide films 60 and 60A is removed, and the n--type well region 2 is formed.
1. Each main surface of the p-type well region 22 is exposed.

【0117】次に、図20に示すように、前記n−型ウ
エル領域21、p−型ウエル領域22の夫々の主面上
に、酸化珪素膜62、窒化珪素膜63、多結晶珪素膜6
4の夫々を順次積層する。前記下層の酸化珪素膜62は
バッファ層として使用される。
Next, as shown in FIG. 20, a silicon oxide film 62, a silicon nitride film 63, and a polycrystalline silicon film 6 are formed on the main surfaces of the n-type well region 21 and the p-type well region 22, respectively.
Each of 4 is sequentially laminated. The lower silicon oxide film 62 is used as a buffer layer.

【0118】この酸化珪素膜62は、例えば約900〜
1000[℃]程度の高温度のスチーム酸化法により形成
し、15〜25[nm]程度の膜厚で形成される。中層の
窒化珪素膜63は主に耐酸化マスクとして使用される。
この窒化珪素膜63は、例えばCVD法で堆積し、15
0〜250[nm]程度の膜厚で形成される。上層の多結
晶珪素膜64は、主に、その下層の窒化珪素膜63のエ
ッチングマスク、溝堀深さ判定用マスク、サイドウォー
ルスペーサの長さ制御用マスクの夫々として使用され
る。前記多結晶珪素膜64は、例えばCVD法で堆積
し、80〜120[nm]程度の膜厚で形成される。
The silicon oxide film 62 is, for example, about 900-
It is formed by a steam oxidation method at a high temperature of about 1000 [° C.] and has a film thickness of about 15 to 25 [nm]. The intermediate silicon nitride film 63 is mainly used as an oxidation resistant mask.
This silicon nitride film 63 is deposited by, for example, a CVD method,
It is formed with a film thickness of about 0 to 250 [nm]. The upper polycrystalline silicon film 64 is mainly used as an etching mask for the lower silicon nitride film 63, a trench depth determination mask, and a sidewall spacer length control mask. The polycrystalline silicon film 64 is deposited by, for example, a CVD method and is formed to have a film thickness of about 80 to 120 [nm].

【0119】次に、図21に示すように、n−型ウエル
領域21、p−型ウエル領域22の夫々の非活性領域の
主面上の上層の多結晶珪素膜64を除去し、活性領域に
残存する多結晶珪素膜64でマスクを形成する。このマ
スク(64)はフォトリソグラフィ技術及びエッチング技
術を用いて形成される。マスク(64)を形成した後は前
記フォトリソグラフィ技術で形成されたエッチングマス
ク(フォトレジスト膜)は除去される。
Next, as shown in FIG. 21, the upper polycrystalline silicon film 64 on the main surface of each inactive region of the n-type well region 21 and the p-type well region 22 is removed to remove the active region. A mask is formed from the remaining polycrystalline silicon film 64. The mask 64 is formed by using photolithography and etching techniques. After forming the mask (64), the etching mask (photoresist film) formed by the photolithography technique is removed.

【0120】次に、図22に示すように、前記マスク
(64)をエッチングマスクとして用い、非活性領域に露
出された窒化珪素膜63を除去し、前記マスク(64)下
にマスク(63)を形成する。このマスク(63)のパター
ンニングは、フォトレジスト膜からの汚染物質がn−型
ウエル領域21、p−型ウエル領域22の夫々の主面や
酸化珪素膜62中に捕獲されることを防止するため、前
記マスク(64)をパターンニングするフォトレジスト膜
を使用せずにマスク(64)で行っている。
Next, as shown in FIG. 22, the mask
Using (64) as an etching mask, the silicon nitride film 63 exposed in the inactive region is removed, and a mask (63) is formed under the mask (64). The patterning of the mask (63) prevents contaminants from the photoresist film from being trapped in the main surfaces of the n-type well region 21 and the p-type well region 22 and in the silicon oxide film 62. Therefore, the mask (64) is used without using a photoresist film for patterning the mask (64).

【0121】次に、図23に示すように、前記マスク
(64)上を含む基板全面に窒化珪素膜65、酸化珪素膜
66の夫々を順次積層する。下層の窒化珪素膜65は、
主に耐酸化マスクとして使用され、前記マスク(63)に
比べて薄い膜厚で形成されている。この窒化珪素膜65
は、例えばCVD法で堆積し、15〜25[nm]程度の
膜厚で形成される。上層の酸化珪素膜66は主にエッチ
ングマスクとして使用される。この酸化珪素膜66は、
例えば無機シランガス(SiH4又はSiH2Cl2)及び
酸化窒素ガス(N2O)をソースガスとするCVD法で堆
積し、150〜250[nm]程度の膜厚で形成される。
Next, as shown in FIG. 23, the mask
(64) A silicon nitride film 65 and a silicon oxide film 66 are sequentially laminated on the entire surface of the substrate including the upper surface. The lower silicon nitride film 65 is
It is mainly used as an oxidation resistant mask and is formed with a film thickness smaller than that of the mask (63). This silicon nitride film 65
Is deposited by, for example, a CVD method and is formed to have a film thickness of about 15 to 25 [nm]. The upper silicon oxide film 66 is mainly used as an etching mask. This silicon oxide film 66 is
For example, it is deposited by a CVD method using an inorganic silane gas (SiH 4 or SiH 2 Cl 2 ) and a nitric oxide gas (N 2 O) as a source gas to have a film thickness of about 150 to 250 [nm].

【0122】次に、図24に示すように、前記酸化珪素
膜66、窒化珪素膜65の夫々に堆積された膜厚に相当
する分異方性エッチングを施し、前記マスク(63)及び
(64)の夫々の側壁にそれに対して自己整合でマスク
(65)、(66)の夫々を形成する。このマスク(65)、
(66)の夫々は所謂サイドウォールスペーサとして形成
されている。
Next, as shown in FIG. 24, anisotropic etching is performed by the amount corresponding to the film thickness deposited on each of the silicon oxide film 66 and the silicon nitride film 65, and the mask (63) and
Mask on each sidewall of (64) self-aligned with it
Form each of (65) and (66). This mask (65),
Each of (66) is formed as a so-called sidewall spacer.

【0123】次に、図25に示すように、前記マスク
(64)、(66)の夫々をエッチングマスクとして用い、
n−型ウエル領域21、p−型ウエル領域22の夫々の
非活性領域の主面に浅溝67を形成する。浅溝67は、
後の工程で形成される素子間分離用絶縁膜(23)の下面
の深さを例えばn型半導体領域(29)や(32)の接合深
さに比べて深く形成し、素子間の分離能力を高めるため
に形成されている。この浅溝67の深さは、前記マスク
(64)の膜厚で制御されている。つまり、浅溝67を形
成すると共にマスク(64)が除去され、このマスク(6
4)の反応ガス成分を検出し、このマスク(64)の反応
ガス成分がなくなった時点又はその近傍で浅溝67を形
成するエッチングを停止する。浅溝67は、例えばRI
E等の異方性エッチングで形成し、約80〜120[n
m]程度の深さで形成される。
Next, as shown in FIG. 25, the mask
Using each of (64) and (66) as an etching mask,
A shallow groove 67 is formed in the main surface of each inactive region of the n-type well region 21 and the p-type well region 22. The shallow groove 67 is
The depth of the lower surface of the element isolation insulating film (23) formed in a later step is formed deeper than the junction depth of the n-type semiconductor regions (29) and (32), for example, and the element isolation capability is increased. Is formed to increase the The depth of the shallow groove 67 is equal to that of the mask.
It is controlled by the film thickness of (64). That is, the shallow groove 67 is formed and the mask (64) is removed, and the mask (6
The reaction gas component of 4) is detected, and the etching for forming the shallow groove 67 is stopped at or near the time when the reaction gas component of the mask (64) is exhausted. The shallow groove 67 is, for example, RI.
It is formed by anisotropic etching such as E, and is about 80 to 120 [n
It is formed with a depth of about m].

【0124】このように、(請求項3)前記n−型ウエル
領域21、p−型ウエル領域22の夫々と実質的に同等
のエッチング速度を有する材料で形成されたマスク(6
4)を用い、前記n−型ウエル領域21、p−型ウエル
領域22の夫々の非活性領域の主面を前記マスク(64)
の膜厚に相当する分エッチングして浅溝67を形成す
る。
Thus, (claim 3) a mask (6) formed of a material having an etching rate substantially equal to that of each of the n-type well region 21 and the p-type well region 22.
4) is used to mask the main surfaces of the inactive regions of the n-type well region 21 and the p-type well region 22 with the mask (64).
The shallow groove 67 is formed by etching by the amount corresponding to the film thickness.

【0125】この構成により、前記マスク(64)の膜厚
で浅溝67の深さを制御することができるので、前記浅
溝67の深さの制御性を向上することができる。
With this structure, since the depth of the shallow groove 67 can be controlled by the film thickness of the mask (64), the controllability of the depth of the shallow groove 67 can be improved.

【0126】次に、前記浅溝67を形成したことにより
露出されたn−型ウエル領域21、p−型ウエル領域2
2の夫々の非活性領域の主面上に酸化珪素膜62Aを形
成する。この酸化珪素膜62Aは不純物を導入する際の
バッファ層として使用される。酸化珪素膜62Aは、例
えば熱酸化法で形成し、8〜12[nm]程度の膜厚で形
成する。
Next, the n-type well region 21 and the p-type well region 2 exposed by forming the shallow groove 67 are exposed.
A silicon oxide film 62A is formed on the main surface of each of the two inactive regions. This silicon oxide film 62A is used as a buffer layer when introducing impurities. The silicon oxide film 62A is formed by, for example, a thermal oxidation method and has a film thickness of about 8 to 12 [nm].

【0127】次に、図26に示すように、周辺回路の形
成領域において、p−型ウエル領域22の非活性領域の
主面部に、前記酸化珪素膜62Aを通してp型不純物2
4pを導入する。p型不純物24pの導入には前記マス
ク(63)、(66)、図示しないフォトレジストマスクの
夫々を不純物導入マスクとして使用する。p型不純物2
4pは、例えば1013[atoms/cm2]程度の不純物濃度
のBF2を用い、50〜70[KeV]程度のエネルギの
イオン打込法で導入する。このp型不純物24pは、周
辺回路の形成領域において、活性領域に対して自己整合
で導入される。
Then, as shown in FIG. 26, in the peripheral circuit formation region, the p-type impurity 2 is formed through the silicon oxide film 62A on the main surface portion of the inactive region of the p-type well region 22.
Introduce 4p. Each of the masks (63) and (66) and a photoresist mask (not shown) is used as an impurity introduction mask for introducing the p-type impurity 24p. p-type impurity 2
For 4p, for example, BF 2 having an impurity concentration of about 10 13 [atoms / cm 2 ] is used, and ion implantation is performed with an energy of about 50 to 70 [KeV]. The p-type impurity 24p is introduced in the peripheral circuit forming region in a self-aligned manner with respect to the active region.

【0128】次に、前記マスク(63)、(65)の夫々を
主に耐酸化マスクとして用い、n−型ウエル領域21、
p−型ウエル領域22の夫々の非活性領域の主面の酸化
珪素膜62A部分に素子間分離用絶縁膜(フィールド絶
縁膜)23を形成する。このとき、酸化珪素膜66は素
子間分離用絶縁膜23の形成前にフッ酸系エッチング液
により除去する。素子間分離用絶縁膜23は、例えば1
050〜1150[℃]程度のかなり高温度で酸素を微量
(約1[%]以下)含む窒素ガス雰囲気中において約30〜
40[分]の熱処理を行った後、スチーム酸化法により約
30〜50[分]程度酸化することで形成することができ
る。素子間分離用絶縁膜23は例えば400〜600
[nm]程度の膜厚で形成する。
Next, each of the masks (63) and (65) is mainly used as an oxidation resistant mask, and the n--type well region 21 and
An element isolation insulating film (field insulating film) 23 is formed on the silicon oxide film 62A portion of the main surface of each inactive region of the p − type well region 22. At this time, the silicon oxide film 66 is removed with a hydrofluoric acid-based etching solution before forming the inter-element isolation insulating film 23. The insulating film 23 for element isolation is, for example, 1
Trace amount of oxygen at a very high temperature of about 050 to 1150 [℃]
(About 1% or less) in a nitrogen gas atmosphere containing about 30 ~
It can be formed by performing a heat treatment for 40 [minutes] and then oxidizing it for about 30 to 50 [minutes] by a steam oxidation method. The insulating film 23 for separating elements is, for example, 400 to 600.
It is formed with a film thickness of about [nm].

【0129】前記素子間分離用絶縁膜23の活性領域側
の端部は、薄い膜厚のマスク(65)を基板に直接々触さ
せているので、酸化初期の横方向(活性領域側)への成長
が低減され、かつ厚い膜厚のマスク(63)は酸化が進行
しても、横方向への成長を低減することができるので、
バーズビークを少なくすることができる。一方、薄い膜
厚のマスク(65)は、酸化が進むにつれ、バーズビーク
上に持ち上り、ストレスを緩和し、欠陥の発生を低減す
ることができる。つまり、素子間分離用絶縁膜23は、
バーズビークが少なく、厚い膜厚で形成することができ
る。したがって、素子間分離用絶縁膜23は、それを形
成するマスク(63)のサイズにある程度等しいサイズで
形成することができるので、素子間の分離面積を縮小す
ると共に活性領域の有効面積を増加することができる。
Since the mask (65) having a thin film thickness is brought into direct contact with the substrate at the end portion on the active region side of the inter-element isolation insulating film 23, it is moved in the lateral direction (active region side) at the initial stage of oxidation. Growth is reduced, and since the thick mask (63) can reduce the lateral growth even if oxidation progresses,
Birds beaks can be reduced. On the other hand, the thin mask 65 can be lifted up on the bird's beak as the oxidation progresses to relieve the stress and reduce the occurrence of defects. That is, the element isolation insulating film 23 is
It has few bird's beaks and can be formed with a large film thickness. Therefore, the insulating film 23 for element isolation can be formed with a size that is approximately equal to the size of the mask (63) for forming it, so that the isolation area between elements is reduced and the effective area of the active region is increased. be able to.

【0130】前記素子間分離用絶縁膜23を形成する熱
処理により、実質的に同一製造工程によって、前記p−
型ウエル領域22の主面部に導入されたp型不純物24
pが引き伸し拡散され、p型チャネルストッパ領域24
が形成される。前記熱処理は、p型不純物24pを横方
向(活性領域側)へも拡散させるが、周辺回路のnチャネ
ルMISFETQnは、メモリセルMのメモリセル選択
用MISFETQsのサイズに比べて大きいので、前記
p型不純物24pの横方向の拡散量は相対的に小さい。
つまり、nチャネルMISFETQnは挟チャネル効果
の影響が小さい。
By the heat treatment for forming the inter-element isolation insulating film 23, the p-
P-type impurities 24 introduced in the main surface of the well region 22
p is expanded and diffused, and the p-type channel stopper region 24 is formed.
Is formed. The heat treatment diffuses the p-type impurities 24p in the lateral direction (active region side) as well, but since the n-channel MISFETQn of the peripheral circuit is larger than the size of the memory cell selecting MISFETQs of the memory cell M, The lateral diffusion amount of the impurities 24p is relatively small.
That is, the n-channel MISFET Qn is less affected by the sandwiched channel effect.

【0131】次に、前記マスク(63)、(65)、酸化珪
素膜62の夫々を除去し、n−型ウエル領域21、p−
型ウエル領域22の夫々の活性領域の主面を露出させ
る。この後、図27に示すように、前記露出させたn−
型ウエル領域21、p−型ウエル領域22の夫々の主面
上に酸化珪素膜68を形成する。酸化珪素膜68は、主
に素子間分離用絶縁膜23の形成の際に使用される窒化
珪素膜(マスク)63、65の夫々によって素子間分離用
絶縁膜23の端部に形成される珪素の窒化物所謂ホワイ
トリボンを酸化するために行う。酸化珪素膜68は、例
えば900〜1000[℃]程度の高温度のスチーム酸化
法で形成し、40〜100[nm]程度の膜厚で形成す
る。
Next, the masks (63) and (65) and the silicon oxide film 62 are removed, and the n--type well region 21 and p--
The main surface of each active region of the mold well region 22 is exposed. Thereafter, as shown in FIG. 27, the exposed n-
A silicon oxide film 68 is formed on the main surfaces of the type well region 21 and the p-type well region 22, respectively. The silicon oxide film 68 is a silicon film formed on the end portion of the inter-element isolation insulating film 23 by each of the silicon nitride films (masks) 63 and 65 that are used mainly when forming the inter-element isolation insulating film 23. In order to oxidize the so-called white ribbon of so-called nitride. The silicon oxide film 68 is formed by a steam oxidation method at a high temperature of about 900 to 1000 [° C.], for example, and has a film thickness of about 40 to 100 [nm].

【0132】次に、図28に示すように、メモリセルア
レイ11Eの形成領域において、p−型ウエル領域22
の主面部にp型チャネルストッパ領域25A、p型半導
体領域25Bの夫々を形成する。p型チャネルストッパ
領域25Aは素子間分離用絶縁膜23下の非活性領域に
形成される。p型半導体領域25BはメモリセルMの形
成領域である活性領域に形成される。前記p型チャネル
ストッパ領域25A、p型半導体領域25Bの夫々は、
例えば1012〜1013[atoms/cm2]程度の不純物濃度
のBを、200〜300[KeV]程度の高エネルギのイ
オン打込法で導入することにより形成される。p−型ウ
エル領域22の非活性領域の主面部においては、前記p
型不純物は素子分離用絶縁膜23を通して導入される。
活性領域の主面部においては、前記素子間分離用絶縁膜
23の膜厚に相当する分、前記p型不純物はp−型ウエ
ル領域22の主面部の深い位置に導入される。この方法
で形成されるp型チャネルストッパ領域25A、p型半
導体領域25Bの夫々は素子間分離用絶縁膜23に対し
て自己整合で形成されている。
Next, as shown in FIG. 28, in the formation region of the memory cell array 11E, the p--type well region 22 is formed.
A p-type channel stopper region 25A and a p-type semiconductor region 25B are formed on the main surface of the. The p-type channel stopper region 25A is formed in the inactive region below the element isolation insulating film 23. The p-type semiconductor region 25B is formed in the active region where the memory cell M is formed. Each of the p-type channel stopper region 25A and the p-type semiconductor region 25B is
For example, it is formed by introducing B having an impurity concentration of about 10 12 to 10 13 [atoms / cm 2 ] by a high energy ion implantation method of about 200 to 300 [KeV]. In the main surface portion of the non-active region of the p − type well region 22, the p
The type impurities are introduced through the element isolation insulating film 23.
In the main surface portion of the active region, the p-type impurity is introduced at a deep position in the main surface portion of the p − type well region 22 by an amount corresponding to the film thickness of the element isolation insulating film 23. Each of the p-type channel stopper region 25A and the p-type semiconductor region 25B formed by this method is formed in self-alignment with the element isolation insulating film 23.

【0133】このように、(1−1)p−型ウエル領域2
2の非活性領域で周囲を囲まれた活性領域内の主面にメ
モリセル選択用MISFETQsを形成するDRAM1
において、前記p−型ウエル領域22の活性領域の主面
上にマスク(63)、(64)の夫々を順次積層した第1マ
スクを形成する工程と、この第1マスクの側壁にそれに
対して自己整合で形成された、前記第1マスクのマスク
(63)に比べて薄い膜厚のマスク(65)、(66)の夫々
を順次積層した第2マスクを形成する工程と、前記第1
マスク及び第2マスクを用いて前記p−型ウエル領域2
2の非活性領域の主面にエッチング処理を施し、このp
−型ウエル領域22の非活性領域に浅溝67を形成する
工程と、前記第1マスク及び第2マスクを用いて熱酸化
処理を施し、前記p−型ウエル領域22の非活性領域の
主面上に素子間分離用絶縁膜(フィールド絶縁膜)23を
形成する工程と、前記第1マスク及び第2マスクを除去
した後に、前記p−型ウエル領域22の活性領域及び非
活性領域を含むすべての主面部にp型不純物を導入し、
前記p−型ウエル領域22の素子間分離用絶縁膜23下
の主面部に前記p型チャネルストッパ領域25Aを形成
する工程とを備える。この構成により、前記素子間分離
用絶縁膜23の横方向の酸化量を低減することができる
ので、素子間分離用絶縁膜23のサイズを縮小し、かつ
その膜厚を厚くすることができ、前記浅溝67を利用し
て素子間分離用絶縁膜23の下面の位置をp−型ウエル
領域22の活性領域の主面に比べて深くし、メモリセル
選択用MISFETQs間の離隔寸法をp−型ウエル領
域22の深さ方向で稼ぐことができるので、メモリセル
選択用MISFETQs間の分離能力を高めることがで
き、前記素子間分離用絶縁膜23の膜厚を厚く形成し、
前記p型チャネルストッパ領域25Aを形成するp型不
純物を導入する際にp−型ウエル領域22の活性領域の
主面部に導入されるp型不純物をp−型ウエル領域22
の深い位置に導入することができるので、前記p型不純
物の導入に基づくメモリセル選択用MISFETQsの
しきい値電圧の変動を低減することができる。
As described above, (1-1) p-type well region 2
DRAM 1 in which MISFETQs for memory cell selection are formed on the main surface in an active region surrounded by two inactive regions
In the step of forming a first mask in which the masks (63) and (64) are sequentially laminated on the main surface of the active region of the p-type well region 22, and the side wall of the first mask is formed on the first mask. Self-aligned mask of the first mask
Forming a second mask in which masks (65) and (66) each having a smaller film thickness than that of (63) are sequentially laminated;
The p-type well region 2 is formed by using a mask and a second mask.
The main surface of the second non-active region is subjected to etching treatment, and the p
A step of forming a shallow groove 67 in the non-active region of the − type well region 22 and a thermal oxidation process using the first mask and the second mask to form a main surface of the non-active region of the p − type well region 22. A step of forming an inter-element isolation insulating film (field insulating film) 23 on the upper surface of the p-type well region 22 and removing the first mask and the second mask; P-type impurities are introduced into the main surface of
And a step of forming the p-type channel stopper region 25A in the main surface portion of the p-type well region 22 below the element isolation insulating film 23. With this configuration, the lateral oxidation amount of the element isolation insulating film 23 can be reduced, so that the size of the element isolation insulating film 23 can be reduced and the film thickness thereof can be increased. By using the shallow groove 67, the position of the lower surface of the insulating film 23 for element isolation is deeper than the main surface of the active region of the p-type well region 22, and the separation dimension between the memory cell selecting MISFETs Qs is p-. Since it is possible to earn in the depth direction of the type well region 22, it is possible to enhance the isolation capability between the memory cell selecting MISFETs Qs, and to form the element isolation insulating film 23 to be thick.
The p-type impurity introduced into the main surface portion of the active region of the p-type well region 22 when the p-type impurity forming the p-type channel stopper region 25A is introduced is removed.
Since it can be introduced into a deeper position, the fluctuation of the threshold voltage of the memory cell selecting MISFET Qs due to the introduction of the p-type impurity can be reduced.

【0134】また、(4−2)前記素子間分離用絶縁膜2
3を形成する工程は約1050〜1150[℃]の範囲の
高温酸化法で行う。この構成により、前記素子間分離用
絶縁膜23を形成する際に高温酸化法に基づく酸化珪素
膜の流動性を促進し、素子間分離用絶縁膜23とn−型
ウエル領域21、p−型ウエル領域22の夫々の非活性
領域の主面との間に発生するストレスを低減することが
できるので、特にn−型ウエル領域21、p−型ウエル
領域22の夫々の非活性領域の主面に形成された浅溝6
7の角部分における結晶欠陥の発生を低減することがで
きる。
(4-2) Insulating film 2 for separating elements
The step of forming No. 3 is performed by a high temperature oxidation method in the range of about 1050 to 1150 [° C.]. With this structure, when forming the inter-element isolation insulating film 23, the fluidity of the silicon oxide film based on the high temperature oxidation method is promoted, and the inter-element isolation insulating film 23, the n-type well region 21, and the p-type are formed. Since the stress generated between the well region 22 and the main surface of each non-active region can be reduced, the main surface of each non-active region of the n-type well region 21 and the p-type well region 22 can be reduced. Shallow groove 6 formed on
It is possible to reduce the occurrence of crystal defects in the corner portions of No. 7.

【0135】また、前記n−型ウエル領域21、p−型
ウエル領域22の夫々の非活性領域の主面に形成される
浅溝67は、結晶欠陥が回復できない場合や特に必要の
ない場合には形成しなくてもよい。この場合は、マスク
(64)をなくし、マスク(65)の膜厚を200〜300
[nm]としてもよい。
The shallow trench 67 formed on the main surface of each of the inactive regions of the n-type well region 21 and the p-type well region 22 is used when crystal defects cannot be recovered or when it is not particularly necessary. Need not be formed. In this case, the mask
(64) is eliminated, and the film thickness of the mask (65) is set to 200 to 300.
[nm] may be used.

【0136】また、(5−3)メモリセルMを形成するメ
モリセル選択用MISFETQs、周辺回路を形成する
nチャネルMISFETQnの夫々が、p−型ウエル領
域22の素子間分離用絶縁膜23及びp型チャネルスト
ッパ領域で形成された非活性領域で周囲を囲まれた領域
内の活性領域の主面に構成されたDRAM1において、
前記p−型ウエル領域22のメモリセル選択用MISF
ETQsを形成する活性領域及びその周囲を囲む非活性
領域の主面部に、前記非活性領域は素子間分離用絶縁膜
23を通過させてp型不純物を導入して形成されるp型
チャネルストッパ領域25Aを設け、前記p−型ウエル
領域22のnチャネルMISFETQnを形成する活性
領域の周囲を囲む非活性領域の主面部に、p型不純物2
5pを導入してp型チャネルストッパ領域24を設け
る。この構成により、前記p型チャネルストッパ領域2
5Aで寄生MOSのしきい値電圧を高め、メモリセルM
及びそれを形成するメモリセル選択用MISFETQs
とその周囲のメモリセルMとの間の分離能力を確保し、
かつ前記p型チャネルストッパ領域25Aは前記素子間
分離用絶縁膜23に対して自己整合で形成され、p型チ
ャネルストッパ領域25Aを形成するp型不純物は活性
領域側への拡散量を小さくすることができるので、前記
メモリセル選択用MISFETQsの挟チャネル効果を
低減することができると共に、前記p型チャネルストッ
パ領域24を形成するp型不純物24pは非活性領域だ
けに導入され、前記nチャネルMISFETQnを形成
する活性領域には導入されないので、基板効果の影響を
低減し、nチャネルMISFETQnのしきい値電圧の
変動を低減することができる。
(5-3) The memory cell selection MISFET Qs forming the memory cell M and the n-channel MISFET Qn forming the peripheral circuit are respectively isolated by the insulating films 23 and p for element isolation in the p-type well region 22. In the DRAM 1 formed on the main surface of the active region in the region surrounded by the inactive region formed of the type channel stopper region,
MISF for selecting memory cells in the p-type well region 22
A p-type channel stopper region formed by introducing a p-type impurity into the main surface of the active region forming the ETQs and the non-active region surrounding the active region by passing the insulating film 23 for element isolation. 25A, and the p-type impurity 2 is formed on the main surface of the inactive region surrounding the active region of the p-type well region 22 forming the n-channel MISFET Qn.
5p is introduced to provide the p-type channel stopper region 24. With this configuration, the p-type channel stopper region 2
The threshold voltage of the parasitic MOS is increased at 5 A, and the memory cell M
And MISFETQs for selecting memory cells forming the same
Secures the separation ability between the memory cell M and the surrounding memory cell M,
Further, the p-type channel stopper region 25A is formed in self-alignment with the inter-element isolation insulating film 23, and the p-type impurity forming the p-type channel stopper region 25A has a small diffusion amount to the active region side. Therefore, the inter-channel effect of the memory cell selecting MISFET Qs can be reduced, and the p-type impurity 24p forming the p-type channel stopper region 24 is introduced only in the inactive region, so that the n-channel MISFET Qn can be formed. Since it is not introduced into the active region to be formed, it is possible to reduce the influence of the substrate effect and reduce the fluctuation of the threshold voltage of the n-channel MISFET Qn.

【0137】なお、前述のように、前記nチャネルMI
SFETQnはメモリセルMのメモリセル選択用MIS
FETQsに比べてサイズが大きく構成されているの
で、nチャネルMISFETQnはp型チャネルストッ
パ領域24pを形成するp型不純物24pの活性領域側
への拡散量が相対的に小さく、挟チャネル効果をほとん
ど生じない。
As described above, the n-channel MI
SFETQn is a memory cell selection MIS of the memory cell M
Since the size of the n-channel MISFET Qn is larger than that of the FET Qs, the diffusion amount of the p-type impurity 24p forming the p-type channel stopper region 24p toward the active region side is relatively small, and the inter-channel effect is almost generated. Absent.

【0138】また、前記nチャネルMISFETQn
は、活性領域にp型チャネルストッパ領域24を形成す
るp型不純物24pが導入されず、前記活性領域の表面
の不純物濃度を低減することができるので、しきい値電
圧を低減し、駆動能力を増大することができる。特に、
前記nチャネルMISFETQnは出力段回路として使
用する場合に出力信号レベルを充分に確保することがで
きる。
Further, the n-channel MISFETQn
Does not introduce the p-type impurity 24p forming the p-type channel stopper region 24 into the active region, and the impurity concentration on the surface of the active region can be reduced, so that the threshold voltage is reduced and the drivability is improved. Can be increased. In particular,
The n-channel MISFET Qn can secure a sufficient output signal level when used as an output stage circuit.

【0139】また、(7−4)前記メモリセルMのメモリ
セル選択用MISFETQs、nチャネルMISFET
Qnの夫々はp−型半導体基板20に比べて高い不純物
濃度を有するp−型ウエル領域22の主面部に設ける。
この構成により、前記p−型ウエル領域22のメモリセ
ル選択用MISFETQs、nチャネルMISFETQ
nの夫々のチャネル形成領域の不純物濃度を高くできる
ので、短チャネル効果を低減することができると共に、
前記p−型ウエル領域22、前記p−型半導体基板20
の夫々の不純物濃度の差でポテンシャルバリア領域を形
成することができるので、特にメモリセルMのα線ソフ
トエラー耐圧を向上することができる。
(7-4) MISFETQs for memory cell selection of the memory cell M, n-channel MISFET
Each Qn is provided in the main surface portion of the p-type well region 22 having a higher impurity concentration than that of the p-type semiconductor substrate 20.
With this structure, the memory cell selecting MISFETQs and the n-channel MISFETQ of the p-type well region 22 are formed.
Since the impurity concentration of each channel forming region of n can be increased, the short channel effect can be reduced, and
The p-type well region 22 and the p-type semiconductor substrate 20
Since the potential barrier regions can be formed by the respective differences in the impurity concentrations, the α-ray soft error withstand voltage of the memory cell M can be particularly improved.

【0140】また、前記nチャネルMISFETQn
は、カラムアドレスデコーダ回路(YDEC)12やセン
スアンプ回路(SA)13等の直接周辺回路を構成する場
合、同様にα線ソフトエラー耐圧を向上することができ
る。
Further, the n-channel MISFET Qn
In the case where a direct peripheral circuit such as the column address decoder circuit (YDEC) 12 and the sense amplifier circuit (SA) 13 is formed, the α ray soft error withstand voltage can be similarly improved.

【0141】(ゲート絶縁膜形成工程)次に、前記n−
型ウエル領域21、p−型ウエル領域22の夫々の活性
領域の主面上に酸化珪素膜68Aを形成する。酸化珪素
膜68Aは前記酸化珪素膜68を除去した後改めて形成
する。この酸化珪素膜68Aは15〜24[nm]程度の
膜厚でよい。
(Gate Insulating Film Forming Step) Next, the n-
A silicon oxide film 68A is formed on the main surfaces of the active regions of the type well region 21 and the p-type well region 22, respectively. The silicon oxide film 68A is formed again after removing the silicon oxide film 68. The silicon oxide film 68A may have a film thickness of about 15 to 24 [nm].

【0142】次に、第29図に示すように、周辺回路の
形成領域において、n−型ウエル領域21、p−型ウエ
ル領域22の夫々の素子間分離用絶縁膜23で規定され
る活性領域の主面部にしきい値電圧を調整するp型不純
物69pを導入する。p型不純物69pは、例えば10
12[atoms/cm2]程度の不純物濃度のBを用い、20〜
30[KeV]程度のエネルギのイオン打込法で導入す
る。このp型不純物69pは主にnチャネルMISFE
TQn、Qpの夫々のしきい値電圧を調整するために導
入されている。また、p型不純物69pはn−型ウエル
領域21、p−型ウエル領域22の夫々の主面部に別々
の工程により導入してもよい。
Next, as shown in FIG. 29, in the formation region of the peripheral circuit, the active regions defined by the element isolation insulating films 23 of the n-type well region 21 and the p-type well region 22, respectively. A p-type impurity 69p for adjusting the threshold voltage is introduced into the main surface portion of. The p-type impurity 69p is, for example, 10
Using B with an impurity concentration of about 12 [atoms / cm 2 ], 20 to 20
It is introduced by an ion implantation method with an energy of about 30 [KeV]. This p-type impurity 69p is mainly used for n-channel MISFE.
It is introduced to adjust the threshold voltage of each of TQn and Qp. Further, the p-type impurity 69p may be introduced into the respective main surface portions of the n-type well region 21 and the p-type well region 22 by separate steps.

【0143】次に、前記酸化珪素膜68Aを選択的に除
去し、p−型ウエル領域22、n−型ウエル領域21の
夫々の主面を露出させる。
Next, the silicon oxide film 68A is selectively removed to expose the main surfaces of the p-type well region 22 and the n-type well region 21, respectively.

【0144】次に、露出させたp−型ウエル領域22、
n−型ウエル領域21の夫々の主面上にゲート絶縁膜2
6を形成する。ゲート絶縁膜26は、800〜1000
[℃]程度の高温度のスチーム酸化法で形成し、12〜1
8[nm]程度の膜厚で形成する。
Next, the exposed p-type well region 22,
The gate insulating film 2 is formed on each main surface of the n--type well region 21.
6 is formed. The gate insulating film 26 is 800 to 1000
It is formed by a steam oxidation method at a high temperature of about [° C],
It is formed with a film thickness of about 8 [nm].

【0145】(ゲート配線形成工程1)次に、ゲート絶
縁膜26上及び素子間分離用絶縁膜23上を含む基板全
面に多結晶珪素膜を形成する。多結晶珪素膜は、CVD
法で堆積させ、200〜300[nm]程度の膜厚で形成
する。多結晶珪素膜には、熱拡散法により、抵抗値を低
減するn型不純物例えばPが導入されている。この後、
多結晶珪素膜の表面上に図示しない酸化珪素膜を熱酸化
法により形成する。この多結晶珪素膜は製造工程におけ
る第1層目のゲート配線形成工程によって形成される。
(Gate Wiring Forming Step 1) Next, a polycrystalline silicon film is formed on the entire surface of the substrate including the gate insulating film 26 and the element isolation insulating film 23. The polycrystalline silicon film is CVD
Method, and a film thickness of about 200 to 300 [nm] is formed. An n-type impurity such as P that reduces the resistance value is introduced into the polycrystalline silicon film by a thermal diffusion method. After this,
A silicon oxide film (not shown) is formed on the surface of the polycrystalline silicon film by a thermal oxidation method. This polycrystalline silicon film is formed by the first-layer gate wiring forming process in the manufacturing process.

【0146】次に、前記多結晶珪素膜上の全面に層間絶
縁膜28を形成する。この層間絶縁膜28は無機シラン
ガス及び酸化窒素ガスをソースガスとするCVD法で形
成する。層間絶縁膜28は例えば240〜350[nm]
程度の膜厚で形成する。
Next, an interlayer insulating film 28 is formed on the entire surface of the polycrystalline silicon film. The interlayer insulating film 28 is formed by a CVD method using inorganic silane gas and nitric oxide gas as source gases. The interlayer insulating film 28 is, for example, 240 to 350 [nm]
It is formed with a film thickness of about.

【0147】次に、図30に示すように、図示しないエ
ッチングマスクを用い、前記層間絶縁膜28、多結晶珪
素膜の夫々を順次エッチングし、ゲート電極27及びワ
ード線(WL)27を形成する。また、ゲート電極27、
ワード線27の夫々の上部には層間絶縁膜28を残存さ
せておく。前記エッチングは異方性エッチングで行う。
Next, as shown in FIG. 30, the interlayer insulating film 28 and the polycrystalline silicon film are sequentially etched using an etching mask (not shown) to form a gate electrode 27 and a word line (WL) 27. . In addition, the gate electrode 27,
An interlayer insulating film 28 is left on each of the word lines 27. The etching is anisotropic etching.

【0148】(低濃度の半導体領域形成工程)次に、不
純物導入に起因する汚染を低減するために、基板全面に
酸化珪素膜(符号を付けない)を形成する。この酸化珪素
膜は前記エッチングで露出されたp−型ウエル領域2
2、n−型ウエル領域21の夫々の主面上やゲート電極
27、ワード線27の夫々の側壁に形成される。酸化珪
素膜は、例えば850〜950[℃]程度の高温度の酸素
ガス雰囲気中で形成され、10〜20[nm]程度の膜厚
で形成される。
(Low Concentration Semiconductor Region Forming Step) Next, in order to reduce contamination due to the introduction of impurities, a silicon oxide film (no reference numeral is formed) is formed on the entire surface of the substrate. This silicon oxide film is a p-type well region 2 exposed by the etching.
2, formed on the respective main surfaces of the n-type well region 21 and on the side walls of the gate electrode 27 and the word line 27. The silicon oxide film is formed in an oxygen gas atmosphere at a high temperature of, for example, about 850 to 950 [° C.] and has a film thickness of about 10 to 20 [nm].

【0149】次に、素子間分離用絶縁膜23及び層間絶
縁膜28(及びゲート電極27)を不純物導入マスクとし
て用い、メモリセルアレイ11E、nチャネルMISF
ETQnの夫々の形成領域において、p−型ウエル領域
22の主面部にn型不純物29nを導入する。n型不純
物29nはゲート電極27に対して自己整合で導入され
る。n型不純物29nは、例えば1013[atoms/cm2]
程度の不純物濃度のP(又はAs)を用い、30〜50
[KeV]程度のエネルギのイオン打込法で導入する。図
示しないが、このn型不純物29nの導入の際にはpチ
ャネルMISFETQpの形成領域は不純物導入マスク
(例えばフォトレジスト膜)で覆われている。
Next, using the inter-element isolation insulating film 23 and the interlayer insulating film 28 (and the gate electrode 27) as an impurity introduction mask, the memory cell array 11E and the n-channel MISF are formed.
In each of the ETQn forming regions, an n-type impurity 29n is introduced into the main surface portion of the p-type well region 22. The n-type impurity 29n is introduced in self alignment with the gate electrode 27. The n-type impurity 29n is, for example, 10 13 [atoms / cm 2 ].
Use P (or As) with an impurity concentration of about 30 to 50
It is introduced by an ion implantation method with an energy of about [KeV]. Although not shown, when the n-type impurity 29n is introduced, the formation region of the p-channel MISFET Qp is covered with an impurity introduction mask (for example, a photoresist film).

【0150】次に、図31に示すように、素子間分離用
絶縁膜23及び層間絶縁膜28(及びゲート電極27)を
不純物導入マスクとして用い、pチャネルMISFET
Qpの形成領域において、n−型ウエル領域21の主面
部にp型不純物30pを導入する。p型不純物30pは
ゲート電極27に対して自己整合で導入される。p型不
純物30pは、例えば1012[atoms/cm2]程度の不純
物濃度のB(又はBF2)を用い、20〜30[KeV]程
度のエネルギのイオン打込法で導入する。図示しない
が、p型不純物30pの導入の際にはメモリセルアレイ
11E、nチャネルMISFETQnの夫々の形成領域
は不純物導入マスク(フォトレジスト膜)で覆れている。
Next, as shown in FIG. 31, a p-channel MISFET is formed by using the inter-element isolation insulating film 23 and the interlayer insulating film 28 (and the gate electrode 27) as an impurity introduction mask.
In the formation region of Qp, p-type impurity 30p is introduced into the main surface portion of n-type well region 21. The p-type impurity 30p is self-aligned with the gate electrode 27. As the p-type impurity 30p, for example, B (or BF 2 ) having an impurity concentration of about 10 12 [atoms / cm 2 ] is used and is introduced by an ion implantation method with energy of about 20 to 30 [KeV]. Although not shown, when the p-type impurity 30p is introduced, the formation regions of the memory cell array 11E and the n-channel MISFET Qn are covered with an impurity introduction mask (photoresist film).

【0151】(高濃度の半導体領域形成工程1)次に、
前記ゲート電極27、ワード線27、それらの上層の層
間絶縁膜28の夫々の側壁にサイドウォールスペーサ3
1を形成する。サイドウォールスペーサ31は、酸化珪
素膜を堆積し、この酸化珪素膜を堆積した膜厚に相当す
る分、RIE等の異方性エッチングを施すことにより形
成することができる。サイドウォールスペーサ31の酸
化珪素膜は前記層間絶縁膜28と同一膜質を有する、無
機シランガス及び酸化窒素ガスをソースガスとするCV
D法で形成する。この酸化珪素膜は例えば130〜18
0[nm]程度の膜厚で形成する。サイドウォールスペー
サ31のゲート長方向(チャネル長方向)の長さは約15
0[nm]程度で形成される。
(High Concentration Semiconductor Region Forming Step 1) Next,
Sidewall spacers 3 are formed on the respective side walls of the gate electrode 27, the word line 27, and the interlayer insulating film 28 above them.
1 is formed. The sidewall spacers 31 can be formed by depositing a silicon oxide film and performing anisotropic etching such as RIE by an amount corresponding to the thickness of the deposited silicon oxide film. The silicon oxide film of the side wall spacer 31 has the same film quality as the interlayer insulating film 28, and is a CV having an inorganic silane gas and a nitric oxide gas as source gases.
It is formed by the D method. This silicon oxide film is, for example, 130-18
It is formed with a film thickness of about 0 [nm]. The length of the sidewall spacer 31 in the gate length direction (channel length direction) is about 15
It is formed at about 0 [nm].

【0152】次に、周辺回路のnチャネルMISFET
Qnの形成領域において、図32に示すように、n型不
純物32nを導入する。n型不純物32nの導入に際し
ては主にサイドウォールスペーサ31を不純物導入マス
クとして用いて行う。また、nチャネルMISFETQ
nの形成領域以外の領域、つまり、メモリセルアレイ1
1E、pチャネルMISFETQpの夫々の形成領域
は、前記n型不純物32nの導入に際しては図示しない
不純物導入マスク(フォトレジスト膜)で覆われる。前記
n型不純物32nは、例えば1015[atoms/cm2]程度
の不純物濃度のAs(又はP)を用い、70〜90[Ke
V]程度のエネルギのイオン打込法で導入する。
Next, the n-channel MISFET of the peripheral circuit
In the Qn formation region, an n-type impurity 32n is introduced as shown in FIG. When introducing the n-type impurity 32n, the sidewall spacers 31 are mainly used as an impurity introduction mask. In addition, n-channel MISFETQ
A region other than the region where n is formed, that is, the memory cell array 1
Each of the 1E and p-channel MISFETQp forming regions is covered with an impurity introduction mask (photoresist film) (not shown) when the n-type impurity 32n is introduced. As the n-type impurity 32n, for example, As (or P) having an impurity concentration of about 10 15 [atoms / cm 2 ] is used, and 70 to 90 [Ke
It is introduced by an ion implantation method with an energy of about V].

【0153】次に、図33に示すように、熱処理を施
し、前述のn型不純物29n、n型不純物32n、p型
不純物30pの夫々に引き伸し拡散を施し、n型半導体
領域29、n+型半導体領域32、p型半導体領域30
の夫々を形成する。前記熱処理は例えば900〜100
0[℃]程度の高温度で20〜40[分]程度行う。前記n
型半導体領域29を形成することにより、メモリセルM
のLDD構造のメモリセル選択用MISFETQsが完
成する。また、n型半導体領域29及びn+型半導体領
域32を形成することにより、LDD構造のnチャネル
MISFETQnが完成する。このnチャネルMISF
ETQnは、DRAM1の周辺回路(低電圧用)及び入出
力段回路(高電圧用)で使用される。また、pチャネルM
ISFETQpのLDD構造を構成するp型半導体領域
30は完成するが、p+型半導体領域39はメモリセル
Mの完成後に形成されるので、pチャネルMISFET
Qpは後工程で完成される。
Then, as shown in FIG. 33, heat treatment is performed to stretch and diffuse each of the n-type impurity 29n, the n-type impurity 32n, and the p-type impurity 30p, and the n-type semiconductor region 29, n +. Type semiconductor region 32, p type semiconductor region 30
To form each. The heat treatment is, for example, 900-100
It is performed at a high temperature of about 0 [° C.] for about 20 to 40 [minutes]. N
By forming the type semiconductor region 29, the memory cell M
The LDD structure memory cell selecting MISFET Qs is completed. Further, by forming the n-type semiconductor region 29 and the n + -type semiconductor region 32, the n-channel MISFET Qn having the LDD structure is completed. This n-channel MISF
The ETQn is used in the peripheral circuit (for low voltage) and the input / output stage circuit (for high voltage) of the DRAM 1. Also, p channel M
Although the p-type semiconductor region 30 forming the LDD structure of the ISFET Qp is completed, the p + -type semiconductor region 39 is formed after the memory cell M is completed, so that the p-channel MISFET is formed.
Qp is completed in a later process.

【0154】このように、(13−7)入出力段回路とし
て使用される高電圧用のLDD構造のnチャネルMIS
FETQn、周辺回路として使用される低電圧用のLD
D構造のnチャネルMISFETQnの夫々を有するD
RAM1において、p−型ウエル領域22の夫々異なる
活性領域の主面に前記高電圧用nチャネルMISFET
Qn、低電圧用nチャネルMISFETQnの夫々のゲ
ート絶縁膜26及びゲート電極27を同一製造工程で形
成する工程と、前記p−型ウエル領域22の夫々の活性
領域の主面部に前記高電圧用nチャネルMISFETQ
n、低電圧用nチャネルMISFETQnの夫々のゲー
ト電極27に対して自己整合でLDD構造を形成する低
不純物濃度のn型半導体領域29を同一製造工程で形成
する工程と、前記高電圧用nチャネルMISFETQ
n、低電圧用nチャネルMISFETQnの夫々のゲー
ト電極27の側壁にサイドウォールスペーサ31を同一
製造工程で形成する工程と、前記p−型ウエル領域22
の活性領域の前記高電圧用nチャネルMISFETQ
n、低電圧用nチャネルMISFETQnの夫々の主面
部にサイドウォールスペーサ31に対して自己整合で高
不純物濃度のn+型半導体領域32を形成する工程とを
備える。この構成により、前記高電圧用nチャネルMI
SFETQn、低電圧用nチャネルMISFETQnの
夫々の形成工程をすべて兼用し、特に夫々のサイドウォ
ールスペーサ31を同一製造工程で形成することができ
るので、DRAM1の製造工程数を低減することができ
る。
Thus, the n-channel MIS of the high voltage LDD structure used as the (13-7) input / output stage circuit.
FET Qn, LD for low voltage used as peripheral circuit
D having n-channel MISFET Qn of D structure
In the RAM 1, the high-voltage n-channel MISFET is formed on the main surface of the different active regions of the p-type well region 22.
Qn, the step of forming the gate insulating film 26 and the gate electrode 27 of each of the low-voltage n-channel MISFET Qn in the same manufacturing step, and the n-channel for high voltage n on the main surface portion of each active region of the p-type well region 22. Channel MISFETQ
n, a step of forming a low impurity concentration n-type semiconductor region 29 forming an LDD structure in self-alignment with each gate electrode 27 of the low-voltage n-channel MISFET Qn, and the high-voltage n-channel MISFETQ
forming side wall spacers 31 on the side walls of the gate electrodes 27 of the n-channel MISFET Qn for low voltage and the p-type well region 22.
N-channel MISFETQ for high voltage in the active region of
and n-type semiconductor regions 32 of high impurity concentration are formed in self-alignment with the side wall spacers 31 on the respective main surface portions of the n and low voltage n-channel MISFETs Qn. With this configuration, the high-voltage n-channel MI
Since the SFETQn and the low-voltage n-channel MISFETQn are all used in the same forming process, and especially the respective side wall spacers 31 can be formed in the same manufacturing process, the number of manufacturing processes of the DRAM 1 can be reduced.

【0155】(層間絶縁膜形成工程1)次に、前記層間
絶縁膜28上、サイドウォールスペーサ31上等を含む
基板全面に層間絶縁膜33を形成する。この層間絶縁膜
33はスタックド構造の情報蓄積用容量素子Cの夫々の
電極層を加工する際のエッチングストッパ層として使用
されている。また、層間絶縁膜33はスタックド構造の
情報蓄積用容量素子Cの下層電極層(35)とメモリセル
選択用MISFETQsのゲート電極27、ワード線2
7の夫々とを電気的に分離するために形成されている。
また、層間絶縁膜33はpチャネルMISFETQpの
サイドウォールスペーサ31の膜厚を厚くするように構
成されている。層間絶縁膜33は主に上層導電層の加工
時のオーバエッチングによる削れ量、洗浄工程での削れ
量等を見込んだ膜厚で形成されている。層間絶縁膜33
は無機シランガス及び酸化窒素ガスをソースガスとする
CVD法で堆積した酸化珪素膜で形成されている。つま
り、この層間絶縁膜33は、スタックド構造の情報蓄積
用容量素子Cの誘電体膜(36)や下地の層間絶縁膜28
との間に線膨張係数差に基づき発生するストレスを低減
することができる。層間絶縁膜33は例えば130〜1
80[nm]程度の膜厚で形成する。
(Interlayer Insulating Film Forming Step 1) Next, an interlayer insulating film 33 is formed on the entire surface of the substrate including the interlayer insulating film 28, the sidewall spacers 31 and the like. The interlayer insulating film 33 is used as an etching stopper layer when processing the respective electrode layers of the information storage capacitive element C having the stacked structure. The interlayer insulating film 33 is composed of the lower electrode layer (35) of the information storage capacitor C having a stacked structure, the gate electrode 27 of the memory cell selecting MISFET Qs, and the word line 2.
It is formed to electrically separate each of the seven.
Further, the interlayer insulating film 33 is configured to increase the film thickness of the sidewall spacer 31 of the p-channel MISFET Qp. The interlayer insulating film 33 is mainly formed to have a film thickness that allows for the amount of abrasion due to over-etching when processing the upper conductive layer, the amount of abrasion during the cleaning process, and the like. Interlayer insulation film 33
Is formed of a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitric oxide gas as source gases. That is, the interlayer insulating film 33 is the dielectric film (36) of the information storage capacitor C having the stacked structure or the underlying interlayer insulating film 28.
It is possible to reduce the stress generated between and due to the difference in linear expansion coefficient. The interlayer insulating film 33 is, for example, 130-1.
It is formed with a film thickness of about 80 [nm].

【0156】次に、図34に示すように、メモリセルM
形成領域のメモリセル選択用MISFETQsの他方の
n型半導体領域(情報蓄積用容量素子Cの下層電極層3
5が接続される側)29上の前記層間絶縁膜33を除去
し、接続孔33A、35の夫々を形成する。この接続孔
35は、前記サイドウォールスペーサ31、層間絶縁膜
33をエッチングした時にサイドウォールスペーサ31
の側壁に堆積されるサイドウォールスペーサ33Bの夫
々で規定された領域内において形成されている。
Next, as shown in FIG. 34, the memory cell M
The other n-type semiconductor region of the memory cell selecting MISFET Qs in the formation region (the lower electrode layer 3 of the information storage capacitor C)
The interlayer insulating film 33 on the side 29 to which 5 is connected) is removed to form connection holes 33A and 35, respectively. The connection hole 35 is formed in the side wall spacer 31 when the side wall spacer 31 and the interlayer insulating film 33 are etched.
Is formed in the region defined by each of the sidewall spacers 33B deposited on the sidewalls of the.

【0157】(ゲート配線形成工程2)次に、図35に
示すように、層間絶縁膜33上を含む基板全面に、メモ
リセルMのスタックド構造の情報蓄積用容量素子Cの下
層電極層35を形成する多結晶珪素膜を堆積する。この
多結晶珪素膜は前記接続孔33A、35の夫々を通して
一部をn型半導体領域29に接続させている。この多結
晶珪素膜は、CVD法で堆積させた多結晶珪素膜で形成
し、150〜240[nm]程度の膜厚で形成する。この
多結晶珪素膜は製造工程における第2層目のゲート配線
形成工程により形成されている。多結晶珪素膜には堆積
後に抵抗値を低減するn型不純物例えばPを熱拡散法に
より導入する。このn型不純物は前記接続孔35を通し
てn型半導体領域29に多量にn型不純物が拡散され、
このn型不純物がメモリセル選択用MISFETQsの
チャネル形成領域側に拡散しないように、n型不純物は
低不純物濃度で導入される。
(Gate Wiring Forming Step 2) Next, as shown in FIG. 35, the lower electrode layer 35 of the information storage capacitive element C of the stacked structure of the memory cell M is formed on the entire surface of the substrate including the interlayer insulating film 33. A polycrystalline silicon film to be formed is deposited. Part of this polycrystalline silicon film is connected to the n-type semiconductor region 29 through each of the connection holes 33A and 35. This polycrystalline silicon film is formed of a polycrystalline silicon film deposited by the CVD method and has a film thickness of about 150 to 240 [nm]. This polycrystalline silicon film is formed by the gate wiring forming process of the second layer in the manufacturing process. An n-type impurity such as P that reduces the resistance value after deposition is introduced into the polycrystalline silicon film by a thermal diffusion method. A large amount of this n-type impurity is diffused into the n-type semiconductor region 29 through the connection hole 35,
The n-type impurity is introduced at a low impurity concentration so that the n-type impurity does not diffuse to the channel formation region side of the memory cell selection MISFET Qs.

【0158】次に、図36に示すように、前記多結晶珪
素膜上にさらに多結晶珪素膜を堆積する。この上層の多
結晶珪素膜は、CVD法で堆積させ、240〜350
[nm]程度の膜厚で形成する。上層の多結晶珪素膜には
堆積後に抵抗値を低減するn型不純物例えばPを熱拡散
法により導入する。このn型不純物はスタックド構造の
情報蓄積用容量素子Cの電荷蓄積量を向上するために高
不純物濃度で導入される。
Next, as shown in FIG. 36, a polycrystalline silicon film is further deposited on the polycrystalline silicon film. This upper polycrystal silicon film is deposited by the CVD method, and 240 to 350
It is formed with a film thickness of about [nm]. An n-type impurity such as P that reduces the resistance value after deposition is introduced into the upper polycrystalline silicon film by a thermal diffusion method. This n-type impurity is introduced at a high impurity concentration in order to improve the charge storage amount of the information storage capacitive element C having the stacked structure.

【0159】次に、図37に示すように、フォトリソグ
ラフィ技術及び異方性エッチング技術を用いて前記2層
構造の多結晶珪素膜を所定の形状に加工し、下層電極層
35を形成する。前記フォトリソグラフィ技術はエッチ
ングマスク(フォトレジスト膜)の形成工程及びエッチン
グマスクの除去工程を含む。前記エッチングマスクの除
去工程は例えばフレオンガス(CHF3)と酸素ガス(O2)
との混合ガスによるダウンストリームのプラズマ処理で
行われている。この処理はDRAM1の各素子のダメー
ジを低減する効果がある。
Then, as shown in FIG. 37, the lower layer electrode layer 35 is formed by processing the polycrystalline silicon film having the two-layer structure into a predetermined shape by using the photolithography technique and the anisotropic etching technique. The photolithography technique includes an etching mask (photoresist film) forming step and an etching mask removing step. The process of removing the etching mask is performed by, for example, Freon gas (CHF 3 ) and oxygen gas (O 2 ).
It is performed by a downstream plasma process using a mixed gas of. This process has an effect of reducing damage to each element of the DRAM 1.

【0160】このように、(19−11)メモリセル選択
用MISFETQsとスタックド構造の情報蓄積用容量
素子Cとの直列回路でメモリセルMを構成するDRAM
1において、前記スタックド構造の情報蓄積用容量素子
Cの前記メモリセル選択用MISFETQsの一方のn
型半導体領域29に接続される側の下層電極層35を、
低濃度に抵抗値を低減するn型不純物を導入した多結晶
珪素膜、高濃度に前記n型不純物を導入した多結晶珪素
膜の夫々を順次積層した複合膜で構成する。この構成に
より、前記メモリセルMのスタックド構造の情報蓄積用
容量素子Cの下層電極層35の膜厚を厚くし、この膜厚
を厚くした分、下層電極層35の側壁の面積を高さ方向
に稼ぐことができるので、電荷蓄積量を増加し、メモリ
セルMの面積を縮小して集積度を向上することができ、
前記下層電極層35の上層の多結晶珪素膜の表面の不純
物濃度が高いので、電荷蓄積量を増加し、同様に集積度
をより向上することができ、しかも、前記下層電極層3
5の多結晶珪素膜の不純物濃度を低くし、メモリセル選
択用MISFETQsの一方のn型半導体領域29側へ
のn型不純物の拡散量を低減することができるので、メ
モリセル選択用MISFETQsの短チャネル効果を低
減し、メモリセルMの面積を縮小してさらに集積度を向
上することができる。なお、本発明は、3層又はそれ以
上の層数で多結晶珪素膜を堆積し、夫々の多結晶珪素膜
にn型不純物を導入し、前記下層電極層35を形成して
もよい。
As described above, (19-11) the DRAM in which the memory cell M is constructed by the series circuit of the MISFETQs for selecting a memory cell and the information storage capacitive element C of the stacked structure.
1, n of one of the memory cell selecting MISFETs Qs of the information storing capacitive element C of the stacked structure.
The lower electrode layer 35 on the side connected to the type semiconductor region 29,
It is composed of a composite film in which a polycrystalline silicon film introduced with an n-type impurity that reduces the resistance value to a low concentration and a polycrystalline silicon film introduced with the n-type impurity in a high concentration are sequentially laminated. With this configuration, the film thickness of the lower electrode layer 35 of the information storage capacitor C of the stacked structure of the memory cell M is increased, and the thickness of this film thickness is increased, so that the area of the side wall of the lower electrode layer 35 is increased in the height direction. Therefore, it is possible to increase the charge storage amount, reduce the area of the memory cell M, and improve the integration degree.
Since the impurity concentration on the surface of the upper polycrystalline silicon film of the lower electrode layer 35 is high, the charge storage amount can be increased and the degree of integration can be similarly improved.
Since the impurity concentration of the polycrystalline silicon film of No. 5 can be lowered and the diffusion amount of the n-type impurity toward the one n-type semiconductor region 29 side of the memory cell selection MISFET Qs can be reduced, the short MISFET Qs for memory cell selection. The channel effect can be reduced, the area of the memory cell M can be reduced, and the degree of integration can be further improved. In the present invention, the lower electrode layer 35 may be formed by depositing a polycrystalline silicon film with three or more layers and introducing an n-type impurity into each polycrystalline silicon film.

【0161】また、(21−12)メモリセル選択用MI
SFETQsとスタックド構造の情報蓄積用容量素子C
との直列回路でメモリセルMを構成するDRAM1にお
いて、p−型ウエル領域22の前記メモリセル選択用M
ISFETQs上を含む層間絶縁膜33の全面に第1層
目の多結晶珪素膜を堆積した後、この第1層目の多結晶
珪素膜に抵抗値を低減するn型不純物を導入する工程
と、この第1層目の多結晶珪素膜上の全面に第2層目の
多結晶珪素膜を堆積後、この第2層目の多結晶珪素膜に
抵抗値を低減するn型不純物を導入する工程と、この第
2層目の多結晶珪素膜、前記第1層目の多結晶珪素膜の
夫々に異方性エッチングにより所定のパターンニングを
順次施し、前記スタックド構造の情報蓄積用容量素子C
の下層電極層35を形成する工程とを備える。この構成
により、前記スタックド構造の情報蓄積用容量素子Cの
下層電極層35の膜厚を厚くしても、それに導入された
不純物量がある程度確保されかつ均一化されているの
で、異方性エッチングの異方性を高めかつエッチング速
度を速くすることができる。異方性エッチングの異方性
の向上は、下層電極層35のサイズを縮小することがで
きるので、メモリセルMの面積を縮小し、DRAM1の
集積度を向上することができる。
Further, (21-12) MI for memory cell selection
SFETQs and a stacked structure information storage capacitor C
In the DRAM 1 in which the memory cell M is formed by a series circuit of the memory cell M and the memory cell selection M in the p-type well region 22,
A step of depositing a first-layer polycrystalline silicon film over the entire surface of the interlayer insulating film 33 including the ISFET Qs, and then introducing an n-type impurity for reducing the resistance value into the first-layer polycrystalline silicon film; A step of depositing a second-layer polycrystalline silicon film over the entire surface of the first-layer polycrystalline silicon film, and then introducing an n-type impurity for reducing the resistance value into the second-layer polycrystalline silicon film. Then, predetermined patterning is sequentially applied to each of the second-layer polycrystalline silicon film and the first-layer polycrystalline silicon film by anisotropic etching to obtain the stacked-structure information storage capacitive element C.
And the step of forming the lower electrode layer 35. With this configuration, even if the film thickness of the lower electrode layer 35 of the information storage capacitive element C having the stacked structure is increased, the amount of impurities introduced therein is secured and made uniform to some extent. Can be increased and the etching rate can be increased. The improvement in the anisotropy of the anisotropic etching can reduce the size of the lower electrode layer 35, so that the area of the memory cell M can be reduced and the integration degree of the DRAM 1 can be improved.

【0162】(誘電体膜形成工程)次に、図38に示す
ように、前記メモリセルMのスタックド構造の情報蓄積
用容量素子Cの下層電極層35上を含む基板全面に誘電
体膜36を形成する。誘電体膜36は、前述したように
基本的には窒化珪素膜36A、酸化珪素膜36Bの夫々
を順次積層した2層構造で形成されている。下層の窒化
珪素膜36Aは、例えばCVD法で堆積させ、5〜7
[nm]程度の膜厚で形成する。この窒化珪素膜36Aを
形成する際には酸素の巻き込みをできる限り抑える。通
常の生産レベルで下層電極層35(多結晶珪素膜)上に
窒化珪素膜36Aを形成した場合には、極微量の酸素の
巻き込みが生じるので、下層電極層35と窒化珪素膜3
6Aとの間に自然酸化珪素膜(図示しない)が形成され
る。
(Dielectric Film Forming Step) Next, as shown in FIG. 38, a dielectric film 36 is formed on the entire surface of the substrate including the lower electrode layer 35 of the information storage capacitor C of the stacked structure of the memory cell M. Form. As described above, the dielectric film 36 is basically formed of a two-layer structure in which the silicon nitride film 36A and the silicon oxide film 36B are sequentially stacked. The lower silicon nitride film 36A is deposited by, for example, a CVD method,
It is formed with a film thickness of about [nm]. When forming the silicon nitride film 36A, entrapment of oxygen is suppressed as much as possible. When the silicon nitride film 36A is formed on the lower electrode layer 35 (polycrystalline silicon film) at a normal production level, an extremely small amount of oxygen is entrapped, so that the lower electrode layer 35 and the silicon nitride film 3 are formed.
A native silicon oxide film (not shown) is formed between 6A and 6A.

【0163】前記誘電体膜36の上層の酸化珪素膜36
Bは、下層の窒化珪素膜36Aに高圧酸化法を施して形
成し、1〜3[nm]程度の膜厚で形成する。酸化珪素膜
36Bを形成すると下層の窒化珪素膜36Aは若干膜厚
が減少する。酸化珪素膜36Bは基本的には1.5〜1
0[toll]の高圧及び800〜1000[℃]程度の高温度
の酸素ガス雰囲気中において形成する。本実施例におい
ては、酸化珪素膜36Bは、3〜3.8[toll]の高圧及
び酸化の際の酸素流量(ソースガス)を2[l/min]、水素
流量(ソースガス)を3〜8[l/min]として形成してい
る。高圧酸化法で形成される酸化珪素膜36Bは常圧
(1[toll])で形成される酸化珪素膜に比べて短時間で所
望の膜厚に形成することができる。つまり、高圧酸化法
は、高温度の熱処理時間を短縮することができるので、
メモリセル選択用MISFETQs等のソース領域及び
ドレイン領域のpn接合深さを浅くすることができる。
前記自然酸化珪素膜は酸素の巻き込みを低減すれば薄く
することができる。また、製造工程数は増加するが、自
然酸化珪素膜を窒化し、誘電体膜36を2層構造で形成
することもできる。
A silicon oxide film 36 as an upper layer of the dielectric film 36.
B is formed by subjecting the lower silicon nitride film 36A to a high-pressure oxidation method to have a film thickness of about 1 to 3 [nm]. When the silicon oxide film 36B is formed, the film thickness of the lower silicon nitride film 36A is slightly reduced. The silicon oxide film 36B is basically 1.5-1.
It is formed in an oxygen gas atmosphere at a high pressure of 0 [toll] and a high temperature of about 800 to 1000 [° C.]. In this embodiment, the silicon oxide film 36B has a high pressure of 3 to 3.8 [toll] and an oxygen flow rate (source gas) of 2 [l / min] and a hydrogen flow rate (source gas) of 3 to 3 during oxidation. It is formed as 8 [l / min]. The silicon oxide film 36B formed by the high pressure oxidation method has a normal pressure.
The desired film thickness can be formed in a shorter time than the silicon oxide film formed by (1 [toll]). In other words, the high-pressure oxidation method can shorten the heat treatment time at high temperature,
The pn junction depth of the source region and the drain region of the memory cell selecting MISFET Qs or the like can be made shallow.
The native silicon oxide film can be thinned by reducing the entrainment of oxygen. Although the number of manufacturing steps increases, the native silicon oxide film may be nitrided to form the dielectric film 36 with a two-layer structure.

【0164】(ゲート配線形成工程3)次に、前記誘電
体膜36上を含む基板全面に多結晶珪素膜を堆積する。
多結晶珪素膜は、CVD法で堆積させ、80〜120
[nm]程度の膜厚で形成する。この多結晶珪素膜は製造
工程における第3層目のゲート配線形成工程により形成
される。この後、前記多結晶珪素膜に抵抗値を低減する
n型不純物例えばPを熱拡散法により導入する。
(Gate Wiring Forming Step 3) Next, a polycrystalline silicon film is deposited on the entire surface of the substrate including the dielectric film 36.
The polycrystalline silicon film is deposited by the CVD method,
It is formed with a film thickness of about [nm]. This polycrystalline silicon film is formed by the gate wiring forming process of the third layer in the manufacturing process. After that, an n-type impurity such as P for reducing the resistance value is introduced into the polycrystalline silicon film by a thermal diffusion method.

【0165】次に、メモリセル選択用MISFETQs
の一方のn型半導体領域29と相補性データ線(50)と
の接続領域を除くメモリセルアレイ11Eの全面におい
て、前記多結晶珪素膜上にエッチングマスクを形成す
る。エッチングマスクは例えばフォトリソグラフィ技術
を使用したフォトレジスト膜で形成する。この後、図3
9に示すように、前記エッチングマスクを用い、前記多
結晶珪素膜、誘電体膜36の夫々に順次異方性エッチン
グを施し、上層電極層37を形成する。この上層電極層
37を形成することにより、スタックド構造の情報蓄積
用容量素子Cが略完成し、この結果、DRAM1のメモ
リセルMが完成する。このメモリセルMの完成後、前記
エッチングマスクは除去する。
Next, MISFETQs for memory cell selection
An etching mask is formed on the polycrystalline silicon film over the entire surface of the memory cell array 11E except for the connection region between one of the n-type semiconductor regions 29 and the complementary data line (50). The etching mask is formed of, for example, a photoresist film using a photolithography technique. After this,
As shown in FIG. 9, the polycrystalline silicon film and the dielectric film 36 are sequentially anisotropically etched using the etching mask to form an upper electrode layer 37. By forming the upper electrode layer 37, the information storage capacitive element C having a stacked structure is substantially completed, and as a result, the memory cell M of the DRAM 1 is completed. After completion of the memory cell M, the etching mask is removed.

【0166】次に、図40に示すように、熱酸化処理を
施し、前記上層電極層37の表面上に絶縁膜(酸化珪素
膜)38を形成する。絶縁膜38を形成する工程は、前
記上層電極層37をパターンニングした際に、下地表面
(層間絶縁膜33の表面)に残存するエッチング残り(多
結晶珪素膜)を酸化する工程である。スタックド構造の
情報蓄積用容量素子Cは、メモリセル選択用MISFE
TQsの上層に2層の下層電極層35及び上層電極層3
7を堆積するので、段差形状が大きく、特に相補性デー
タ線(50)とメモリセルMとの接続部分の段差形状が大
きく、エッチング残りを生じ易い。このエッチング残り
は相補性データ線(50)と上層電極層37とを短絡させ
る。
Next, as shown in FIG. 40, a thermal oxidation process is performed to form an insulating film (silicon oxide film) 38 on the surface of the upper electrode layer 37. The step of forming the insulating film 38 is performed by patterning the upper electrode layer 37, and
This is a step of oxidizing the etching residue (polycrystalline silicon film) remaining on the surface of the interlayer insulating film 33. The stacked information storage capacitor C is a memory cell selection MISFE.
Two lower electrode layers 35 and an upper electrode layer 3 on top of TQs
Since 7 is deposited, the step shape is large, particularly the step shape of the connecting portion between the complementary data line (50) and the memory cell M is large, and etching residue is likely to occur. This etching residue short-circuits the complementary data line (50) and the upper electrode layer 37.

【0167】このように、(22−13)一方のn型半導
体領域29が相補性データ線(50)に接続されたメモリ
セル選択用MISFETQsと、その上層に形成される
下層電極層35、誘電体膜36、上層電極層37の夫々
を順次積層したスタックド構造の情報蓄積用容量素子C
との直列回路でメモリセルMを構成するDRAM1にお
いて、前記メモリセルMの誘電体膜36上にCVD法で
多結晶珪素膜を堆積し、この多結晶珪素膜に異方性エッ
チングにより所定のパターンニングを施して前記上層電
極層37を形成する工程と、この上層電極層37の表面
上に熱酸化法による絶縁膜38(酸化珪素膜)を形成する
工程とを備える。この構成により、前記多結晶珪素膜の
パターンニング後に下地表面の段差部分に残存する多結
晶珪素膜のエッチング残りを、この後に行われる熱酸化
工程により酸化することができるので、前記上層電極層
37と相補性データ線(50)との短絡を防止し、製造上
の歩留りを向上することができる。
As described above, (22-13) one n-type semiconductor region 29 is connected to the complementary data line (50), the memory cell selecting MISFET Qs, the lower electrode layer 35 formed on the upper layer, Information storage capacitor C having a stacked structure in which a body film 36 and an upper electrode layer 37 are sequentially stacked.
In the DRAM 1 in which the memory cell M is formed by a series circuit with the above, a polycrystalline silicon film is deposited on the dielectric film 36 of the memory cell M by the CVD method, and a predetermined pattern is formed on the polycrystalline silicon film by anisotropic etching. And a step of forming an insulating film 38 (silicon oxide film) by a thermal oxidation method on the surface of the upper electrode layer 37. With this configuration, the etching residue of the polycrystalline silicon film remaining on the stepped portion of the underlying surface after the patterning of the polycrystalline silicon film can be oxidized by the thermal oxidation step performed thereafter, so that the upper electrode layer 37 is formed. And a complementary data line (50) can be prevented from being short-circuited, and the manufacturing yield can be improved.

【0168】(高濃度の半導体領域形成工程2)次に、
前記周辺回路のpチャネルMISFETQpの形成領域
において、前述の工程で形成された層間絶縁膜33に異
方性エッチングを施し、図41に示すように、サイドウ
ォールスペーサ33Cを形成する。サイドウォールスペ
ーサ33Cは、前記サイドウォールスペーサ31の側壁
に形成され、前記ゲート電極27に対して自己整合で形
成される。サイドウォールスペーサ33Cは、pチャネ
ルMISFETQpのサイドウォールスペーサ31のゲ
ート長方向の寸法を長くするように形成されている。サ
イドウォールスペーサ31及び33Cの合計のゲート長
方向の寸法は前述のように約200[nm]程度で形成さ
れる。
(Highly Concentrated Semiconductor Region Forming Step 2) Next,
In the formation region of the p-channel MISFET Qp of the peripheral circuit, the interlayer insulating film 33 formed in the above process is anisotropically etched to form the sidewall spacer 33C as shown in FIG. The sidewall spacers 33C are formed on the sidewalls of the sidewall spacers 31 and are self-aligned with the gate electrodes 27. The sidewall spacer 33C is formed so as to increase the dimension of the sidewall spacer 31 of the p-channel MISFET Qp in the gate length direction. The total dimension of the sidewall spacers 31 and 33C in the gate length direction is about 200 [nm] as described above.

【0169】次に、前記スタックド構造の情報蓄積用容
量素子Cの上層電極層37上、nチャネルMISFET
Qn上、pチャネルMISFETQpの形成領域上の夫
々を含む基板全面に図示しない絶縁膜を形成する。この
絶縁膜は主に不純物導入の際の汚染防止膜として使用さ
れる。この絶縁膜は、例えば無機シランガス及び酸化窒
素ガスをソースガスとするCVD法で堆積させた酸化珪
素膜で形成し、約10[nm]程度の薄い膜厚で形成す
る。
Next, the n-channel MISFET is formed on the upper electrode layer 37 of the information storage capacitor C having the stacked structure.
An insulating film (not shown) is formed on the entire surface of the substrate including Qn and the p-channel MISFET Qp forming region. This insulating film is mainly used as a contamination prevention film when introducing impurities. This insulating film is formed of, for example, a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitric oxide gas as a source gas, and has a thin film thickness of about 10 nm.

【0170】次に、周辺回路のpチャネルMISFET
Qpの形成領域において、図42に示すように、p型不
純物39pを導入する。p型不純物39pの導入に際し
ては主にサイドウォールスペーサ31及び33Cを不純
物導入マスクとして用いる。また、pチャネルMISF
ETQpの形成領域以外の領域つまりメモリセルアレイ
11E、nチャネルMISFETQnの夫々の形成領域
はp型不純物39pの導入に際しては図示しない不純物
導入マスク(フォトレジスト膜)で覆われる。前記p型
不純物39pは、例えば1015[atoms/cm2]程度の不
純物濃度のBF2(又はB)を用い、50〜70[KeV]
程度のエネルギのイオン打込法で導入する。
Next, the p-channel MISFET of the peripheral circuit
In the Qp forming region, p-type impurity 39p is introduced as shown in FIG. When introducing the p-type impurity 39p, the sidewall spacers 31 and 33C are mainly used as an impurity introduction mask. Also, p-channel MISF
Regions other than the formation region of the ETQp, that is, the formation regions of the memory cell array 11E and the n-channel MISFET Qn are covered with an impurity introduction mask (photoresist film) not shown when introducing the p-type impurity 39p. As the p-type impurity 39p, for example, BF 2 (or B) having an impurity concentration of about 10 15 [atoms / cm 2 ] is used, and 50 to 70 [KeV].
It is introduced by an ion implantation method with a certain level of energy.

【0171】この後、熱処理を施し、前述のp型不純物
39pに引き伸し拡散を施し、p+型半導体領域39を
形成する。前記熱処理は例えば900〜1000[℃]程
度の高温度で20〜40[分]程度行う。前記p+型半導
体領域39を形成することにより、LDD構造のpチャ
ネルMISFETQpが完成する。このpチャネルMI
SFETQpは、サイドウォールスペーサ33Cでサイ
ドウォールスペーサ31のゲート長方向の寸法を増加
し、かつメモリセルMのスタックド構造の情報蓄積用容
量素子Cを形成する熱処理(例えば誘電体膜36)を施し
た後に形成されている。つまり、pチャネルMISFE
TQpは、p+型半導体領域39のチャネル形成領域側
への拡散を低減し、短チャネル効果を低減することがで
きる。
After that, heat treatment is performed to expand and diffuse the above-mentioned p-type impurity 39p to form a p + -type semiconductor region 39. The heat treatment is performed at a high temperature of about 900 to 1000 [° C.] for about 20 to 40 [min]. By forming the p + type semiconductor region 39, the p-channel MISFET Qp having the LDD structure is completed. This p-channel MI
The SFET Qp is subjected to a heat treatment (for example, the dielectric film 36) for increasing the size of the sidewall spacer 31 in the gate length direction with the sidewall spacer 33C and forming the stacked information storage capacitive element C of the memory cell M. Later formed. That is, p channel MISFE
TQp can reduce the diffusion of the p + type semiconductor region 39 toward the channel formation region side and reduce the short channel effect.

【0172】このように、(17−9)メモリセル選択用
MISFETQsとスタックド構造の情報蓄積用容量素
子Cとの直列回路で構成されるメモリセルM、周辺回路
を構成するLDD構造の相補型MISFETの夫々を有
する、DRAM1において、前記メモリセルMのメモリ
セル選択用MISFET、前記周辺回路のnチャネルM
ISFETQn、pチャネルMISFETQpの夫々の
ゲート絶縁膜26、ゲート電極27の夫々を順次形成す
る工程と、このゲート電極27に対して自己整合で、前
記メモリセル選択用MISFETQs、nチャネルMI
SFETQn、pチャネルMISFETQpの夫々のL
DD構造を形成する低不純物濃度のn型半導体領域2
9、p型半導体領域30の夫々を形成する工程と、この
メモリセル選択用MISFETQs、nチャネルMIS
FETQn、pチャネルMISFETQpの夫々のゲー
ト電極27の側壁にサイドウォールスペーサ31を形成
する工程と、このサイドウォールスペーサ31に対して
自己整合で、前記nチャネルMISFETQnの高不純
物濃度のn+型半導体領域32を形成する工程と、前記
メモリセルMのスタックド構造の情報蓄積用容量素子C
を形成する工程と、前記pチャネルMISFETQpの
ゲート電極27の側壁に前記サイドウォールスペーサ3
1を介在させて前記ゲート電極27に対して自己整合で
サイドウォールスペーサ33Cを形成する工程と、この
サイドウォールスペーサ33Cに対して自己整合で、前
記pチャネルMISFETQpの高不純物濃度のp+型
半導体領域39を形成する工程とを備える。この構成に
より、前記nチャネルMISFETQnは、単層のサイ
ドウォールスペーサ31でLDD構造を形成する低不純
物濃度のn型半導体領域29のゲート長方向の寸法を規
定しているので、前記n型半導体領域29のゲート長方
向の寸法を短くすることができ、前記pチャネルMIS
FETQpは、複数層のサイドウォールスペーサ31、
33Cで高不純物濃度のp+型半導体領域39のチャネ
ル形成領域側への回り込み量を規定し、かつ前記メモリ
セルMのスタックド構造の情報蓄積用容量素子Cを形成
する熱処理を施した後に高不純物濃度のp+型半導体領
域39を形成しているので、前記p+型半導体領域39
のチャネル形成領域側への回り込み量をより低減するこ
とができる。
As described above, (17-9) the memory cell M composed of the series circuit of the memory cell selection MISFET Qs and the information storage capacitor C of the stacked structure, and the complementary MISFET of the LDD structure which constitutes the peripheral circuit. In the DRAM 1, each of which includes a memory cell selection MISFET of the memory cell M and an n channel M of the peripheral circuit.
The steps of sequentially forming the gate insulating film 26 and the gate electrode 27 of the ISFET Qn and the p-channel MISFET Qp, respectively, and the memory cell selection MISFET Qs and the n-channel MI in self-alignment with the gate electrode 27.
L of each of SFETQn and p-channel MISFETQp
Low impurity concentration n-type semiconductor region 2 forming a DD structure
9, the step of forming each of the p-type semiconductor regions 30, the memory cell selecting MISFET Qs, and the n-channel MIS
The step of forming the side wall spacer 31 on the side wall of the gate electrode 27 of each of the FET Qn and the p channel MISFET Qp, and the n + type semiconductor region 32 of the high impurity concentration of the n channel MISFET Qn by self-alignment with the side wall spacer 31. And a stacked element information storage capacitive element C of the memory cell M.
And a sidewall spacer 3 on the sidewall of the gate electrode 27 of the p-channel MISFET Qp.
1 to form the side wall spacer 33C in self-alignment with the gate electrode 27, and the p + type semiconductor region of the high impurity concentration of the p-channel MISFET Qp in self-alignment with the side wall spacer 33C. Forming 39. With this configuration, the n-channel MISFET Qn defines the dimension in the gate length direction of the low-impurity-concentration n-type semiconductor region 29 forming the LDD structure with the single-layer sidewall spacer 31. The dimension of 29 in the gate length direction can be shortened, and the p-channel MIS
The FET Qp has a plurality of layers of sidewall spacers 31,
33C defines the amount of the high-impurity-concentration p + -type semiconductor region 39 sneaking into the channel formation region side, and the high-impurity-concentration is applied after the heat treatment for forming the stacked information storage capacitor C of the memory cell M. Since the p + type semiconductor region 39 is formed,
It is possible to further reduce the amount of sneak into the channel formation region side.

【0173】また、(18−10)前記nチャネルMIS
FETQnの高不純物濃度のn+型半導体領域32を形
成する工程後、前記メモリセルMのスタックド構造の情
報蓄積用容量素子Cを形成する工程の前には層間絶縁膜
33を形成する工程を備え、この層間絶縁膜33を形成
した後、前記サイドウォールスペーサ33Cを前記層間
絶縁膜33を利用して形成する。この構成により、前記
サイドウォールスペーサ33Cを形成する工程の一部
(膜堆積工程)を前記層間絶縁膜33を形成する工程で兼
用することができるので、この工程を兼用した分、DR
AM1の製造工程数を低減することができる。
(18-10) The n-channel MIS
After the step of forming the high impurity concentration n + type semiconductor region 32 of the FET Qn and before the step of forming the stacked information storage capacitor element C of the memory cell M, a step of forming an interlayer insulating film 33 is provided. After forming the interlayer insulating film 33, the sidewall spacers 33C are formed using the interlayer insulating film 33. With this configuration, part of the process of forming the sidewall spacer 33C
Since the (film deposition step) can be combined with the step of forming the interlayer insulating film 33, the DR film can be combined with this step.
The number of manufacturing steps of AM1 can be reduced.

【0174】(層間絶縁膜形成工程2)次に、前記DR
AM1の各素子上を含む基板全面に層間絶縁膜40を積
層する。この層間絶縁膜40は例えば無機シランガス及
び酸化窒素ガスをソースガスとするCVD法で堆積させ
た酸化珪素膜で形成する。この層間絶縁膜40は例えば
250〜350[nm]程度の膜厚で形成する。
(Interlayer insulating film forming step 2) Next, the DR
An interlayer insulating film 40 is laminated on the entire surface of the substrate including each element of AM1. The interlayer insulating film 40 is formed of, for example, a silicon oxide film deposited by a CVD method using an inorganic silane gas and a nitric oxide gas as source gases. The interlayer insulating film 40 is formed with a film thickness of about 250 to 350 [nm], for example.

【0175】次に、図43に示すように、メモリセルM
と相補性データ線(50)との接続部分において、前記層
間絶縁膜40に接続孔40Aを形成する。この接続孔4
0Aは例えば異方性エッチングで形成する。
Next, as shown in FIG. 43, the memory cell M
A connection hole 40A is formed in the interlayer insulating film 40 at a connection portion between the complementary data line 50 and the complementary data line 50. This connection hole 4
0A is formed by anisotropic etching, for example.

【0176】(ゲート配線形成工程4)次に、図44に
示すように、前記接続孔40Aを通してメモリセル選択
用MISFETQsの一方のn型半導体領域29と接続
し、層間絶縁膜40上を延在する相補性データ線(DL)
50を形成する。相補性データ線50は製造工程におけ
る第4層目のゲート配線形成工程で形成する。相補性デ
ータ線50は多結晶珪素膜50A、遷移金属シリサイド
膜50Bの夫々を順次積層した2層構造で構成されてい
る。下層の多結晶珪素膜50Aは、CVD法で堆積し、
例えば80〜120[nm]程度の膜厚で形成され。この
多結晶珪素膜50Aには堆積後にn型不純物例えばPを
熱酸化法により導入している。CVD法で堆積される多
結晶珪素膜50Aは、接続孔40Aの段差形状部分での
ステップカバレッジが高いので、相補性データ線50の
断線不良を低減することができる。
(Gate Wiring Forming Step 4) Next, as shown in FIG. 44, it is connected to one of the n-type semiconductor regions 29 of the memory cell selecting MISFET Qs through the connection hole 40A and extends over the interlayer insulating film 40. Complementary data line (DL)
Form 50. The complementary data line 50 is formed in the gate wiring forming process of the fourth layer in the manufacturing process. The complementary data line 50 has a two-layer structure in which a polycrystalline silicon film 50A and a transition metal silicide film 50B are sequentially stacked. The lower polycrystalline silicon film 50A is deposited by the CVD method,
For example, it is formed with a film thickness of about 80 to 120 [nm]. An n-type impurity such as P is introduced into the polycrystalline silicon film 50A by thermal oxidation after deposition. Since the polycrystalline silicon film 50A deposited by the CVD method has a high step coverage in the step-shaped portion of the connection hole 40A, disconnection defects of the complementary data line 50 can be reduced.

【0177】また、前記メモリセルMと相補性データ線
50との接続部分において、前記接続孔40Aと素子間
分離用絶縁膜23との製造工程におけるマスク合せずれ
により、素子間分離用絶縁膜23上に接続孔40Aの一
部がかかった場合、多結晶珪素膜50Aからp−型ウエ
ル領域22の主面部にn型不純物を拡散し、n型半導体
領域29と相補性データ線50とを接続できるので、相
補性データ線50とp−型ウエル領域22との短絡を防
止することができる。前記上層の遷移金属シリサイド膜
50Bは、例えばCVD法で堆積したWSi2膜で形成
し、100〜200[nm]程度の膜厚で形成する。この
上層の遷移金属シリサイド膜50Bは、主に相補性デー
タ線50Bの抵抗値を低減し、情報書込み動作、情報読
出し動作の夫々の速度を速くするために形成されてい
る。また、上層の遷移金属シリサイド膜50Bは、CV
D法で堆積されるので、相補性データ線50の断線不良
をより低減することができる。
Further, in the connection portion between the memory cell M and the complementary data line 50, due to the mask misalignment in the manufacturing process of the connection hole 40A and the element isolation insulating film 23, the element isolation insulating film 23 is formed. When a part of the connection hole 40A is formed above, the n-type impurity is diffused from the polycrystalline silicon film 50A to the main surface portion of the p-type well region 22 to connect the n-type semiconductor region 29 and the complementary data line 50. Therefore, short circuit between the complementary data line 50 and the p-type well region 22 can be prevented. The upper transition metal silicide film 50B is formed of, for example, a WSi 2 film deposited by a CVD method and has a film thickness of about 100 to 200 [nm]. The upper layer transition metal silicide film 50B is formed mainly for reducing the resistance value of the complementary data line 50B and increasing the speed of each of the information writing operation and the information reading operation. The upper transition metal silicide film 50B is CV
Since the deposition is performed by the D method, the disconnection failure of the complementary data line 50 can be further reduced.

【0178】前記相補性データ線50は、下層の多結晶
珪素膜50A、上層の遷移金属シリサイド膜50Bの夫
々を堆積後、例えば異方性エッチングで所定の形状にパ
ターンニングすることにより形成されている。
The complementary data line 50 is formed by depositing the lower polycrystalline silicon film 50A and the upper transition metal silicide film 50B, and then patterning them into a predetermined shape by, for example, anisotropic etching. There is.

【0179】(層間絶縁膜形成工程3)次に、前記相補
性データ線50上を含む基板全面に層間絶縁膜51を形
成する。層間絶縁膜51は酸化珪素膜51A、BPSG
膜51Bの夫々を順次積層した2層構造で構成されてい
る。下層の酸化珪素膜51Aは、例えば無機シランガス
及び酸化窒素ガスをソースガスとするCVD法で堆積さ
れ、100〜200[nm]程度の膜厚で形成される。下
層の酸化珪素膜51Aは上層のBPSG膜51Bの不純
物(P、Bの夫々)の漏れを防止するために形成されてい
る。上層のBPSG膜51Bは、例えばCVD法で堆積
され、250〜350[nm]程度の膜厚で形成されてい
る。このBPSG膜51には、窒素ガス雰囲気中におい
て、約800[℃]以上の温度でフローが施され、その表
面が平坦化されている。
(Interlayer insulating film forming step 3) Next, an interlayer insulating film 51 is formed on the entire surface of the substrate including the above-mentioned complementary data lines 50. The interlayer insulating film 51 is made of silicon oxide film 51A and BPSG.
The film 51B has a two-layer structure in which the films 51B are sequentially stacked. The lower silicon oxide film 51A is deposited by, for example, a CVD method using an inorganic silane gas and a nitric oxide gas as source gases, and is formed to have a film thickness of about 100 to 200 [nm]. The lower silicon oxide film 51A is formed to prevent leakage of impurities (P and B) from the upper BPSG film 51B. The upper BPSG film 51B is deposited by, for example, a CVD method and has a film thickness of about 250 to 350 [nm]. The BPSG film 51 is subjected to a flow at a temperature of about 800 [° C.] or higher in a nitrogen gas atmosphere to have its surface flattened.

【0180】次に、図45に示すように、前記層間絶縁
膜51に接続孔51Cを形成する。接続孔51Cは、D
RAM1の各素子のn+型半導体領域32上、p+型半
導体領域39上、図示しない配線50上、上層電極層3
7上等の上部の層間絶縁膜51を除去して形成する。接
続孔51Cは例えば異方性エッチングで形成する。
Next, as shown in FIG. 45, a connection hole 51C is formed in the interlayer insulating film 51. The connection hole 51C is D
On the n + type semiconductor region 32, the p + type semiconductor region 39, the wiring 50 not shown, and the upper electrode layer 3 of each element of the RAM 1.
7 is formed by removing the upper interlayer insulating film 51 such as above. The connection hole 51C is formed by anisotropic etching, for example.

【0181】また、前記pチャネルMISFETQpの
形成領域において、p+型半導体領域39は、p型不純
物の拡散係数が大きいので、表面の不純物濃度がn+型
半導体領域32に比べて薄くなる。また、p+型半導体
領域32は、前記接続孔51Cを形成する際のオーバー
エッチングにより表面の不純物濃度の高い領域がエッチ
ングされ、表面の不純物濃度がさらに低くなる。また、
p+型半導体領域39は、それに接続される配線52を
遷移金属膜(W膜)で形成しているので、n+型半導体領
域32に比べて仕事関数差が大きくなる。そこで、pチ
ャネルMISFETQpは、前記接続孔51Cで規定さ
れた領域内において、p+型半導体領域39の表面にp
型不純物を導入し、p+型半導体領域39の表面の不純
物濃度を高くしてもよい。この構成により、pチャネル
MISFETQpのp+型半導体領域39と配線(52)
との接続抵抗値を低減することができる。
Further, in the formation region of the p-channel MISFET Qp, the p + type semiconductor region 39 has a large diffusion coefficient of the p type impurity, so that the impurity concentration on the surface becomes thinner than that of the n + type semiconductor region 32. Further, in the p + type semiconductor region 32, a region having a high impurity concentration on the surface is etched by over-etching when forming the connection hole 51C, and the impurity concentration on the surface is further lowered. Also,
Since the wiring 52 connected to the p + type semiconductor region 39 is formed of a transition metal film (W film), the work function difference becomes larger than that of the n + type semiconductor region 32. Therefore, the p-channel MISFET Qp is formed on the surface of the p + type semiconductor region 39 in the region defined by the connection hole 51C.
A type impurity may be introduced to increase the impurity concentration on the surface of the p + type semiconductor region 39. With this structure, the p + type semiconductor region 39 of the p channel MISFET Qp and the wiring (52)
The connection resistance value with can be reduced.

【0182】(配線形成工程1)次に、図46に示すよ
うに、前記接続孔51Cを通してn+型半導体領域3
2、p+型半導体領域39等と接続するように、層間絶
縁膜51上に配線(カラムセレクト信号線も含む)52
を形成する。配線52は、スパッタ法で堆積した遷移金
属膜例えばW膜で形成し、例えば350〜450[nm]
程度の膜厚で形成する。配線52は、層間絶縁膜51の
全表面に堆積後、例えば異方性エッチングで所定の形状
にパターンニングすることにより形成することができ
る。
(Wiring Forming Step 1) Next, as shown in FIG. 46, the n + type semiconductor region 3 is formed through the connection hole 51C.
2, a wiring (including a column select signal line) 52 on the interlayer insulating film 51 so as to be connected to the p + type semiconductor region 39 and the like.
To form. The wiring 52 is formed of a transition metal film such as a W film deposited by a sputtering method, and is, for example, 350 to 450 [nm].
It is formed with a film thickness of about. The wiring 52 can be formed by depositing on the entire surface of the interlayer insulating film 51 and then patterning it into a predetermined shape by, for example, anisotropic etching.

【0183】(層間絶縁膜形成工程4)次に、図47に
示すように、前記配線52上を含む基板全面に層間絶縁
膜53を形成する。層間絶縁膜53は酸化珪素膜(堆積
型絶縁膜)53A、酸化珪素膜(塗布型絶縁膜)53
B、酸化珪素膜(堆積型絶縁膜)53Cの夫々を順次積
層した3層構造で構成されている。下層の酸化珪素膜5
3Aは、テトラエポキシシランガスをソースガスとする
C−CVD法で堆積し、250〜350[nm]程度の膜
厚で形成する。中層の酸化珪素膜53Bは層間絶縁膜5
3の表面を平坦化するために形成されている。酸化珪素
膜53Bは、SOG法で数回(2〜5回)程度塗布し(合
計100〜150[nm]程度の膜厚に塗布)、この後ベ
ーク処理(約450[℃])を施し、表面をエッチングで後
退させることにより形成されている。
(Interlayer Insulating Film Forming Step 4) Next, as shown in FIG. 47, an interlayer insulating film 53 is formed on the entire surface of the substrate including the wiring 52. The interlayer insulating film 53 includes a silicon oxide film (deposition type insulating film) 53A and a silicon oxide film (coating type insulating film) 53.
B, a silicon oxide film (deposition type insulating film) 53C are sequentially laminated to form a three-layer structure. Lower layer silicon oxide film 5
3A is deposited by a C-CVD method using tetraepoxysilane gas as a source gas, and is formed with a film thickness of about 250 to 350 [nm]. The intermediate silicon oxide film 53B is the interlayer insulating film 5
It is formed to flatten the surface of No. 3. The silicon oxide film 53B is applied several times (2 to 5 times) by the SOG method (applied to a total film thickness of about 100 to 150 [nm]), and then baked (about 450 [° C.]). It is formed by retreating the surface by etching.

【0184】前記エッチングによる後退により、酸化珪
素膜53Bは下層の酸化珪素膜53Aの表面の段差形状
のうち凹部のみに形成される。また、層間絶縁膜53の
中層は前記酸化珪素膜53Bに変えて有機物膜例えばポ
リイミド系樹脂膜で形成してもよい。上層の酸化珪素膜
53Cは、層間絶縁膜53全体としての膜の強度を高め
るために、例えばテトラエポキシシランガスをソースガ
スとするC−CVD法で堆積し、250〜350[nm]
程度の膜厚で形成する。
Due to the receding by the etching, the silicon oxide film 53B is formed only in the concave portion of the step shape on the surface of the lower silicon oxide film 53A. Further, the middle layer of the interlayer insulating film 53 may be formed of an organic film such as a polyimide resin film instead of the silicon oxide film 53B. The upper silicon oxide film 53C is deposited by, for example, a C-CVD method using tetraepoxysilane gas as a source gas in order to increase the strength of the interlayer insulating film 53 as a whole, and is 250 to 350 [nm].
It is formed with a film thickness of about.

【0185】次に、前記層間絶縁膜の所定の配線53上
を除去し、接続孔53Dを形成する。接続孔53Dは例
えば異方性エッチングで形成する。
Next, the predetermined wiring 53 of the interlayer insulating film is removed to form a connection hole 53D. The connection hole 53D is formed by anisotropic etching, for example.

【0186】次に、前記接続孔53D内に露出する配線
52の表面上に遷移金属膜54を積層する(埋込む)。遷
移金属膜54は、選択CVD法で堆積した例えばW膜で
形成し、600〜800[nm]程度の膜厚で形成する。
このW膜の反応生成式は以下のとおりである。
Next, the transition metal film 54 is laminated (embedded) on the surface of the wiring 52 exposed in the connection hole 53D. The transition metal film 54 is formed of, for example, a W film deposited by the selective CVD method, and has a film thickness of about 600 to 800 [nm].
The reaction generation formula of this W film is as follows.

【0187】[0187]

【数1】 [Equation 1]

【0188】(配線形成工程2)次に、図49に示すよ
うに、前記接続孔53D内に埋込まれた遷移金属膜54
と接続するように層間絶縁膜53上に配線(シャント用
ワード線も含む)55を形成する。配線55は遷移金属
窒化膜(又は遷移金属膜)55A、アルミニウム合金膜
55Bの夫々を順次積層した2層構造で構成されてい
る。下層の遷移金属窒化膜55Aは、例えばスパッタ法
で堆積したTiN膜で形成し、130〜180[nm]程
度の膜厚で形成される。この遷移金属窒化膜55Aは、
前述のように前記接続孔53D部分において、Siの析
出現象やWとアルミニウムとの合金化反応を防止するよ
うに構成されている。上層のアルミニウム合金膜55B
は、例えばスパッタ法で堆積し、600〜800[nm]
程度の膜厚で形成する。配線55は、下層の遷移金属シ
リサイド膜55A、上層のアルミニウム合金膜55Bの
夫々を順次積層した後、例えば異方性エッチングで所定
の形状にパターンニングすることにより形成することが
できる。
(Wiring Forming Step 2) Next, as shown in FIG. 49, the transition metal film 54 embedded in the connection hole 53D.
A wiring (including a shunt word line) 55 is formed on the interlayer insulating film 53 so as to be connected to. The wiring 55 has a two-layer structure in which a transition metal nitride film (or a transition metal film) 55A and an aluminum alloy film 55B are sequentially stacked. The lower transition metal nitride film 55A is formed of, for example, a TiN film deposited by a sputtering method, and has a film thickness of about 130 to 180 [nm]. This transition metal nitride film 55A is
As described above, the connection hole 53D is configured to prevent the precipitation phenomenon of Si and the alloying reaction between W and aluminum. Upper layer aluminum alloy film 55B
Is deposited by, for example, a sputtering method, and is 600 to 800 [nm].
It is formed with a film thickness of about. The wiring 55 can be formed by sequentially stacking the lower layer transition metal silicide film 55A and the upper layer aluminum alloy film 55B, and then patterning them into a predetermined shape by, for example, anisotropic etching.

【0189】(パッシベーション膜形成工程)次に、前
記図1に示すように、前記配線55上を含む基板全面に
パッシベーション膜56を形成する。パッシベーション
膜56は、前述のように、酸化珪素膜56A、窒化珪素
膜56Bの夫々を順次積層した複合膜で形成されてい
る。下層の酸化珪素膜56Aは前述のようにテトラエポ
キシシランガスをソースガスとするC−CVD法で堆積
する。上層の窒化珪素膜56BはプラズマCVD法で堆
積する。
(Passivation Film Forming Step) Next, as shown in FIG. 1, a passivation film 56 is formed on the entire surface of the substrate including the wiring 55. As described above, the passivation film 56 is formed of a composite film in which the silicon oxide film 56A and the silicon nitride film 56B are sequentially stacked. The lower silicon oxide film 56A is deposited by the C-CVD method using the tetraepoxysilane gas as the source gas as described above. The upper silicon nitride film 56B is deposited by the plasma CVD method.

【0190】なお、図1には図示していないが、パッシ
ベーション膜56の上層には樹脂膜が塗布されている。
この樹脂膜はα線ソフトエラー耐圧を向上するために形
成されている。この樹脂膜は、例えばポッティング技術
(樹脂の滴下塗布工程、ベーク処理工程及びパターンニ
ング工程を含む)で塗布したポリイミド系樹脂膜を使用
し、8〜12[μm]程度の膜厚で形成されている。樹脂
膜は、基本的には外部端子に相当する位置を開口し、こ
の領域を除くDRAM1の全面に塗布される。
Although not shown in FIG. 1, a resin film is applied to the upper layer of the passivation film 56.
This resin film is formed in order to improve the α-ray soft error withstand voltage. This resin film is, for example, a polyimide resin film applied by a potting technique (including a resin dropping application process, a baking process, and a patterning process), and is formed to have a film thickness of about 8 to 12 [μm]. There is. The resin film basically has openings at positions corresponding to the external terminals, and is applied to the entire surface of the DRAM 1 except this region.

【0191】また、この樹脂膜は、DRAM1の表面上
において複数に分割した形状で配置してもよい。つま
り、樹脂膜は、DRAM1のα線ソフトエラー耐圧を確
保したい領域例えばメモリセルアレイ11E、直接周辺
回路の一部(12及び13)の夫々には配置し、関接周辺
回路、直接周辺回路の他部には配置せず、この領域を分
割領域として使用する。このように、樹脂膜を分割する
ことにより、樹脂膜のストレスを低減し、パッシベーシ
ョン膜の割れ等を防止することができる。
Further, this resin film may be arranged in a plurality of divided shapes on the surface of the DRAM 1. That is, the resin film is arranged in each of the regions where the α-ray soft error withstand voltage of the DRAM 1 is desired to be secured, for example, the memory cell array 11E and a part (12 and 13) of the direct peripheral circuit. This area is used as a divided area without being placed in a section. By thus dividing the resin film, the stress of the resin film can be reduced, and cracks and the like of the passivation film can be prevented.

【0192】(ヒューズ開口工程)また、前記DRAM
1には欠陥相補性データ線(DL)50、欠陥ワード線
(WL)27(又はシャント用ワード線55)の夫々を救
済するY系冗長回路1812、X系冗長回路1806の
夫々が配置されている。このY系冗長回路1812は、
欠陥相補性データ線50から冗長用相補性データ線50
への切換をヒューズ素子Fを切断するか否かで行ってい
る。同様に、X系冗長回路1806は、欠陥ワード線2
7から冗長用ワード線27への切換をヒューズ素子Fを
切断するか否かで行っている。
(Fuse Opening Step) Further, the DRAM
Defect complementary data line (DL) 50, defective word line 1
A Y-system redundant circuit 1812 and an X-system redundant circuit 1806 for relieving each of the (WL) 27 (or the shunt word line 55) are arranged. This Y-system redundant circuit 1812 is
Defect complementary data line 50 to redundant complementary data line 50
The switching to is performed depending on whether or not the fuse element F is cut. Similarly, the X-system redundancy circuit 1806 operates on the defective word line 2
Switching from 7 to the redundant word line 27 is performed depending on whether or not the fuse element F is cut.

【0193】前記ヒューズ素子Fは、図50(要部断面
図)に示すように、相補性データ線50及び配線50と
同一導電層で形成されている。本実施例のDRAM1は
レーザ切断方式を採用しているので、ヒューズ素子50
はレーザ光で切断している。ヒューズ素子50は厚い膜
厚のパッシベーション膜56が存在すると切断が不安定
になるので、ヒューズ素子50の上部にはパッシベーシ
ョン膜56に形成されたヒューズ開口56Cが設けられ
ている。このヒューズ開口56Cの開口の際に使用する
エッチングガスはヒューズ素子50をエッチングするエ
ッチングガスでもあるので、ヒューズ素子50上には層
間絶縁膜51及び層間絶縁膜53の適度な膜厚(800
[nm]以下の膜厚)の絶縁膜を残存させている。ヒュー
ズ素子50の下層の導電層例えばスタックド構造の情報
蓄積用容量素子Cの上層電極層37と同一導電層は膜厚
が薄いので抵抗値が高くなりヒューズ素子Fとしては好
ましくない。また、下層電極層35、ゲート電極27の
夫々と同一導電層はその上層に多くの層数の絶縁膜が存
在するので、ヒューズ開口を形成する工程が多くなりか
つ複雑になる。また、ヒューズ素子50の上層の配線5
2、55の夫々と同一導電層はレーザ光を反射する性質
があるので、ヒューズ素子Fとしては好ましくない。
The fuse element F is formed of the same conductive layer as the complementary data line 50 and the wiring 50, as shown in FIG. Since the DRAM 1 of this embodiment adopts the laser cutting method, the fuse element 50
Is cut by laser light. Since the fuse element 50 becomes unstable in cutting when the passivation film 56 having a large film thickness is present, the fuse opening 56C formed in the passivation film 56 is provided above the fuse element 50. Since the etching gas used for opening the fuse opening 56C is also the etching gas for etching the fuse element 50, an appropriate film thickness of the interlayer insulating film 51 and the interlayer insulating film 53 (800) is formed on the fuse element 50.
An insulating film having a thickness of [nm] or less is left. The lower conductive layer of the fuse element 50, for example, the same conductive layer as the upper electrode layer 37 of the information storage capacitive element C having a stacked structure, has a small film thickness and thus has a high resistance value, which is not preferable as the fuse element F. Further, since the same conductive layer as each of the lower electrode layer 35 and the gate electrode 27 has a large number of insulating films above it, the number of steps for forming the fuse opening becomes large and complicated. In addition, the wiring 5 in the upper layer of the fuse element 50
Since the same conductive layers as 2, 55 have a property of reflecting laser light, they are not preferable as the fuse element F.

【0194】このヒューズ素子50及びヒューズ開口5
6Cの形成方法について、図51乃至図53(各製造工
程毎に示す要部断面図)を用いて簡単に説明する。
This fuse element 50 and fuse opening 5
A method of forming 6C will be briefly described with reference to FIGS. 51 to 53 (sectional views of the essential part shown in each manufacturing step).

【0195】まず、図51に示すように、層間絶縁膜4
0のヒューズ素子Fの形成領域上に相補性データ線50
と同一製造工程でヒューズ素子50を形成する。
First, as shown in FIG. 51, the interlayer insulating film 4 is formed.
The complementary data line 50 is formed on the formation region of the fuse element F of 0.
The fuse element 50 is formed in the same manufacturing process as described above.

【0196】次に、層間絶縁膜51(51A及び51B)
を形成し、この後、図52に示すように、配線52を形
成する。同図52に示すように、ヒューズ素子50上に
は配線52は存在しない。
Next, the interlayer insulating film 51 (51A and 51B)
And then, the wiring 52 is formed as shown in FIG. As shown in FIG. 52, the wiring 52 does not exist on the fuse element 50.

【0197】次に、層間絶縁膜53(53A、53B及
び53C)を形成し、この後、図53に示すように、配
線55を形成する。前記ヒューズ素子50上には配線5
5は存在しない。
Next, the interlayer insulating film 53 (53A, 53B and 53C) is formed, and thereafter, the wiring 55 is formed as shown in FIG. Wiring 5 is provided on the fuse element 50.
5 does not exist.

【0198】次に、パッシベーション膜56を形成し、
前記図50に示すように、ヒューズ素子50上のパッシ
ベーション膜56にヒューズ開口56Cを形成する。こ
のヒューズ開口56Cは、説明していないが、パッシベ
ーション膜56の外部端子BPが存在する(ボンディン
グ)部分を開口する工程と同一製造工程で形成すること
ができる。
Next, a passivation film 56 is formed,
As shown in FIG. 50, a fuse opening 56C is formed in the passivation film 56 on the fuse element 50. Although not described, the fuse opening 56C can be formed in the same manufacturing process as the process of opening the (bonding) portion of the passivation film 56 where the external terminal BP exists.

【0199】このように、(38−23)相補性データ線
50とワード線27との交差部にメモリセル選択用MI
SFETQsとスタックド構造の情報蓄積用容量素子C
との直列回路で形成されたメモリセルMを配置し、前記
相補性データ線50又はワード線27のうち欠陥相補性
データ線50又は欠陥ワード線27を救済するレーザ切
断用冗長ヒューズ素子50を有する、DRAM1におい
て、前記相補性データ線50をCVD法で堆積した多結
晶珪素膜50A、遷移金属シリサイド膜50Bの夫々を
順次積層した複合膜で構成し、前記レーザ切断用冗長ヒ
ューズ素子50を前記相補性データ線50と同一導電層
で構成する。
Thus, the memory cell selection MI is provided at the intersection of the (38-23) complementary data line 50 and the word line 27.
SFETQs and a stacked structure information storage capacitor C
A memory cell M formed by a series circuit of the above and a redundant fuse element for laser cutting 50 for repairing the defective complementary data line 50 or the defective word line 27 of the complementary data line 50 or the word line 27. In the DRAM 1, the complementary data line 50 is composed of a composite film in which a polycrystalline silicon film 50A deposited by a CVD method and a transition metal silicide film 50B are sequentially laminated, and the redundant fuse element 50 for laser cutting is complemented. The same conductive layer as the characteristic data line 50 is used.

【0200】この構成により、前記相補性データ線50
は前記メモリセルMのメモリセル選択用MISFETQ
s及びスタックド構造の情報蓄積用容量素子Cよりも上
層で形成されているので、前記レーザ切断用冗長ヒュー
ズ素子50の上層の絶縁膜の層数が低減され、このレー
ザ切断用冗長ヒューズ素子50の上層の絶縁膜の開口プ
ロセスを簡単化することができると共に、前記多結晶珪
素膜50A及び遷移金属シリサイド膜50Bで形成され
た複合膜はレーザ光の吸収率が相補性データ線50上に
形成される配線52、55の夫々に比べて高いので、前
記レーザ切断用冗長ヒューズ素子50の切断を簡単にか
つ確実に行うことができる。
With this configuration, the complementary data line 50 is
Is a MISFET Q for selecting a memory cell of the memory cell M
s and the stacked information storage capacitive element C, the number of layers of insulating films in the upper layer of the laser cutting redundant fuse element 50 is reduced, so that the laser cutting redundant fuse element 50 is formed. The opening process of the upper insulating film can be simplified, and the composite film formed of the polycrystalline silicon film 50A and the transition metal silicide film 50B has a laser beam absorptivity formed on the complementary data line 50. Since it is higher than the wirings 52 and 55, respectively, the redundant fuse element 50 for laser cutting can be easily and reliably cut.

【0201】前記パッシベーション膜56及びそれに開
口を形成する、これら一連の工程を施すことにより、本
実施例のDRAM1は完成する。
The DRAM 1 of this embodiment is completed by carrying out the series of steps of forming the passivation film 56 and the openings therein.

【0202】次に、前述のDRAM1の製造プロセスに
おいて、個々の要部の製造工程について、詳細に説明す
る。
Next, in the manufacturing process of the DRAM 1 described above, the manufacturing process of each main part will be described in detail.

【0203】(配線・接続孔の形成工程)前述のDRA
M1の製造方法において、相補性データ線(DL)50、
配線52、配線55、接続孔40A、51C、53Dの
夫々は基本的には多層レジストマスクを使用したフォト
リソグラフィ技術で加工する。この多層レジストマスク
は非感光性樹脂膜(ポリイミド系樹脂膜等の有機膜)、
中間膜(SOG法で塗布した酸化珪素膜等の無機膜)、
感光性樹脂膜の夫々を順次積層した例えば3層構造で形
成される。
(Step of forming wiring / connection hole) DRA described above
In the method of manufacturing M1, the complementary data line (DL) 50,
Each of the wiring 52, the wiring 55, and the connection holes 40A, 51C, and 53D is basically processed by a photolithography technique using a multilayer resist mask. This multilayer resist mask is a non-photosensitive resin film (organic film such as polyimide resin film),
Intermediate film (inorganic film such as silicon oxide film applied by SOG method),
The photosensitive resin film is formed, for example, in a three-layer structure in which the photosensitive resin films are sequentially laminated.

【0204】前記多層レジストマスクは、多層構造によ
り成長する段差形状を主に下層膜及び中間膜で緩和し、
上層の感光性樹脂膜の加工精度さらに被エッチング材の
加工精度を向上する目的で使用する。多層レジストマス
クは、次の方法により形成される。
The multilayer resist mask alleviates the step shape grown by the multilayer structure mainly in the lower layer film and the intermediate film,
It is used for the purpose of improving the processing accuracy of the upper photosensitive resin film and the processing accuracy of the material to be etched. The multilayer resist mask is formed by the following method.

【0205】まず、被エッチング材(例えば前記相補性
データ線50等)の表面上に、非感光性樹脂膜、中間
膜、感光性樹脂膜の夫々を順次積層し、多層レジスト膜
を形成する。
First, a non-photosensitive resin film, an intermediate film, and a photosensitive resin film are sequentially laminated on the surface of a material to be etched (for example, the complementary data line 50, etc.) to form a multilayer resist film.

【0206】次に、多層レジスト膜の上層の感光性樹脂
膜を通常の露光処理及び現象処理により加工し、エッチ
ングマスクを形成する。
Next, the photosensitive resin film as the upper layer of the multilayer resist film is processed by ordinary exposure processing and phenomenon processing to form an etching mask.

【0207】次に、前記エッチングマスクを使用し、多
層レジスト膜の中間膜、非感光性樹脂膜の夫々を順次異
方性エッチングでパターンニングし、多層レジストマス
クを形成する。このパターンニングのうち、下層の非感
光性樹脂膜は酸素(O2)ガス及びハロゲン(Cl2,Br2
等)ガスを使用した異方性エッチング技術でパターンニ
ングする。エッチング装置としては、例えば反応性イオ
ンエッチング(RIE)装置、マグネトロン型RIE装置
又はμ波ECR装置を使用する。エッチング圧力は例え
ば1〜10[mtoor]程度、高周波出力は0.25〜30
[W/cm2]程度を使用する。また、前記異方性エッチ
ングで使用するハロゲンガスは、真空チャンバ内に固体
例えば塩化ビニールを載置し、この塩化ビニールのアウ
トガスとしてのハロゲンガス(ハロゲン化合物が同時に
発生する)を使用するのではなく、真空チャンバの外部
からその内部に供給する。
Next, using the etching mask, the intermediate film of the multilayer resist film and the non-photosensitive resin film are sequentially patterned by anisotropic etching to form a multilayer resist mask. In this patterning, the lower non-photosensitive resin film is oxygen (O 2 ) gas and halogen (Cl 2 , Br 2).
Etc.) patterning by anisotropic etching technique using gas. As the etching device, for example, a reactive ion etching (RIE) device, a magnetron type RIE device or a μ wave ECR device is used. The etching pressure is, for example, about 1 to 10 [mtoor], and the high frequency output is 0.25 to 30.
Use about [W / cm 2 ]. Further, the halogen gas used in the anisotropic etching does not use solid halogen such as vinyl chloride placed in a vacuum chamber and use halogen gas as an outgas of this vinyl chloride (a halogen compound is simultaneously generated). , To the inside of the vacuum chamber from the outside.

【0208】前記酸素ガス及びハロゲンガスの異方性エ
ッチングガスは、下層の非感光性樹脂膜を酸素ガスによ
りエッチングするとカルボン酸を生成し、このカルボン
酸にハロゲンガスを添加するとより蒸気圧の低い酸塩化
物が生成されるので、生成ガスの抜けが良好となり、下
層の非感光性樹脂膜のサイドエッチング量を低減するこ
とができる。
The anisotropic etching gas of oxygen gas and halogen gas produces carboxylic acid when the lower non-photosensitive resin film is etched with oxygen gas, and has a lower vapor pressure when halogen gas is added to this carboxylic acid. Since the acid chloride is generated, the generated gas escapes favorably and the side etching amount of the lower non-photosensitive resin film can be reduced.

【0209】このように、多層レジスト膜を3層構造で
形成し、このうち下層の非感光性樹脂膜を酸素ガス及び
ハロゲンガスを使用した異方性エッチングでパターンニ
ングする。この構成により、前記異方性エッチングガス
にハロゲンガスを使用しているので、下層の非感光性樹
脂膜のサイドエッチング量を低減し、加工精度を向上す
ることができると共に、異方性エッチングガスとしてハ
ロゲン化合物(CF4,CCl4)を使用しないので、下層
の非感光性樹脂膜のパターンニングされた側面への有機
物の付着を防止することができる。この有機物の付着の
防止は、その除去工程を低減することができ、又エッチ
ング装置の真空チャンバ内壁の汚染を低減することがで
きる。また、前記真空チャンバ内壁に付着する汚染を低
減し、製造工程中の半導体ウエーハ表面に前記内壁から
落ちた有機物が再付着することを低減することができる
ので、製造上の歩留りを向上することができる。
Thus, the multilayer resist film is formed in a three-layer structure, and the lower non-photosensitive resin film among them is patterned by anisotropic etching using oxygen gas and halogen gas. With this configuration, since halogen gas is used as the anisotropic etching gas, the side etching amount of the lower non-photosensitive resin film can be reduced and the processing accuracy can be improved. Since halogen compounds (CF 4 , CCl 4 ) are not used as the organic compound, it is possible to prevent organic substances from adhering to the patterned side surface of the lower non-photosensitive resin film. The prevention of the adhesion of the organic substances can reduce the removal process and also reduce the contamination of the inner wall of the vacuum chamber of the etching apparatus. Further, it is possible to reduce the contamination attached to the inner wall of the vacuum chamber, and to reduce the reattachment of the organic substances dropped from the inner wall to the surface of the semiconductor wafer during the manufacturing process, thus improving the manufacturing yield. it can.

【0210】また、異方性エッチングガスとしてハロゲ
ン化合物特に炭素(C)を使用していないので、異方性エ
ッチング速度を速くすることができる。
Since no halogen compound, especially carbon (C), is used as the anisotropic etching gas, the anisotropic etching rate can be increased.

【0211】また、前記異方性エッチングは、固体のア
ウトガスとしてのハロゲンガスを使用せずに、真空チャ
ンバの外部から純粋のハロゲンガスを使用するので、前
述と同様の効果を奏することができる。
Further, since the anisotropic etching uses the pure halogen gas from the outside of the vacuum chamber without using the halogen gas as the solid outgas, the same effect as described above can be obtained.

【0212】(配線形成工程1)前述のDRAM1の製
造方法において、配線52つまりW膜の加工は低温異方
性エッチングを採用することにより加工精度を向上する
ことができる。
(Wiring Forming Step 1) In the manufacturing method of the DRAM 1 described above, the processing accuracy of the wiring 52, that is, the W film can be improved by adopting low temperature anisotropic etching.

【0213】前記配線52を加工する異方性エッチング
はRIE装置等の真空チャンバ内において行われてい
る。真空チャンバ内は通常10~2〜10~3[torr]程度の
範囲の真空度に保持され、この状態において異方性エッ
チングが行われている。図54(六フッ化タングステン
WF6の温度と蒸気圧との関係を示す図)に示すよう
に、WF6は約−40[℃]以下の低温度において前記真
空チャンバ内の真空度に対する蒸気圧が0[mtorr]又は
それに近くなる。つまり、前記配線52は、前記低温度
領域において異方性エッチングを施すことにより、加工
された側壁にイオンが衝突しないので気化せず、加工中
の底面にイオンが衝突して気化するので、エッチングの
異方性を向上することができる。この結果、配線52の
加工精度を向上することができる。
Anisotropic etching for processing the wiring 52 is performed in a vacuum chamber such as an RIE device. The inside of the vacuum chamber is usually maintained at a vacuum degree in the range of 10 2 to 10 3 [torr], and anisotropic etching is performed in this state. As shown in FIG. 54 (a diagram showing the relationship between the temperature and vapor pressure of tungsten hexafluoride WF 6 ), WF 6 has a vapor pressure with respect to the degree of vacuum in the vacuum chamber at a low temperature of about −40 [° C.] or less. Becomes 0 [mtorr] or close to it. That is, by performing anisotropic etching in the low temperature region, the wiring 52 is not vaporized because the ions do not collide with the processed side wall, and the ions collide with the bottom surface during processing and are vaporized. The anisotropy of can be improved. As a result, the processing accuracy of the wiring 52 can be improved.

【0214】(接続孔形成工程)前述のDRAM1の製
造方法において、前記接続孔51C(又は53D)の夫々
は、マグネトロンRIE装置又はμ波ECR装置を使用
し、テーパ状に形成することができる。
(Connecting Hole Forming Step) In the manufacturing method of the DRAM 1 described above, each of the connecting holes 51C (or 53D) can be formed in a tapered shape by using a magnetron RIE device or a μ wave ECR device.

【0215】前記接続孔51Cは、エッチング条件のう
ちエッチング圧力、エッチングガス流量又は高周波出力
を制御することにより、テーパ角度(接続孔の段差角
度)を制御することができる。エッチング性能を損なわ
ずに、前記テーパ角度を制御するには、エッチング圧力
又はエッチングガス流量を制御することが望しい。異方
性エッチングのエッチング速度はイオン電流と平均イオ
ンエネルギとの積で決定され、イオン電流が一定の場
合、前記テーパ角度は平均イオンエネルギで決定され
る。一方、前記イオン電流は高周波出力に比例し、高周
波出力が一定の場合、半導体ウエーハ(電極)とプラズマ
との間の電圧Vdcに反比例する傾向にある。
The connecting hole 51C can control the taper angle (the step difference angle of the connecting hole) by controlling the etching pressure, the etching gas flow rate or the high frequency output among the etching conditions. In order to control the taper angle without impairing the etching performance, it is desirable to control the etching pressure or the etching gas flow rate. The etching rate of anisotropic etching is determined by the product of ion current and average ion energy, and when the ion current is constant, the taper angle is determined by average ion energy. On the other hand, the ion current is proportional to the high frequency output, and when the high frequency output is constant, it tends to be inversely proportional to the voltage Vdc between the semiconductor wafer (electrode) and the plasma.

【0216】図55(A)にエッチング圧力とエネルギ
との関係を示すように、RIE装置を使用した異方性エ
ッチングは、エッチング圧力に対する安定放電領域が狭
く、電圧Vdcの変化も急峻であり、しかも平均イオンエ
ネルギの変化も急激である。つまり、テーパ角度の制御
性が悪い。
As shown in the relationship between etching pressure and energy in FIG. 55 (A), anisotropic etching using the RIE apparatus has a narrow stable discharge region with respect to etching pressure and a sharp change in voltage Vdc. Moreover, the change in average ion energy is rapid. That is, the controllability of the taper angle is poor.

【0217】これに対して、図55(B)に同様にエッ
チング圧力とエネルギとの関係を示すように、マグネト
ロンRIE装置(又はμ波ECR装置)を使用した異方性
エッチングは、1〜2桁程度イオン量が多いので、エッ
チング圧力に対する安定放電領域が広くなる。したがっ
て、図55(C)にイオンエネルギとエッチング速度と
の関係、図55(D)にイオンエネルギとテーパ角度と
の関係の夫々を示すように、テーパ角度の制御性は高く
なる。段差部のエッチング速度は平坦部のイオンエネル
ギのcosθ倍に相当するイオンエネルギで決定するエ
ッチング速度となる。これは、テーパ角度θの段差部の
イオン電流密度は平坦部のイオン電流密度のcosθ倍
に相当する。なお、テーパ角度θが90度に近づくにし
たがって接続孔の段差部は急峻になり、テーパ角θが0
度に近づくにしたがって段差部は緩和されてくる。
On the other hand, as shown in the relationship between etching pressure and energy in FIG. 55 (B), anisotropic etching using a magnetron RIE device (or μ-wave ECR device) is 1-2. Since the amount of ions is large in the order of magnitude, the stable discharge region with respect to the etching pressure becomes wide. Therefore, as shown in FIG. 55 (C) showing the relationship between the ion energy and the etching rate, and FIG. 55 (D) showing the relationship between the ion energy and the taper angle, the controllability of the taper angle becomes high. The etching rate of the step portion is the etching rate determined by the ion energy corresponding to cos θ times the ion energy of the flat portion. This is because the ion current density in the step portion having the taper angle θ corresponds to cos θ times the ion current density in the flat portion. As the taper angle θ approaches 90 degrees, the stepped portion of the connection hole becomes steeper and the taper angle θ becomes 0.
The level difference is alleviated as it approaches.

【0218】このように、マグネトロンRIE装置(又
はμ波ECR装置)を使用した異方性エッチングで接続
孔51Cを形成することにより、エッチング圧力に対す
る安定放電領域を広くし、電圧Vdcの変化、平均イオン
エネルギの変化の夫々を低減することができるので、エ
ッチング性能を損なわずにテーパ角度の制御性を向上す
ることができる。つまり、図55(D)に示すように、
テーパ角度は60〜80度にばらつくことなく簡単に形
成することができる。この結果、接続孔51Cにテーパ
形状を形成することができるので、接続孔51Cの段差
部において、配線52の断線不良を低減することができ
る。なお、接続孔53Dは、本実施の形態では遷移金属
膜54を埋込んでいるので問題はないが、それを埋込ま
ない場合は同様にテーパ形状を設ける。
As described above, by forming the connection hole 51C by anisotropic etching using the magnetron RIE device (or μ-wave ECR device), the stable discharge region with respect to the etching pressure is widened, and the change of the voltage Vdc, the average Since each change in ion energy can be reduced, controllability of the taper angle can be improved without impairing etching performance. That is, as shown in FIG. 55 (D),
The taper angle can be easily formed without varying from 60 to 80 degrees. As a result, since the connecting hole 51C can be formed in a tapered shape, it is possible to reduce disconnection defects of the wiring 52 in the step portion of the connecting hole 51C. It should be noted that the connection hole 53D has no problem because the transition metal film 54 is embedded in the present embodiment, but if it is not embedded, a tapered shape is similarly provided.

【0219】(接続孔形成工程)前述のDRAM1の製
造方法において、前記接続孔51C、53D等、絶縁膜
の加工は低温異方性エッチングで加工する。
(Connecting Hole Forming Step) In the method of manufacturing the DRAM 1 described above, the insulating film such as the connecting holes 51C and 53D is processed by low temperature anisotropic etching.

【0220】まず、DRAM1(ダイシング工程前の半
導体ウエーハ)を静電吸着板を介在させてエッチング装
置の真空チャンバ内の下部電極に直接吸着させる。この
下部電極は常時冷却され、結果的に半導体ウエーハは常
温以下の温度に保持される。この状態において、層間絶
縁膜51、53の夫々に異方性エッチングを施し、接続
孔51C、53Dの夫々を形成する。
First, the DRAM 1 (semiconductor wafer before the dicing step) is directly attracted to the lower electrode in the vacuum chamber of the etching apparatus with the electrostatic attraction plate interposed. This lower electrode is constantly cooled, and as a result, the semiconductor wafer is kept at a temperature below room temperature. In this state, the interlayer insulating films 51 and 53 are anisotropically etched to form the connection holes 51C and 53D, respectively.

【0221】異方性エッチングガス(ハロゲン化合物C
HF3)はエッチングチャンバの内壁に比べて温度が低い
半導体ウエーハの表面に多く堆積するので、低温異方性
エッチングの採用は前記異方性エッチングガス流量を低
減することができ、又真空チャンバの内壁に付着される
汚染物を低減することができる。
Anisotropic etching gas (halogen compound C
Since a large amount of HF 3 ) is deposited on the surface of the semiconductor wafer whose temperature is lower than the inner wall of the etching chamber, the use of low temperature anisotropic etching can reduce the anisotropic etching gas flow rate, The contaminants attached to the inner wall can be reduced.

【0222】(実施の形態2)本実施の形態2は、前述
の実施の形態IのDRAM1の製造上の歩留りを向上す
るために、異なる配線層間を接続する接続孔内に遷移金
属膜を埋込む工程に枚葉式を採用した、本発明の第2実
施の形態である。
(Second Embodiment) In the second embodiment, in order to improve the manufacturing yield of the DRAM 1 of the above-described first embodiment, a transition metal film is buried in a connection hole connecting different wiring layers. It is a second embodiment of the present invention in which a single-wafer type is adopted in the step of inserting.

【0223】本発明の実施の形態2であるDRAM1の
要部を図56(要部断面図)に示す。
A main part of DRAM 1 according to the second embodiment of the present invention is shown in FIG. 56 (main part sectional view).

【0224】図56に示すように、本実施の形態2のD
RAM1は、下地絶縁膜80上に形成された配線81
に、層間絶縁膜82に形成された接続孔82A内に埋込
まれた遷移金属膜83を接続している。配線81はアル
ミニウム膜又はアルミニウム合金膜で形成されている。
層間絶縁膜82は酸化珪素膜の単層又はそれを主体とす
る複合膜で形成されている。接続孔82A内に埋込まれ
た遷移金属膜83は選択CVD法で堆積したW膜で形成
されている。この遷移金属膜83には図示しないが層間
絶縁膜82上に延在する配線が接続される。
As shown in FIG. 56, D of the second embodiment.
The RAM 1 has a wiring 81 formed on the base insulating film 80.
The transition metal film 83 embedded in the connection hole 82A formed in the interlayer insulating film 82 is connected to the. The wiring 81 is formed of an aluminum film or an aluminum alloy film.
The interlayer insulating film 82 is formed of a single layer of a silicon oxide film or a composite film mainly containing it. The transition metal film 83 embedded in the connection hole 82A is formed of a W film deposited by the selective CVD method. Although not shown, a wiring extending on the interlayer insulating film 82 is connected to the transition metal film 83.

【0225】この図56に示す構造は、次の枚葉式を採
用する製造方法により形成することができる。
The structure shown in FIG. 56 can be formed by the following manufacturing method employing a single wafer method.

【0226】まず、前記層間絶縁膜82に接続孔82A
を形成し、接続孔82A内に配線81の表面を露出させ
る。この配線81の表面は露出させたことにより酸化さ
れ、アルミナ(Al23)が生成される。
First, a connection hole 82A is formed in the interlayer insulating film 82.
Is formed to expose the surface of the wiring 81 in the connection hole 82A. The surface of the wiring 81 is oxidized by being exposed, and alumina (Al 2 O 3 ) is generated.

【0227】次に、前記配線81の表面に生成されたア
ルミナをスパッタ法により除去する。スパッタ法として
はアルゴン(Ar)ガスにフッ素系(NF3、XeF、CF
4又はCHF3)ガスを混合したスパッタ法を使用する。
前記アルゴンガスは、そのアルゴンイオンにより前記配
線81の表面に生成されたアルミナをスパッタにより取
り除くことができる。フッ素系ガスは、前記アルミナの
スパッタ速度を促進することができる。また、フッ素系
ガスは、層間絶縁膜82の表面にアルゴンイオンの衝突
で形成された未結合手の層を取り除き、前記遷移金属膜
83の選択性を向上すると共に、配線81の表面を腐食
させることがない。つまり、アルゴンガスのみでは層間
絶縁膜82の表面に未結合手を形成し、遷移金属膜83
の選択性をなくし、アルゴンガスにハロゲン化合物例え
ばCl2を混合した場合には未結合手の層を取り除くこ
とができるが、配線81の表面を腐食してしまうので、
スパッタ法は前述のようにアルゴンガスにフッ素系ガス
を混在して形成する。
Next, the alumina formed on the surface of the wiring 81 is removed by the sputtering method. As a sputtering method, a fluorine-based (NF 3 , XeF, CF) is used in an argon (Ar) gas.
4 or CHF 3 ) gas mixed sputtering method is used.
The argon gas can remove the alumina generated on the surface of the wiring 81 by the argon ions by sputtering. The fluorine-based gas can accelerate the sputtering rate of the alumina. Further, the fluorine-based gas removes a layer of dangling bonds formed by collision of argon ions on the surface of the interlayer insulating film 82, improves the selectivity of the transition metal film 83, and corrodes the surface of the wiring 81. Never. That is, only the argon gas forms dangling bonds on the surface of the interlayer insulating film 82, and the transition metal film 83
When the halogen compound such as Cl 2 is mixed with the argon gas, the layer of dangling bonds can be removed, but since the surface of the wiring 81 is corroded,
As described above, the sputtering method is formed by mixing the fluorine gas with the argon gas.

【0228】次に、前記接続孔82A内の配線81の表
面上に遷移金属膜83を選択的に堆積し、この接続孔8
2A内に遷移金属膜83を埋込む。
Next, a transition metal film 83 is selectively deposited on the surface of the wiring 81 in the connection hole 82A, and the connection hole 8 is formed.
A transition metal film 83 is embedded in 2A.

【0229】このように、前述の混合ガスを使用したス
パッタ法で配線81の表面のアルミナを除去することに
より、配線81と遷移金属膜83との接続を良好に行う
ことができると共に、前記遷移金属膜83の選択性を確
保することができる。
As described above, by removing the alumina on the surface of the wiring 81 by the sputtering method using the above-mentioned mixed gas, the wiring 81 and the transition metal film 83 can be satisfactorily connected and the transition The selectivity of the metal film 83 can be secured.

【0230】また、同図56に示すように、前記スパッ
タ法で使用するフッ素系ガスのフッ素(F)は、配線81
の表面をスパッタし、アルミニウム粒子を叩きだす。こ
のアルミニウム粒子は、接続孔82Aの内壁に付着し、
クロスコンタミネーション81Aを生成する。このクロ
スコンタミネーション81Aは、層間絶縁膜82の表面
に比べて遷移金属膜83の堆積速度が速いので、結果的
に遷移金属膜83の上部を層間絶縁膜82の表面よりも
突出させてしまう。この遷移金属膜83の突出はそれに
接続される上層配線の加工精度を低下させてしまう。
Further, as shown in FIG. 56, the fluorine (F) of the fluorine-based gas used in the sputtering method is used in the wiring 81.
The surface of is sputtered and aluminum particles are tapped out. The aluminum particles adhere to the inner wall of the connection hole 82A,
The cross contamination 81A is generated. Since the cross contamination 81A has a higher deposition rate of the transition metal film 83 than the surface of the interlayer insulating film 82, as a result, the upper portion of the transition metal film 83 is made to protrude beyond the surface of the interlayer insulating film 82. The protrusion of the transition metal film 83 deteriorates the processing accuracy of the upper layer wiring connected thereto.

【0231】図57(要部断面図)に示すDRAM1
は、前記遷移金属膜83の突出を低減するために、クロ
スコンタミネーション81Aはそのまま残存させ、接続
孔82Aの上部にテーパ部82Bを設けている。テーパ
部82Bは等方性エッチングにより形成することができ
る。接続孔82Aは異方性エッチングにより形成するこ
とができる。つまり、前記テーパ部82Bは、クロスコ
ンタミネーション81Aの上側の一部を除去して層間絶
縁膜82の表面を露出させ、この部分の遷移金属膜83
の堆積速度を低下させ、遷移金属膜83の突出を防止す
ることができる。一方、クロスコンタミネーション81
Aを残存させることにより、遷移金属膜83の堆積速度
を速くすることができるので、製造時間を短縮すること
ができる。
DRAM 1 shown in FIG. 57 (main part sectional view)
In order to reduce the protrusion of the transition metal film 83, the cross contamination 81A is left as it is, and the tapered portion 82B is provided above the connection hole 82A. The tapered portion 82B can be formed by isotropic etching. The connection hole 82A can be formed by anisotropic etching. That is, the tapered portion 82B removes a part of the upper side of the cross contamination 81A to expose the surface of the interlayer insulating film 82, and the transition metal film 83 of this part is removed.
Of the transition metal film 83 can be prevented and the transition metal film 83 can be prevented from protruding. On the other hand, cross contamination 81
By leaving A, the deposition rate of the transition metal film 83 can be increased, so that the manufacturing time can be shortened.

【0232】また、図58(要部断面図)に示すDRA
M1は、前記接続孔82Aの内壁にクロスコンタミネー
ション81を積極的に生成し、遷移金属膜83の堆積速
度をさらに速くしている。
Further, the DRA shown in FIG. 58 (main part sectional view)
M1 positively generates the cross contamination 81 on the inner wall of the connection hole 82A to further increase the deposition rate of the transition metal film 83.

【0233】また、遷移金属膜83の堆積速度は若干遅
くなるが、クロスコンタミネーション81Aを実質的に
すべて取り除き、接続孔82Aを完全にテーパ形状に形
成してもよい。
Although the deposition rate of the transition metal film 83 becomes slightly slower, the cross contamination 81A may be substantially removed to form the connection hole 82A in a completely tapered shape.

【0234】また、枚葉式を採用することにより、前記
遷移金属膜83の膜厚の制御性をバッチ式に比べて向上
することができる。
By adopting the single-wafer type, the controllability of the film thickness of the transition metal film 83 can be improved as compared with the batch type.

【0235】(実施の形態3)本実施の形態3は、前述
の実施の形態2のDRAM1と構造が異なるが、半導体
基板と配線層とを接続する接続孔内に遷移金属膜を埋込
み、しかもこの工程に枚葉式を採用した、本発明の第3
実施の形態である。
(Third Embodiment) The third embodiment has a different structure from the DRAM 1 of the second embodiment described above, but a transition metal film is embedded in a connection hole connecting a semiconductor substrate and a wiring layer, and The third aspect of the present invention in which a single-wafer type is adopted in this step
It is an embodiment.

【0236】本発明の実施の形態3であるDRAM1の
要部を図59(要部断面図)に示す。
FIG. 59 (main part cross-sectional view) shows a main part of DRAM 1 according to the third embodiment of the present invention.

【0237】図59に示すように、本実施の形態3のD
RAM1は、p−型ウエル領域22の主面部に形成され
たn+型半導体領域32に、層間絶縁膜80に形成され
た接続孔80Aに埋込まれた遷移金属膜84を接続して
いる。n+型半導体領域32は前述の実施の形態1で説
明したように珪素(Si)である。層間絶縁膜80は酸化
珪素膜の単層又はそれを主体とする複合膜で形成されて
いる。接続孔80A内に埋込まれた遷移金属膜84は、
珪素還元反応(n+型半導体領域32のSiとWF6
の反応)を利用する選択CVD法で堆積したW膜84
A、シラン還元反応(SiH4とWF6との反応)を利用
する選択CVD法で堆積したW膜84Bの夫々を順次積
層した複合膜で形成されている。下層のW膜84Aは、
珪素還元反応であるので、n+型半導体領域32と遷移
金属膜84との接着性を向上することができる。上層の
W膜84Bは、シラン還元反応であるので、n+型半導
体領域32の表面が還元される量を低減し、浅いpn接
合深さを有するn+型半導体領域32を形成することが
できる。この遷移金属膜84の上部は層間絶縁膜80上
に延在する配線(例えばアルミニウム合金膜)81に接続
されている。
As shown in FIG. 59, D of the third embodiment.
In the RAM 1, the transition metal film 84 embedded in the connection hole 80A formed in the interlayer insulating film 80 is connected to the n + type semiconductor region 32 formed in the main surface portion of the p− type well region 22. The n + type semiconductor region 32 is silicon (Si) as described in the first embodiment. The interlayer insulating film 80 is formed of a single layer of a silicon oxide film or a composite film mainly composed of it. The transition metal film 84 embedded in the connection hole 80A is
A W film 84 deposited by a selective CVD method using a silicon reduction reaction (reaction between Si in the n + type semiconductor region 32 and WF 6 ).
A, a W film 84B deposited by a selective CVD method using a silane reduction reaction (reaction of SiH 4 and WF 6 ) is sequentially laminated to form a composite film. The lower W film 84A is
Since it is a silicon reduction reaction, the adhesiveness between the n + type semiconductor region 32 and the transition metal film 84 can be improved. Since the upper W film 84B is a silane reduction reaction, the amount of reduction of the surface of the n + type semiconductor region 32 can be reduced, and the n + type semiconductor region 32 having a shallow pn junction depth can be formed. The upper portion of the transition metal film 84 is connected to the wiring (for example, aluminum alloy film) 81 extending on the interlayer insulating film 80.

【0238】この図59に示す構造は、前記接続孔80
A内に埋込まれる遷移金属膜84の形成工程において、
下層のW膜84Aの形成後に若干の時間が経過した後上
層のW膜84Bを堆積すると、両者の界面が剥離する
(剥離部は符号84Cで示す)。この剥離は、下層のW膜
84Aに比べて上層のW膜84Bのストレスが大きいた
めに生じる。また、前記剥離は、反応副生成物例えばフ
ッ素系ガスが存在した場合にも生じる。
The structure shown in FIG. 59 corresponds to the connection hole 80.
In the step of forming the transition metal film 84 embedded in A,
When the upper W film 84B is deposited after some time has elapsed after the lower W film 84A is formed, the interface between the two is separated.
(The peeling portion is indicated by reference numeral 84C). This peeling occurs because the stress of the upper W film 84B is larger than that of the lower W film 84A. The peeling also occurs when a reaction by-product such as a fluorine-based gas is present.

【0239】図60(要部断面図)に示すDRAM1
は、前記遷移金属膜84の下層のW膜84A、上層のW
膜84Bの夫々を連続形成し、両者の界面の剥離をなく
している。この遷移金属膜84の下層のW膜84A、上
層のW膜84Bの夫々の連続形成方法は次のとおりであ
る。
DRAM 1 shown in FIG. 60 (main part sectional view)
Is a lower W film 84A of the transition metal film 84 and an upper W film of the transition metal film 84.
Each of the films 84B is continuously formed to eliminate peeling at the interface between the two. The method of continuously forming the lower W film 84A and the upper W film 84B of the transition metal film 84 is as follows.

【0240】まず、図61(A)に枚葉式を採用した選
択CVD法においてW膜の堆積時間とソースガス流量と
の関係を示すように、CVD装置の反応炉内にソースガ
スとしてWF6を供給する。WF6は、前記図60に示す
接続孔80A内に露出するn+型半導体領域32の表面
のSiと反応し、下層のW膜84Aを形成し始める。こ
のWF6の供給と共に、図61(B)に示すように、堆
積時間と反応副生成物(F2,SiF3,SiF4)の発生
量との関係をモニターする。反応副生成物の発生量は、
反応炉からの排気ガス供給管に配置されたガスマス(ガ
スマスアナライザー)或は反応炉(チャンバー)内に配置
されたプラズマ発光モニタで測定することができる。
[0240] First, as shown a relationship between deposition time and source gas flow rate of the W film in selective CVD method employing the FIG. 61 (A) two wafer, WF as a source gas into the reaction furnace of the CVD apparatus 6 To supply. WF 6 reacts with Si on the surface of the n + type semiconductor region 32 exposed in the connection hole 80A shown in FIG. 60, and starts forming the lower W film 84A. Along with the supply of WF 6 , the relationship between the deposition time and the amount of reaction byproducts (F 2 , SiF 3 , SiF 4 ) generated is monitored as shown in FIG. 61 (B). The amount of reaction by-products generated is
It can be measured with a gas mass (gas mass analyzer) arranged in an exhaust gas supply pipe from the reaction furnace or a plasma emission monitor arranged in the reaction furnace (chamber).

【0241】次に、前記下層のW膜84Aが形成される
と、n+型半導体領域32の表面のSiが露出しなくな
るので、W膜の堆積が自動的に停止されてしまうが、前
記図61(A)、(B)の夫々に示すように、反応副生
成物の発生量の減少から前記珪素還元反応の終了前にシ
ランガスを反応炉に供給し、上層のW膜84Bを堆積し
始める。つまり、珪素還元反応からシラン還元反応に切
換え、下層のW膜84A、上層のW膜84Bの夫々を連
続して順次形成する。この上層のW膜84Bは所定の膜
厚で堆積される。
Next, when the lower W film 84A is formed, Si on the surface of the n + type semiconductor region 32 is not exposed, so that the deposition of the W film is automatically stopped. As shown in each of (A) and (B), silane gas is supplied to the reaction furnace before the end of the silicon reduction reaction due to the decrease in the amount of reaction by-products generated, and the upper W film 84B is started to be deposited. That is, the silicon reduction reaction is switched to the silane reduction reaction, and the lower W film 84A and the upper W film 84B are successively and sequentially formed. The upper W film 84B is deposited with a predetermined film thickness.

【0242】このように、前記遷移金属膜84の下層の
W膜84A、上層のW膜84Bの夫々を連続して形成す
ることにより、両者間の界面の剥離を防止することがで
きる。
As described above, by continuously forming the lower W film 84A and the upper W film 84B of the transition metal film 84, peeling of the interface between the two can be prevented.

【0243】また、枚葉式を採用することにより、前記
遷移金属膜84の膜厚の制御性をバッチ式に比べて向上
することができる。
Further, by adopting the single-wafer type, the controllability of the film thickness of the transition metal film 84 can be improved as compared with the batch type.

【0244】(実施の形態4)本実施の形態4は、前述
の実施の形態1のDRAM1のメモリセルMのスタック
ド構造の情報蓄積用容量素子Cにおいて、誘電体膜36
の好適な形成方法及び実施装置について説明した、本発
明の第4実施の形態である。
(Fourth Embodiment) In the fourth embodiment, the dielectric film 36 is provided in the information storage capacitive element C of the stacked structure of the memory cell M of the DRAM 1 of the first embodiment.
It is a fourth embodiment of the present invention, which has described a preferred forming method and apparatus for forming.

【0245】本発明の実施の形態4である枚葉式のCV
D装置を図62(概略構成図)に示す。
Embodiment 4 of the present invention, a single-wafer CV
The D device is shown in FIG. 62 (schematic configuration diagram).

【0246】図62に示すように、枚葉式のCVD装置
は、主にロードアンロード室90、搬送室91、前処理
室92、第1反応炉室93及び第2反応炉室94で構成
されている。各処理室90〜94の夫々はゲートバルブ
96を介在させて連結されている。
As shown in FIG. 62, the single-wafer CVD apparatus mainly comprises a load / unload chamber 90, a transfer chamber 91, a pretreatment chamber 92, a first reaction furnace chamber 93 and a second reaction furnace chamber 94. Has been done. Each of the processing chambers 90 to 94 is connected via a gate valve 96.

【0247】前記ロードアンロード室90は半導体ウエ
ーハ100を複数枚収納したカセット90Aが着脱自在
に取り付けられるように構成されている。このロードア
ンロード室90は、前記搬送室91に未処理の半導体ウ
エーハ100を供給し、又搬送室91から処理済みの半
導体ウエーハ100を収納するように構成されている。
The load / unload chamber 90 is constructed so that a cassette 90A accommodating a plurality of semiconductor wafers 100 can be detachably attached. The load / unload chamber 90 is configured to supply the unprocessed semiconductor wafer 100 to the transfer chamber 91 and to store the processed semiconductor wafer 100 from the transfer chamber 91.

【0248】搬送室91は、各処理室92〜93の夫々
に未処理の半導体ウエーハ100を供給し、又処理済の
半導体ウエーハ100を各処理室92〜93の夫々から
取り出せるように構成されている。図63(要部概略構
成図)に示すように、前記半導体ウエーハ100の供給
及び取り出しは、回転駆動装置91Aに連結されかつそ
れで駆動されるウエーハ搬送アーム&トレイ91Bで行
われる。この搬送室91は、各処理室90、92〜93
の夫々と同様に、装置外部の大気と遮断され、H2Oや
2が存在しない高真空度に保持されている。
The transfer chamber 91 is configured so as to supply the unprocessed semiconductor wafer 100 to each of the processing chambers 92 to 93 and take out the processed semiconductor wafer 100 from each of the processing chambers 92 to 93. There is. As shown in FIG. 63 (schematic configuration diagram of essential parts), the semiconductor wafer 100 is supplied and taken out by a wafer transfer arm & tray 91B which is connected to and driven by the rotation driving device 91A. The transfer chamber 91 is provided in each of the processing chambers 90, 92 to 93.
Similarly to each of the above, it is kept at a high degree of vacuum in which the atmosphere outside the apparatus is shut off and H 2 O and O 2 do not exist.

【0249】この搬送室91には、前記図62及び図6
3に示すように、紫外線照射ランプ95が設けられてい
る。この紫外線照射ランプ95は、搬送室91に搬送さ
れた半導体ウエーハ100の表面に少なくとも5〜6
[eV]程度以上のエネルギの紫外線を照射し、後述する
がSi−F間の結合を破壊するように構成されている。
In this transfer chamber 91, the above-mentioned FIG. 62 and FIG.
As shown in FIG. 3, an ultraviolet irradiation lamp 95 is provided. The ultraviolet irradiation lamp 95 has at least 5 to 6 on the surface of the semiconductor wafer 100 transferred to the transfer chamber 91.
It is configured to irradiate ultraviolet rays having an energy of about [eV] or more to break the Si—F bond, which will be described later.

【0250】前記前処理室92は前処理用モジュール9
2Aが設けられている。この前処理用モジュール92A
は、主に、ホットプレート92a、温調器92b、排気
管92c、真空ポンプ92d、ラジカル発生管92e、
マイクロ波発生部92f、マイクロ波電源92g及びガ
ス制御部92hで構成されている。つまり、前処理室9
2は、半導体ウエーハ100の表面上の多結晶珪素膜の
表面に形成される自然酸化珪素膜を異方性エッチングに
より除去できるように構成されている。この多結晶珪素
膜は、前述の実施の形態1のDRAM1において、スタ
ックド構造の情報蓄積用容量素子Cの下層電極層35に
相当する。前記異方性エッチング(ドライエッチング)は
酸素ガス及びハロゲン化合物(CHF3又はCF4)を使用
する。
The pretreatment chamber 92 has the pretreatment module 9
2A is provided. This pretreatment module 92A
Mainly includes a hot plate 92a, a temperature controller 92b, an exhaust pipe 92c, a vacuum pump 92d, a radical generating pipe 92e,
The microwave generator 92f, the microwave power source 92g, and the gas controller 92h are included. That is, the pretreatment chamber 9
No. 2 is configured so that the natural silicon oxide film formed on the surface of the polycrystalline silicon film on the surface of the semiconductor wafer 100 can be removed by anisotropic etching. This polycrystalline silicon film corresponds to lower electrode layer 35 of information storage capacitor C having the stacked structure in DRAM 1 of the first embodiment described above. The anisotropic etching (dry etching) uses oxygen gas and a halogen compound (CHF 3 or CF 4 ).

【0251】前記第1反応炉室93、第2反応炉室94
の夫々には共通(各々独立)のクリーニング用モジュール
93Aが設けられている。第1反応炉室93、第2反応
炉室94の夫々は、図64(要部概略構成図)に示すよ
うに、主にソースガス供給管93a、ソースガス吹き出
しプレート93b、プレート冷却管93c、サセプタ9
3d、ウエーハ加熱ヒータ93e、反応炉冷却管93
f、排気管93g、真空ゲートバルブ93h及び真空ポ
ンプ93iで構成されている。これに限定されないが、
第1反応炉室93は窒化珪素膜(誘電体膜36の下層の
窒化珪素膜36A)を堆積し、第2反応炉室94は多結
晶珪素膜(下層電極層35又は上層電極層37)を堆積
できるように構成されている。
The first reaction furnace chamber 93 and the second reaction furnace chamber 94
A common (each independent) cleaning module 93A is provided for each of the above. Each of the first reaction furnace chamber 93 and the second reaction furnace chamber 94 is mainly composed of a source gas supply pipe 93a, a source gas blowing plate 93b, a plate cooling pipe 93c, as shown in FIG. Susceptor 9
3d, wafer heater 93e, reactor cooling tube 93
f, an exhaust pipe 93g, a vacuum gate valve 93h, and a vacuum pump 93i. Not limited to this,
The first reaction furnace chamber 93 deposits a silicon nitride film (the lower silicon nitride film 36A of the dielectric film 36), and the second reaction furnace chamber 94 deposits a polycrystalline silicon film (the lower electrode layer 35 or the upper electrode layer 37). It is constructed so that it can be deposited.

【0252】DRAM1は16[Mbit]の大容量で構成
されると、例えばスタックド構造の情報蓄積用容量素子
Cの下層電極層35や誘電体膜36の膜厚の制御性を高
く要求される。したがって、このDRAM1の製造には
枚葉式のCVD装置が好適である。前記第1反応炉室9
3、第2反応炉室94の夫々には、サセプタ93dで保
持された半導体ウエーハ100の被堆積面となる表面に
対向した位置にソースガス吹き出しプレート93bを配
置し、半導体ウエーハ100の表面に均一な膜厚及び膜
質で膜を堆積できるように構成されている。前記第1反
応炉室93、第2反応炉室94の夫々は、反応炉冷却管
93fにより全体的に低温度に保持され、ウエーハ加熱
ヒータ93eにより半導体ウエーハ100のみ反応に最
適な温度に加熱されている。
When the DRAM 1 is constructed with a large capacity of 16 [Mbit], for example, high controllability of the film thickness of the lower electrode layer 35 and the dielectric film 36 of the information storage capacitive element C having a stacked structure is required. Therefore, a single-wafer CVD apparatus is suitable for manufacturing the DRAM 1. The first reactor chamber 9
3. In each of the second reaction furnace chambers 94, a source gas blowing plate 93b is arranged at a position facing the deposition surface of the semiconductor wafer 100 held by the susceptor 93d, and the source gas blowing plate 93b is evenly arranged on the surface of the semiconductor wafer 100. The film can be deposited with various film thicknesses and film qualities. Each of the first reaction furnace chamber 93 and the second reaction furnace chamber 94 is maintained at a low temperature as a whole by a reaction furnace cooling pipe 93f, and only the semiconductor wafer 100 is heated to a temperature optimum for reaction by a wafer heating heater 93e. ing.

【0253】また、前記ソースガス吹き出しプレート9
3bは、半導体ウエーハ100の輻射熱による温度上昇
を低減するために、プレート冷却管93cが設けられて
いる。ソースガスの吹き出し口の近傍で即座に反応生成
された微粒子は半導体ウエーハ100の表面に達した時
点で粗大粒子に成長し異物となるので、ソースガス吹き
出しプレート93bはプレート冷却管93cにより冷却
する必要がある。
Also, the source gas blowing plate 9
3b is provided with a plate cooling pipe 93c in order to reduce a temperature rise due to radiant heat of the semiconductor wafer 100. The fine particles that are immediately generated in the vicinity of the outlet of the source gas are coarse particles when they reach the surface of the semiconductor wafer 100 and become foreign matter. Therefore, the source gas blowing plate 93b needs to be cooled by the plate cooling pipe 93c. There is.

【0254】前記枚葉式のCVD装置は前述のように第
1反応炉室93、第2反応炉室94の夫々の前段に前処
理室92が設けられた一貫連続処理であり、その処理方
法は次のとおりである。
As described above, the single-wafer-type CVD apparatus is an integrated continuous process in which the pretreatment chamber 92 is provided in the preceding stage of each of the first reaction furnace chamber 93 and the second reaction furnace chamber 94. Is as follows:

【0255】まず、図62に示すように、半導体ウエー
ハ100はロードアンロード室90から搬送室91を介
在させて前処理室92に搬送される。半導体ウエーハ1
00の表面には多結晶珪素膜が堆積されている。
First, as shown in FIG. 62, the semiconductor wafer 100 is transferred from the load / unload chamber 90 to the pretreatment chamber 92 with the transfer chamber 91 interposed. Semiconductor wafer 1
A polycrystalline silicon film is deposited on the surface of 00.

【0256】次に、この前処理室92は、図62及び図
63に示すように、半導体ウエーハ100の表面の多結
晶珪素膜の表面に形成された自然酸化珪素膜を異方性エ
ッチングにより除去する。この異方性エッチングは前述
のように酸化ガス及びハロゲン化合物をエッチングガス
として使用し行う。
Next, in the pretreatment chamber 92, as shown in FIGS. 62 and 63, the natural silicon oxide film formed on the surface of the polycrystalline silicon film on the surface of the semiconductor wafer 100 is removed by anisotropic etching. To do. This anisotropic etching is performed using the oxidizing gas and the halogen compound as the etching gas as described above.

【0257】次に、前処理室92で自然酸化珪素膜が除
去された半導体ウエーハ100は搬送室91に搬送さ
れ、この搬送室91において前記多結晶珪素膜の表面に
紫外線照射ランプ95により紫外線を照射する。この紫
外線の照射は、前記多結晶珪素膜の表面に異方性エッチ
ングによる発生したフッ素(F)が付着するので、このフ
ッ素をラジカルとして多結晶珪素膜の表面から飛ばす作
用がある。
Next, the semiconductor wafer 100 from which the native silicon oxide film has been removed in the pretreatment chamber 92 is transferred to the transfer chamber 91, where the surface of the polycrystalline silicon film is irradiated with ultraviolet rays by the ultraviolet irradiation lamp 95. Irradiate. This irradiation of ultraviolet rays has a function of causing fluorine (F) generated by anisotropic etching to adhere to the surface of the polycrystalline silicon film, so that the fluorine is released as radicals from the surface of the polycrystalline silicon film.

【0258】次に、半導体ウエーハ100は搬送室91
を介して第1反応炉室93、第2反応炉室94の夫々に
順次搬送され、この第1反応炉室93、第2反応炉室9
4の夫々で多結晶珪素膜の表面上に窒化珪素膜等が堆積
される。
Next, the semiconductor wafer 100 is transferred to the transfer chamber 91.
Are sequentially conveyed to the first reaction furnace chamber 93 and the second reaction furnace chamber 94 via the first reaction furnace chamber 93 and the second reaction furnace chamber 9 respectively.
In each of No. 4, a silicon nitride film or the like is deposited on the surface of the polycrystalline silicon film.

【0259】そして、処理が終了した半導体ウエーハ1
00は搬送室91を介在させてローダアンローダ室90
に収納される。
Then, the semiconductor wafer 1 which has been processed
00 is a loader unloader chamber 90 with a transfer chamber 91 interposed.
Is stored in.

【0260】このように、(39−24)半導体ウエーハ
100の表面に堆積された多結晶珪素膜(又は半導体ウ
エーハ100の表面)に絶縁膜又は導電膜を堆積する膜
堆積方法において、真空系内で前記半導体ウエーハ10
0の表面の多結晶珪素膜の表面を前処理室92で洗浄
し、この多結晶珪素膜の表面を露出させる工程と、前記
洗浄工程と同一真空系内で前記多結晶珪素膜の表面に前
記第1反応炉室93又は第2反応炉室94で絶縁膜又は
導電膜を堆積する工程とを備える。この構成により、前
記多結晶珪素膜の表面に形成される自然酸化珪素膜を洗
浄工程で除去した後、大気に触れることなく、前記多結
晶珪素膜の表面に絶縁膜又は導電膜を堆積することがで
きるので、前記多結晶珪素膜の表面と前記絶縁膜又は導
電膜との間に前記自然酸化珪素膜を介在させることがな
くなる。この結果、前記多結晶珪素膜の表面とその表面
上に堆積される絶縁膜例えば誘電体膜36の窒化珪素膜
36Aの厚さを前記自然酸化珪素膜に相当する分薄くす
ることができるので、スタックド構造の情報蓄積用容量
素子Cの電荷蓄積量を増加することができる。また、多
結晶珪素膜の表面とその表面上に堆積される導電膜との
間の導通を確実に行うことができる。
As described above, in (39-24) the film deposition method for depositing an insulating film or a conductive film on the polycrystalline silicon film (or the surface of the semiconductor wafer 100) deposited on the surface of the semiconductor wafer 100, in a vacuum system Then, the semiconductor wafer 10
0 of the surface of the polycrystalline silicon film is cleaned in the pretreatment chamber 92 to expose the surface of the polycrystalline silicon film, and the surface of the polycrystalline silicon film is formed on the surface of the polycrystalline silicon film in the same vacuum system as the cleaning step. Depositing an insulating film or a conductive film in the first reaction furnace chamber 93 or the second reaction furnace chamber 94. With this configuration, after the natural silicon oxide film formed on the surface of the polycrystalline silicon film is removed by the cleaning process, the insulating film or the conductive film is deposited on the surface of the polycrystalline silicon film without being exposed to the atmosphere. Therefore, the natural silicon oxide film is not interposed between the surface of the polycrystalline silicon film and the insulating film or conductive film. As a result, the thickness of the surface of the polycrystalline silicon film and the insulating film deposited on the surface, for example, the silicon nitride film 36A of the dielectric film 36 can be reduced by a thickness corresponding to the natural silicon oxide film. It is possible to increase the charge storage amount of the information storage capacitive element C having the stacked structure. Further, it is possible to surely establish conduction between the surface of the polycrystalline silicon film and the conductive film deposited on the surface.

【0261】また、(40−25)半導体ウエーハ100
の表面の多結晶珪素膜(又は半導体ウエーハ100)の表
面に絶縁膜を堆積する膜堆積方法において、真空系内で
前記半導体ウエーハ100の表面の多結晶珪素膜の表面
をハロゲン化合物を使用する異方性エッチングで洗浄
し、この多結晶珪素膜の表面を露出させる工程と、前記
洗浄工程と同一真空系内で前記露出された多結晶珪素膜
の表面に紫外線を照射する工程と、前記洗浄工程と同一
真空系内で前記多結晶珪素膜の表面に前記絶縁膜(例え
ば窒化珪素膜)を堆積する工程とを備える。この構成に
より、前記多結晶珪素膜の表面を洗浄した際にその表面
に付着するハロゲン元素のラジカルを前記紫外線で除去
することができるので、前記多結晶珪素膜の表面に堆積
される絶縁膜例えば窒化珪素膜のリーク電流の増大やエ
ッチング速度の変化を低減することができる。
Also, the (40-25) semiconductor wafer 100
In the film deposition method of depositing an insulating film on the surface of the polycrystalline silicon film (or the semiconductor wafer 100) on the surface of the semiconductor wafer 100, a halogen compound is used on the surface of the polycrystalline silicon film on the surface of the semiconductor wafer 100 in a vacuum system. A step of cleaning by means of isotropic etching to expose the surface of the polycrystalline silicon film; a step of irradiating the exposed surface of the polycrystalline silicon film with ultraviolet rays in the same vacuum system as the cleaning step; And a step of depositing the insulating film (for example, a silicon nitride film) on the surface of the polycrystalline silicon film in the same vacuum system. With this configuration, the radicals of the halogen element attached to the surface of the polycrystalline silicon film when cleaning the surface can be removed by the ultraviolet rays. Therefore, the insulating film deposited on the surface of the polycrystalline silicon film, for example, It is possible to reduce an increase in leak current of the silicon nitride film and a change in etching rate.

【0262】(実施の形態5)本実施の形態5は、前述
の実施の形態1のDRAM1のメモリセルMのスタック
ド構造の情報蓄積用容量素子Cにおいて、下層電極層3
5の好適な形成方法及び実施の形態施装置について説明
した、本発明の第5実施の形態である。
(Fifth Embodiment) In the fifth embodiment, the lower electrode layer 3 is provided in the information storage capacitor C of the stacked structure of the memory cell M of the DRAM 1 of the first embodiment.
5 is a fifth embodiment of the present invention, which describes the preferable forming method and the applying apparatus of the fifth embodiment.

【0263】本発明の実施の形態5である枚葉式のCV
D法を図65(CVD装置のソースガスバルブの開閉動
作を示すタイムチャート)、図66(前記ソースガスの
流量を示すタイムチャート)の夫々に示す。
Embodiment 5 of the present invention is a single-wafer type CV.
Method D is shown in FIG. 65 (a time chart showing the opening / closing operation of the source gas valve of the CVD apparatus) and FIG. 66 (a time chart showing the flow rate of the source gas).

【0264】前記実施の形態1のDRAM1のメモリセ
ルMのスタックド構造の情報蓄積用容量素子Cの下層電
極層35は、前述のように、電荷蓄積量を増加するため
に厚い膜厚で形成されている。下層電極層35の膜厚が
厚い場合、抵抗値を低減するn型不純物の導入が難しく
なるが、本実施の形態5は、前記n型不純物が導入され
た多結晶珪素膜を堆積する技術所謂ドープドポリシリコ
ン技術を利用し、前記下層電極層35を形成している。
As described above, the lower electrode layer 35 of the information storage capacitive element C of the stacked structure of the memory cell M of the DRAM 1 of the first embodiment is formed with a thick film thickness in order to increase the charge storage amount. ing. When the thickness of the lower electrode layer 35 is large, it is difficult to introduce the n-type impurity that reduces the resistance value. In the fifth embodiment, a so-called technique for depositing the polycrystalline silicon film into which the n-type impurity is introduced is called so-called. The lower electrode layer 35 is formed by using the doped polysilicon technology.

【0265】通常、CVD法で堆積されるn型不純物が
導入されていない多結晶珪素膜は、下地の段差部におい
てステップカバレッジが高いが、膜厚が厚くなると堆積
後のn型不純物の導入が難しい。一方、CVD法で堆積
されるn型不純物が導入されている多結晶珪素膜は、n
型不純物の導入は簡単であるが、下地の段差部において
ステップカバレッジが悪い。そこで、本実施の形態5
は、n型不純物が導入されていない多結晶珪素膜、n型
不純物が導入されている多結晶珪素膜の夫々を交互に積
層し、下地の段差部でのステップカバレッジを向上す
る。また、夫々の多結晶珪素膜を堆積した後には熱処理
を施し、n型不純物が導入されている多結晶珪素膜から
n型不純物が導入されていない多結晶珪素膜にn型不純
物を導入する。
Normally, a polycrystalline silicon film which is deposited by the CVD method and into which no n-type impurity is introduced has a high step coverage in the step portion of the underlying layer, but when the film thickness becomes thick, the introduction of the n-type impurity after the deposition becomes difficult. difficult. On the other hand, the polycrystalline silicon film having an n-type impurity introduced by the CVD method is
The introduction of the type impurities is easy, but the step coverage is poor at the stepped portion of the base. Therefore, the fifth embodiment
In order to improve the step coverage at the stepped portion of the underlying layer, a polycrystalline silicon film having no n-type impurity introduced and a polycrystalline silicon film having an n-type impurity introduced are alternately laminated. Further, after depositing the respective polycrystalline silicon films, heat treatment is performed to introduce the n-type impurities from the polycrystalline silicon film into which the n-type impurities have been introduced into the polycrystalline silicon film into which the n-type impurities have not been introduced.

【0266】図65はCVD装置のソースガス供給管に
配置された制御バルブの開閉動作を示している。ソース
ガスは無機シラン(SiH4又はSi26)ガス、フォ
スフィン(PH3)ガスの夫々を使用する。ソースガス
のうち無機シランガスの供給を制御するバルブは図65
(A)に示すように所定の膜厚に達するように一定時間
開かれる。これに対して、フォスフィンガスを供給する
制御バルブは図65(B)に示すように無機シランガス
の制御バルブの開時に定期的に開閉動作を繰り返す。図
66(A)には前記制御バルブで供給が制御された無機
シランガスの流量、図66(B)にはフォスフィンガス
の流量の夫々を示している。また、前記フォスフィンガ
スの断続的な供給はマスフローコントローラの設定値を
上下させることによっても制御することができる。この
制御バルブ又はマスフローコントローラによるフォスフ
ィンガスの断続的な供給の切換は1〜2[秒]程度の高速
で行うことができる。
FIG. 65 shows the opening / closing operation of the control valve arranged in the source gas supply pipe of the CVD apparatus. As the source gas, an inorganic silane (SiH 4 or Si 2 H 6 ) gas and a phosphine (PH 3 ) gas are used. The valve that controls the supply of the inorganic silane gas in the source gas is shown in FIG.
As shown in (A), it is opened for a certain time so as to reach a predetermined film thickness. On the other hand, the control valve for supplying the phosphine gas periodically repeats the opening / closing operation when the control valve for the inorganic silane gas is opened, as shown in FIG. 65 (B). FIG. 66 (A) shows the flow rate of the inorganic silane gas whose supply is controlled by the control valve, and FIG. 66 (B) shows the flow rate of the phosphine gas. The intermittent supply of the phosphine gas can also be controlled by raising or lowering the set value of the mass flow controller. Switching of intermittent supply of phosphine gas by the control valve or the mass flow controller can be performed at a high speed of about 1 to 2 seconds.

【0267】また、図67(枚葉式のCVD装置の概略
構成図)に示すように、ソースガス(PH3)供給管9
3aの反応炉室93(又は94)の近傍にストップバル
ブ93jを設け、このストップバルブ93jによりソー
スガスを反応炉室93、真空ポンプ93iの夫々に高速
に供給してもよい。この図67に示すCVD装置はフォ
スフィンガスの断続的な供給の切換を約0.1[秒]程度
で行うことができる。
Further, as shown in FIG. 67 (schematic configuration diagram of single-wafer CVD apparatus), source gas (PH 3 ) supply pipe 9
A stop valve 93j may be provided near the reaction furnace chamber 93 (or 94) of 3a, and the source gas may be supplied to the reaction furnace chamber 93 and the vacuum pump 93i at high speed by the stop valve 93j. The CVD apparatus shown in FIG. 67 can switch the supply of phosphine gas intermittently in about 0.1 [second].

【0268】このように、(43−26)段差形状を有
する下地表面上に多結晶珪素膜(例えば下層電極層3
5)を堆積する膜堆積方法において、前記下地表面上
に、抵抗値を低減するn型不純物を含有する多結晶珪素
膜、前記n型不純物を含有しない多結晶珪素膜の夫々を
交互に複数層堆積する工程と、この積層された多結晶珪
素膜に熱処理を施し、前記n型不純物を含有する多結晶
珪素膜からn型不純物を含有しない多結晶珪素膜に前記
n型不純物を拡散する工程とを備える。この構成によ
り、前記下地表面の段差形状の領域において、n型不純
物を含有する多結晶珪素膜のステップカバレッジをn型
不純物を含有しない多結晶珪素膜で補うことができるの
で、多結晶珪素膜の膜厚を均一化することができると共
に、前記n型不純物を含有する多結晶珪素膜からn型不
純物を含有しない多結晶珪素膜に前記n型不純物を拡散
することができるので、前記複数積層された多結晶珪素
膜の不純物濃度を均一化しながら厚い膜厚を確保するこ
とができる。
As described above, a polycrystalline silicon film (for example, the lower electrode layer 3) is formed on the base surface having the (43-26) step shape.
5) In the film deposition method of depositing, a plurality of layers of a polycrystalline silicon film containing an n-type impurity for reducing a resistance value and a polycrystalline silicon film not containing the n-type impurity are alternately formed on the surface of the underlayer. A step of depositing, and a step of subjecting the stacked polycrystalline silicon films to a heat treatment to diffuse the n-type impurities from the polycrystalline silicon film containing the n-type impurities into the polycrystalline silicon film containing no n-type impurities. Equipped with. With this structure, the step coverage of the polycrystalline silicon film containing the n-type impurity can be supplemented by the polycrystalline silicon film containing no n-type impurity in the step-shaped region of the underlying surface. Since the film thickness can be made uniform and the n-type impurities can be diffused from the polycrystalline silicon film containing the n-type impurities to the polycrystalline silicon film not containing the n-type impurities, the plurality of stacked layers are formed. It is possible to secure a thick film thickness while making the impurity concentration of the polycrystalline silicon film uniform.

【0269】また、(44−27)段差形状を有する下
地表面上に多結晶珪素膜を堆積する膜堆積方法におい
て、前記多結晶珪素膜を堆積する真空系内に無機シラン
ガスを一定の流量で流し、熱分解に基づき不純物を含有
しない多結晶珪素膜を堆積すると共に、前記真空系内に
流量を定期的に増減してフォスフィンガスを流し、前記
堆積される多結晶珪素膜に定期的にn型不純物(P)を
含有させる。この構成により、前記n型不純物を含有す
る多結晶珪素膜、n型不純物を含有しない多結晶珪素膜
の夫々を同一真空系内で連続的に堆積することができる
ので、多結晶珪素膜の堆積時間を短縮することができ
る。つまり、DRAM1のスループットを向上すること
ができる。
(44-27) In the film deposition method of depositing a polycrystalline silicon film on a base surface having a step shape, an inorganic silane gas is flown at a constant flow rate in a vacuum system for depositing the polycrystalline silicon film. A polycrystalline silicon film containing no impurities is deposited on the basis of thermal decomposition, and a phosphine gas is caused to flow in the vacuum system by periodically increasing or decreasing the flow rate to periodically deposit n on the deposited polycrystalline silicon film. A type impurity (P) is contained. With this structure, the polycrystalline silicon film containing the n-type impurities and the polycrystalline silicon film containing no n-type impurities can be successively deposited in the same vacuum system, so that the polycrystalline silicon film is deposited. The time can be shortened. That is, the throughput of the DRAM 1 can be improved.

【0270】(実施の形態6)本実施の形態6は、前述
のDRAM1の製造方法において、MISFETのしき
い値電圧を設定する工程を低減した、本発明の第6実施
の形態である。
(Sixth Embodiment) The sixth embodiment is a sixth embodiment of the present invention in which the step of setting the threshold voltage of MISFET is reduced in the method for manufacturing DRAM 1 described above.

【0271】本発明の実施の形態6であるDRAM1の
製造方法について、図68乃至図71(各製造工程毎に
示す要部断面図)を用いて簡単に説明する。
A method of manufacturing the DRAM 1 according to the sixth embodiment of the present invention will be briefly described with reference to FIGS. 68 to 71 (sectional views of the essential part shown in each manufacturing step).

【0272】本実施の形態6は、前記実施の形態1のD
RAM1で使用される6個のMISFETのしきい値電
圧を設定する。つまり、nチャネルMISFETとして
はメモリセルMのメモリセル選択用MISFETQs、
標準しきい値電圧を有するnチャネルMISFETQ
n、低しきい値電圧を有するnチャネルMISFETQ
nの夫々である。pチャネルMISFETとしては標準
しきい値電圧を有するpチャネルMISFETQp、低
しきい値電圧を有するpチャネルMISFETQp、高
しきい値電圧を有するpチャネルMISFETQpの夫
々である。
The sixth embodiment is the same as the D of the first embodiment.
The threshold voltages of the six MISFETs used in RAM1 are set. That is, as the n-channel MISFET, the memory cell selecting MISFETQs of the memory cell M,
N-channel MISFET Q with standard threshold voltage
n, n-channel MISFET Q with low threshold voltage
each of n. The p-channel MISFET includes a p-channel MISFET Qp having a standard threshold voltage, a p-channel MISFET Qp having a low threshold voltage, and a p-channel MISFET Qp having a high threshold voltage.

【0273】前記メモリセル選択用MISFETQs
(後述する製造方法において領域Iに形成される)はn
チャネルMISFETとしては最っとも高いしきい値電
圧に設定される。つまり、メモリセル選択用MISFE
TQsは、メモリセルアレイ11Eにおいて、p−型ウ
エル領域22の主面部にp型半導体領域25Bが形成さ
れるので、表面の不純物濃度が高くなり、しきい値電圧
が高く設定される。具体的には、メモリセル選択用MI
SFETQsは、ゲート長寸法を0.8[μm]で形成し
た場合、しきい値電圧を0.8[V]に設定している。
MISFETQs for selecting the memory cell
N (formed in the region I in the manufacturing method described later) is n
The channel MISFET is set to the highest threshold voltage. That is, MISFE for memory cell selection
In the memory cell array 11E, since the p-type semiconductor region 25B is formed in the main surface portion of the p-type well region 22, the TQs has a high impurity concentration on the surface and a high threshold voltage. Specifically, the memory cell selection MI
When the gate length dimension of the SFET Qs is 0.8 [μm], the threshold voltage is set to 0.8 [V].

【0274】前記標準しきい値電圧を有するnチャネル
MISFETQn(領域IIIに形成される)は、センス
アンプ回路(SA)13を除く周辺回路の大部分つまり
低電源電圧Vccで動作させる領域で使用されている。こ
の標準しきい値電圧を有するnチャネルMISFETQ
nは、ゲート長寸法を0.8[μm]で形成した場合、し
きい値電圧を0.5[V]に設定している。
The n-channel MISFET Qn (formed in the region III) having the standard threshold voltage is used in most of the peripheral circuits except the sense amplifier circuit (SA) 13, that is, in the region operated with the low power supply voltage Vcc. ing. N-channel MISFETQ having this standard threshold voltage
When the gate length dimension is 0.8 [μm], the threshold voltage of n is set to 0.5 [V].

【0275】前記低しきい値電圧を有するnチャネルM
ISFETQn(領域IIに形成される)は、主に前記セ
ンスアンプ回路13、出力バッファ回路1702の夫々
で使用されている。この低しきい値電圧を有するnチャ
ネルMISFETQnは、ゲート電極27の加工ばらつ
き特にゲート長寸法の変動に基づくしきい値電圧の変動
を低減するためにゲート長寸法を長く構成している。セ
ンスアンプ回路13は、ゲート長寸法が長くなると情報
判定時の感度が低下するので、nチャネルMISFET
Qnのしきい値電圧を低くしている。また、出力バッフ
ァ回路1702は、ゲート長寸法が長くなると次段装置
の駆動能力が低下するので、nチャネルMISFETQ
nのしきい値電圧を低く設定している。この低しきい値
電圧を有するnチャネルMISFETQnは、ゲート長
寸法を1.4[μm]で形成し、しきい値電圧を0.5[V]
に設定している。つまり、低しきい値電圧を有するnチ
ャネルMISFETQnは、ゲート長寸法を0.8[μ
m]に換算しなおすと、しきい値電圧を0.3[V]に設定
していることになる。
N-channel M having low threshold voltage
The ISFET Qn (formed in the region II) is mainly used in each of the sense amplifier circuit 13 and the output buffer circuit 1702. The n-channel MISFET Qn having the low threshold voltage has a long gate length dimension in order to reduce variations in the threshold voltage due to variations in processing of the gate electrode 27, particularly variations in the gate length dimension. In the sense amplifier circuit 13, since the sensitivity at the time of information determination decreases as the gate length increases, the n-channel MISFET
The threshold voltage of Qn is set low. Further, in the output buffer circuit 1702, when the gate length dimension becomes long, the driving capability of the next-stage device decreases, so that the n-channel MISFETQ
The threshold voltage of n is set low. The n-channel MISFET Qn having this low threshold voltage is formed with a gate length dimension of 1.4 [μm] and a threshold voltage of 0.5 [V].
Is set to. That is, the n-channel MISFET Qn having a low threshold voltage has a gate length dimension of 0.8 [μ
When converted back to m], the threshold voltage is set to 0.3 [V].

【0276】一方、前記標準しきい値電圧を有するpチ
ャネルMISFETQp(領域IVに形成される)は、セ
ンスアンプ回路13を除く周辺回路の大部分つまり低電
源電圧Vccで動作させる領域で使用されている。この標
準しきい値電圧を有するpチャネルMISFETQp
は、ゲート長寸法を0.8[μm]で形成した場合、しき
い値電圧を−0.5[V]に設定している。
On the other hand, the p-channel MISFET Qp having the standard threshold voltage (formed in the region IV) is used in most of the peripheral circuits except the sense amplifier circuit 13, that is, in the region where it is operated at the low power supply voltage Vcc. There is. P-channel MISFET Qp having this standard threshold voltage
When the gate length dimension is 0.8 [μm], the threshold voltage is set to −0.5 [V].

【0277】前記低しきい値電圧を有するpチャネルM
ISFETQp(領域Vに形成される)は前記センスア
ンプ回路13で使用される。また、低しきい値電圧を有
するpチャネルMISFETQpはVCC用リミッタ回
路1804、VDL用リミッタ回路1810の夫々の基
準電圧発生回路の基準電位(前記低電源電圧Vcc約3.
3[V]を形成するための基準電位−1.0[V])を形成
する一方のpチャネルMISFETQpとして使用され
る。センスアンプ回路13として使用される低しきい値
電圧を有するpチャネルMISFETQpは、ゲート長
寸法を1.4[μm]で形成し、しきい値電圧を−0.5
[V]に設定している(しきい値電圧は絶対値で低い)。
つまり、低しきい値電圧を有するpチャネルMISFE
TQpは、ゲート長寸法を0.8[μm]に換算しなおす
と、しきい値電圧を−0.2[V]に設定していることに
なる。一方、基準電圧発生回路で使用される低しきい値
電圧を有するpチャネルMISFETQpは、ゲート長
寸法を8[μm]で形成し、しきい値電圧を−0.6[V]
に設定している。つまり、低しきい値電圧を有するpチ
ャネルMISFETQpは、ゲート長寸法を0.8[μ
m]に換算しなおすと、しきい値電圧を−0.2[V]に設
定していることになる。
P channel M having said low threshold voltage
The ISFET Qp (formed in the region V) is used in the sense amplifier circuit 13. Further, the p-channel MISFET Qp having a low threshold voltage is a reference potential of the reference voltage generating circuit of the VCC limiter circuit 1804 and the VDL limiter circuit 1810 (the low power supply voltage Vcc is about 3.
It is used as one p-channel MISFET Qp forming a reference potential −1.0 [V]) for forming 3 [V]. The p-channel MISFET Qp having a low threshold voltage used as the sense amplifier circuit 13 has a gate length dimension of 1.4 [μm] and a threshold voltage of −0.5.
It is set to [V] (threshold voltage is low in absolute value).
That is, a p-channel MISFE having a low threshold voltage
When the gate length dimension of TQp is converted back to 0.8 [μm], the threshold voltage is set to −0.2 [V]. On the other hand, the p-channel MISFET Qp having a low threshold voltage used in the reference voltage generation circuit is formed with a gate length dimension of 8 [μm] and a threshold voltage of −0.6 [V].
Is set to. That is, the p-channel MISFET Qp having a low threshold voltage has a gate length dimension of 0.8 [μ
When converted back to m], the threshold voltage is set to -0.2 [V].

【0278】前記高しきい値電圧を有するpチャネルM
ISFETQp(領域VIに形成される)は前記基準電圧
発生回路の基準電位を形成する他方のpチャネルMIS
FETQpとして使用される。この基準電圧発生回路で
使用される高しきい値電圧を有するpチャネルMISF
ETQpは、ゲート長寸法を8[μm]で形成し、しきい
値電圧を−1.6[V]に設定している(しきい値電圧は
絶対値で高い)。つまり、高しきい値電圧を有するpチ
ャネルMISFETQpは、ゲート長寸法を0.8[μ
m]に換算しなおすと、しきい値電圧を−1.2[V]に設
定していることになる。
P-channel M with high threshold voltage
ISFET Qp (formed in the region VI) is the other p-channel MIS that forms the reference potential of the reference voltage generating circuit.
Used as FET Qp. P channel MISF having high threshold voltage used in this reference voltage generating circuit
The ETQp has a gate length dimension of 8 [μm] and a threshold voltage of −1.6 [V] (the threshold voltage is high in absolute value). That is, the p-channel MISFET Qp having a high threshold voltage has a gate length dimension of 0.8 [μ
When converted back to m], the threshold voltage is set to -1.2 [V].

【0279】次に、このDRAM1の夫々のMISFE
Tの形成方法について簡単に説明する。
Next, each MISFE of this DRAM 1
A method of forming T will be briefly described.

【0280】まず、前述の実施の形態1のDRAM1の
製造方法と同様に、p−型半導体基板20の主面部にn
−型ウエル領域21、p−型ウエル領域22の夫々を形
成し、この後、素子間分離用絶縁膜23、p型チャネル
ストッパ領域24、p型チャネルストッパ領域25A、
p型半導体領域25Bの夫々を順次形成する。この形成
された状態を図68に示す。前記DRAM1は高集積化
により、pチャネルMISFETQp間の離隔寸法が縮
小され分離能力が低下するので、前記n−型ウエル領域
21の不純物濃度は若干高く設定される。具体的にはn
−型ウエル領域21は例えば1×1013〜3×1013[a
toms/cm2]程度の不純物濃度に設定する。このn−型ウ
エル領域21の不純物濃度は領域VIに形成されるpチャ
ネルMISFETQpの高しきい値電圧(絶対値)を設
定することができる。一方、前記DRAM1は高集積化
により、標準しきい値電圧を有するnチャネルMISF
ETQnのゲート長寸法が縮小されるので、基板効果定
数が低下し、p−型ウエル領域22の不純物濃度は短チ
ャネル効果を抑制するために若干高く設定することがで
きる。具体的にはp−型ウエル領域22は例えば7×1
12〜9×1012[atoms/cm2]程度の不純物濃度に設定
する。このp−型ウエル領域22の不純物濃度は領域II
に形成されるnチャネルMISFETQnの低しきい値
電圧を設定することができる。また、前記p−型ウエル
領域22の不純物濃度及びp型半導体領域25Bからの
不純物のわき上がりにより、領域Iのメモリセル選択用
MISFETQsの高しきい値電圧を設定することがで
きる。
First, as in the method of manufacturing the DRAM 1 of the first embodiment described above, n is formed on the main surface portion of the p--type semiconductor substrate 20.
Each of the − type well region 21 and the p − type well region 22 is formed, and thereafter, the element isolation insulating film 23, the p type channel stopper region 24, the p type channel stopper region 25A,
Each of the p-type semiconductor regions 25B is sequentially formed. This formed state is shown in FIG. As the DRAM 1 is highly integrated, the separation dimension between the p-channel MISFETs Qp is reduced and the separation ability is lowered, so that the impurity concentration of the n-type well region 21 is set to be slightly higher. Specifically, n
The − type well region 21 has, for example, 1 × 10 13 to 3 × 10 13 [a
The impurity concentration is set to about toms / cm 2 ]. The impurity concentration of the n-type well region 21 can set the high threshold voltage (absolute value) of the p-channel MISFET Qp formed in the region VI. On the other hand, the DRAM 1 is highly integrated, and thus has an n-channel MISF having a standard threshold voltage.
Since the gate length dimension of ETQn is reduced, the substrate effect constant is lowered, and the impurity concentration of the p--type well region 22 can be set slightly higher to suppress the short channel effect. Specifically, the p-type well region 22 has, for example, 7 × 1.
The impurity concentration is set to about 0 12 to 9 × 10 12 [atoms / cm 2 ]. The impurity concentration of the p-type well region 22 is the region II.
It is possible to set the low threshold voltage of the n-channel MISFET Qn formed in the above. Further, the high threshold voltage of the memory cell selecting MISFET Qs in the region I can be set by the impurity concentration of the p-type well region 22 and the diffusion of the impurity from the p-type semiconductor region 25B.

【0281】次に、図69に示すように、領域IIIにp
型不純物22pを導入し、nチャネルMISFETQn
の標準しきい値電圧を設定する。p型不純物22pは、
例えば1×1012〜2×1012[atoms/cm2]程度の不純
物濃度のBを用い、15〜25[KeV]程度のエネルギ
のイオン打込法で導入する。このp型不純物22pの導
入の際には同図69に示す不純物導入マスク(例えばフ
ォトレジスト膜)110を使用する。
Next, as shown in FIG. 69, p is added to the region III.
N-channel MISFETQn
Set the standard threshold voltage of. The p-type impurity 22p is
For example, B having an impurity concentration of about 1 × 10 12 to 2 × 10 12 [atoms / cm 2 ] is used, and ion implantation is performed with an energy of about 15 to 25 [KeV]. When introducing the p-type impurity 22p, an impurity introduction mask (for example, a photoresist film) 110 shown in FIG. 69 is used.

【0282】次に、図70に示すように、領域IVにp型
不純物21p1を導入し、pチャネルMISFETQp
の標準しきい値電圧を設定する。p型不純物21p
1は、例えば2.0×1012〜2.2×1012[atoms/c
m2]程度の不純物濃度のBを用い、15〜25[KeV]
程度のエネルギのイオン打込法で導入する。このp型不
純物21p1の導入の際には同図70に示す不純物導入
マスク(例えばフォトレジスト膜)111を使用する。
Next, as shown in FIG. 70, p-type impurity 21p 1 is introduced into region IV to p-channel MISFET Qp.
Set the standard threshold voltage of. p-type impurity 21p
1 is, for example, 2.0 × 10 12 to 2.2 × 10 12 [atoms / c
m 2 ], and B having an impurity concentration of about 15 to 25 [KeV]
It is introduced by an ion implantation method with a certain level of energy. When introducing this p-type impurity 21p 1 , an impurity introduction mask (for example, a photoresist film) 111 shown in FIG. 70 is used.

【0283】次に、図71に示すように、領域Vにp型
不純物21p2を導入し、pチャネルMISFETQp
の低しきい値電圧を設定する。このp型不純物21p2
は、例えば2.4×1012〜2.6×1012[atoms/cm2]
程度の不純物濃度のBを用い、15〜25[KeV]程度
のエネルギのイオン打込法で導入する。このp型不純物
21p2の導入の際には同図70に示す不純物導入マス
ク(例えばフォトレジスト膜)112を使用する。
Then, as shown in FIG. 71, a p-type impurity 21p 2 is introduced into the region V to p-channel MISFET Qp.
Set the low threshold voltage of. This p-type impurity 21p 2
Is, for example, 2.4 × 10 12 to 2.6 × 10 12 [atoms / cm 2 ].
B is used with an impurity concentration of about 15 to 25 [KeV], and the ion implantation method is used. When introducing this p-type impurity 21p 2 , an impurity introduction mask (for example, a photoresist film) 112 shown in FIG. 70 is used.

【0284】なお、前述のしきい値電圧調整用不純物の
導入順序はこれに限らず、いずれを先に又は後に導入し
てもよい。
The order of introducing the threshold voltage adjusting impurities is not limited to this, and any of them may be introduced first or later.

【0285】このように、(35−20)相補型MIS
FETを有するDRAM1において、nチャネルMIS
FETQnの低しきい値電圧を設定する不純物濃度でp
−型ウエル領域22、pチャネルMISFETQpの高
しきい値電圧(絶対値)を設定する不純物濃度でn−型
ウエル領域21の夫々をp−型半導体基板20の異なる
領域の主面部に形成する工程と、前記p−型ウエル領域
22の主面部に、しきい値電圧調整用p型不純物22p
を導入し、nチャネルMISFETQnの標準しきい値
電圧を設定すると共に、前記n−型ウエル領域21の主
面部に、しきい値電圧調整用不純物21p1(又は21
2)を導入し、pチャネルMISFETの標準(又は
絶対値で低い)しきい値電圧を設定する工程とを備え
る。この構成により、前記nチャネルMISFETの低
しきい値電圧をp−型ウエル領域22の不純物濃度で設
定すると共に、前記pチャネルMISFETQpの高し
きい値電圧をn−型ウエル領域21の不純物濃度で設定
することができ、4種類のしきい値電圧の設定を2回の
しきい値電圧調整用p型不純物22p、21p1(又は
21p2)の夫々の導入で行うことができるので、しき
い値電圧調整用不純物の導入工程数を低減することがで
きる。
In this way, the (35-20) complementary MIS
In the DRAM 1 having the FET, the n-channel MIS
P is the impurity concentration that sets the low threshold voltage of FET Qn.
Forming each of the n-type well regions 21 in the main surface portion of a different region of the p-type semiconductor substrate 20 with an impurity concentration that sets a high threshold voltage (absolute value) of the p-type well region 22 and the p-channel MISFET Qp. And a p-type impurity 22p for adjusting the threshold voltage on the main surface of the p-type well region 22.
Is introduced to set the standard threshold voltage of the n-channel MISFET Qn, and the threshold voltage adjusting impurities 21p 1 (or 21) are added to the main surface of the n − type well region 21.
p 2 ) and setting the standard (or low in absolute value) threshold voltage of the p-channel MISFET. With this configuration, the low threshold voltage of the n-channel MISFET is set by the impurity concentration of the p-type well region 22, and the high threshold voltage of the p-channel MISFET Qp is set by the impurity concentration of the n-type well region 21. can be set, it is possible to perform four different settings of the threshold voltage twice the threshold voltage adjusting p-type impurity 22p, with the introduction of each of 21p 1 (or 21p 2), sill It is possible to reduce the number of steps of introducing the value voltage adjusting impurities.

【0286】また、(36−21)前記n−型ウエル領
域21、p−型ウエル領域22の夫々はp−型半導体基
板20の主面部に夫々に対して自己整合で形成する。こ
の構成により、前記n−型ウエル領域21、p−型ウエ
ル領域22の夫々以外にp−型半導体基板20の表面を
露出させる工程がいらないので、この工程に相当する
分、DRAM1の製造工程数を低減することができる。
(36-21) Each of the n-type well region 21 and the p-type well region 22 is formed on the main surface of the p-type semiconductor substrate 20 in a self-aligned manner. With this configuration, there is no need for a step of exposing the surface of the p-type semiconductor substrate 20 except for the n-type well region 21 and the p-type well region 22, respectively. Can be reduced.

【0287】また、(37−22)基準電圧を発生させ
るpチャネルMISFETQp及び標準しきい値電圧を
有するpチャネルMISFETQpを備えたDRAM1
において、前記基準電圧を発生させるpチャネルMIS
FETQpの高しきい値電圧(絶対値で高い)を設定す
る不純物濃度でn−型ウエル領域21を形成する工程
と、このn−型ウエル領域21の異なる領域に、しきい
値電圧調整用不純物21p1(又は21p2)を導入し、
pチャネルMISFETQpの標準しきい値電圧(又は
低しきい値電圧)を設定する工程と、このn−型ウエル
領域21の異なる領域に、しきい値電圧調整用不純物2
1p2(又は21p1)を導入し、pチャネルMISFE
TQpの低しきい値電圧(又は標準しきい値電圧)を設
定する工程とを備える。この構成により、前記基準電圧
を発生させるpチャネルMISFETQpの低しきい値
電圧をn−型ウエル領域21の不純物濃度で設定するこ
とができ、3種類のしきい値電圧の設定を2回のしきい
値電圧調整用不純物21p1、21p2の夫々の導入で行
うことができるので、しきい値電圧調整用不純物の導入
工程数を低減することができる。
Further, (37-22) a DRAM 1 having a p-channel MISFET Qp for generating a reference voltage and a p-channel MISFET Qp having a standard threshold voltage.
In, a p-channel MIS for generating the reference voltage
A step of forming the n-type well region 21 at an impurity concentration that sets a high threshold voltage (high in absolute value) of the FET Qp, and threshold voltage adjusting impurities in different regions of the n-type well region 21. 21p 1 (or 21p 2 ) is introduced,
The step of setting the standard threshold voltage (or low threshold voltage) of the p-channel MISFET Qp, and the threshold voltage adjusting impurities 2 in different regions of the n − type well region 21.
Introducing 1p 2 (or 21p 1 ) and p channel MISFE
Setting a low threshold voltage (or standard threshold voltage) of TQp. With this configuration, the low threshold voltage of the p-channel MISFET Qp that generates the reference voltage can be set by the impurity concentration of the n-type well region 21, and the three types of threshold voltages can be set twice. Since it can be performed by introducing the threshold voltage adjusting impurities 21p 1 and 21p 2, respectively , the number of steps of introducing the threshold voltage adjusting impurities can be reduced.

【0288】(実施の形形態7)本実施の形形態7は、
前述の実施の形態1のDRAM1において、メモリセル
Mのスタックド構造の情報蓄積用容量素子Cの電荷蓄積
量を増加した、本発明の第7実施の形態である。
(Embodiment 7) This embodiment 7 is
It is a seventh embodiment of the present invention in which the charge storage amount of the information storage capacitor C of the stacked structure of the memory cell M is increased in the DRAM 1 of the first embodiment.

【0289】本発明の実施の形形態7であるDRAM1
の要部を図72(所定の製造工程におけるメモリセルア
レイの要部平面図)で示す。
DRAM 1 according to the seventh embodiment of the present invention
72 is a plan view of a main part of a memory cell array in a predetermined manufacturing process).

【0290】図72に示すように、本実施の形形態7の
DRAM1のメモリセルMは、スタックド構造の情報蓄
積用容量素子Cの下層電極層35に溝35gを設けてい
る。つまり、スタックド構造の情報蓄積用容量素子C
は、前記下層電極層35の溝35gの内壁により高さ方
向に表面々積を増加することができるので、電荷蓄積量
を向上することができる。この溝35gはワード線(W
L)27の延在する方向に下層電極層35を横切るよう
に構成されている。
As shown in FIG. 72, in the memory cell M of the DRAM 1 of the seventh embodiment, the groove 35g is provided in the lower electrode layer 35 of the information storage capacitor C having the stacked structure. That is, the information storage capacitor C having a stacked structure
Can increase the surface area in the height direction by the inner wall of the groove 35g of the lower electrode layer 35, so that the charge storage amount can be improved. This groove 35g is used for the word line (W
L) 27 is configured to cross the lower electrode layer 35 in the extending direction.

【0291】次に、前記メモリセルMのスタックド構造
の情報蓄積用容量素子Cの形成方法について、図73乃
至図76(各製造工程毎に示す要部断面図)を用いて簡
単に説明する。
Next, a method of forming the information storage capacitive element C having the stacked structure of the memory cell M will be briefly described with reference to FIGS. 73 to 76 (main part sectional views showing respective manufacturing steps).

【0292】まず、前述の実施の形態1のDRAM1の
製造方法と同様に、メモリセルMのメモリセル選択用M
ISFETQsを形成した後、図73に示すように、層
間絶縁膜33を形成する。
First, similarly to the method of manufacturing the DRAM 1 of the first embodiment, the memory cell M for memory cell selection is selected.
After forming the ISFET Qs, as shown in FIG. 73, the interlayer insulating film 33 is formed.

【0293】次に、図74に示すように、前記層間絶縁
膜33上を含む基板全面に多結晶珪素膜35Bを形成す
る。多結晶珪素膜35Bは、前述のように厚い膜厚で形
成され、抵抗値を低減するn型不純物が導入されてい
る。n型不純物の導入には、前記実施の形態1に記載さ
れた、多結晶珪素膜を分割して複数層堆積し、その堆積
毎に熱拡散法によりn型不純物を導入する方法を採用す
る。また、n型不純物の導入には、前記実施の形態5に
記載された、n型不純物が導入されていない多結晶珪素
膜、n型不純物が導入されている多結晶珪素膜の夫々を
交互に積層し、この後に熱処理を施す方法を採用する。
Then, as shown in FIG. 74, a polycrystalline silicon film 35B is formed on the entire surface of the substrate including the interlayer insulating film 33. The polycrystalline silicon film 35B is formed with a large film thickness as described above, and has n-type impurities for reducing the resistance value introduced therein. The introduction of the n-type impurity employs the method described in the first embodiment, in which the polycrystalline silicon film is divided and deposited in a plurality of layers, and the n-type impurity is introduced by a thermal diffusion method for each deposition. Further, in introducing the n-type impurity, the polycrystalline silicon film in which the n-type impurity is not introduced and the polycrystalline silicon film in which the n-type impurity is introduced described in the fifth embodiment are alternately arranged. A method of stacking and then performing heat treatment is adopted.

【0294】次に、図75に示すように、メモリセル選
択用MISFETQsとスタックド構造の情報蓄積用容
量素子Cの下層電極層35との接続部分において、多結
晶珪素膜35B、層間絶縁膜33の夫々を順次除去し、
溝35gを形成する。溝35gは例えば異方性エッチン
グで形成される。この溝35gを形成することにより、
メモリセル選択用MISFETQsの他方のn型半導体
領域29の表面が露出される。
Next, as shown in FIG. 75, the polycrystalline silicon film 35B and the interlayer insulating film 33 are formed in the connection portion between the memory cell selecting MISFET Qs and the lower electrode layer 35 of the information storing capacitive element C of the stacked structure. Remove each one in turn,
The groove 35g is formed. The groove 35g is formed by anisotropic etching, for example. By forming this groove 35g,
The surface of the other n-type semiconductor region 29 of the memory cell selecting MISFET Qs is exposed.

【0295】次に、前記溝35gの内壁の表面及び露出
されたn型半導体領域29の表面を含む多結晶珪素膜3
5Bの全表面上に多結晶珪素膜35Cを形成する。この
多結晶珪素膜35Cは前記溝35g内を埋込まない薄い
膜厚(段差形状を確保できる膜厚)で形成される。多結
晶珪素膜35Cにはn型不純物が導入され、このn型不
純物はメモリセル選択用MISFETQsの短チャネル
効果を低減するために多結晶珪素膜35Bに比べて低不
純物濃度で導入される。
Next, the polycrystalline silicon film 3 including the surface of the inner wall of the groove 35g and the exposed surface of the n-type semiconductor region 29.
A polycrystalline silicon film 35C is formed on the entire surface of 5B. The polycrystalline silicon film 35C is formed with a thin film thickness (a film thickness capable of ensuring a step shape) so as not to fill the groove 35g. An n-type impurity is introduced into the polycrystalline silicon film 35C, and this n-type impurity is introduced at a lower impurity concentration than the polycrystalline silicon film 35B in order to reduce the short channel effect of the memory cell selecting MISFETQs.

【0296】次に、図76に示すように、前記多結晶珪
素膜35C、35Bの夫々を順次パターンニングし、下
層電極層35を形成する。これ以後の製造方法は、前記
実施の形態1のDRAM1の製造方法と実施の形態質的
に同様であるので、ここでの説明は省略する。
Next, as shown in FIG. 76, the polycrystalline silicon films 35C and 35B are sequentially patterned to form a lower electrode layer 35. The subsequent manufacturing method is qualitatively the same as the manufacturing method of the DRAM 1 of the first embodiment, and therefore the description thereof is omitted here.

【0297】このように、DRAM1のメモリセルMの
スタックド構造の情報蓄積用容量素子Cにおいて、下層
電極層35に溝35gを設けることにより、前記溝35
gに相当する分、電荷蓄積量を向上することができる。
As described above, in the information storage capacitive element C of the stacked structure of the memory cell M of the DRAM 1, the groove 35g is provided in the lower electrode layer 35, so that the groove 35 is formed.
The amount of accumulated charge can be increased by an amount corresponding to g.

【0298】また、前記スタックド構造の情報蓄積用容
量素子Cの下層電極層35は、図77(所定の製造工程
におけるメモリセルの要部平面図)に示すように、相補
性データ線(DL)50の延在方向に横切る溝35gを
設けてもよい。本実施の形形態7のDRAM1は、フォ
ールデッドビットライン方式を採用しているので、下層
電極層35のワード線27の延在方向の配置間隔が小さ
く、下層電極層35が相補性データ線50の延在方向に
長い長方形状で形成されている。したがって、前記溝3
5gによる下層電極層35の表面々積の増加が前述のも
のに比べて大きくなる。
Further, the lower electrode layer 35 of the information storing capacitive element C of the stacked structure has a complementary data line (DL) as shown in FIG. 77 (plan view of the main part of the memory cell in a predetermined manufacturing process). You may provide the groove 35g which traverses in the extending direction of 50. Since the DRAM 1 of the seventh embodiment adopts the folded bit line system, the arrangement interval of the lower electrode layers 35 in the extending direction of the word lines 27 is small, and the lower electrode layers 35 have the complementary data lines 50. Is formed in a rectangular shape that is long in the extending direction. Therefore, the groove 3
The increase in the surface area of the lower electrode layer 35 due to 5 g is larger than that described above.

【0299】この図77に示すスタックド構造の情報蓄
積用容量素子Cの形成方法については、図78乃至図8
0(各製造工程毎に示す要部断面図)を用いて簡単に説
明する。
The method of forming the information storage capacitive element C of the stacked structure shown in FIG. 77 will be described with reference to FIGS.
A brief description will be given by using 0 (a cross-sectional view of a main part shown in each manufacturing process).

【0300】まず、図78に示すように、層間絶縁膜3
3上を含む基板全面に多結晶珪素膜35Bを形成する。
First, as shown in FIG. 78, the interlayer insulating film 3 is formed.
A polycrystalline silicon film 35B is formed on the entire surface of the substrate including the upper surface of the substrate 3.

【0301】次に、図79に示すように、前記多結晶珪
素膜35Bに溝35gを形成する。
Next, as shown in FIG. 79, a groove 35g is formed in the polycrystalline silicon film 35B.

【0302】次に、前記多結晶珪素膜35B上に多結晶
珪素膜35Cを形成し、この多結晶珪素膜35C、35
Bの夫々にパターンニングを施すことにより、図80に
示すように、下層電極層35を形成することができる。
Next, a polycrystalline silicon film 35C is formed on the polycrystalline silicon film 35B, and the polycrystalline silicon films 35C and 35C are formed.
By patterning each of B, the lower electrode layer 35 can be formed as shown in FIG.

【0303】また、前記図72乃至図76図において説
明したスタックド構造の情報蓄積用容量素子Cの下層電
極層35は、図81乃至図84(各製造工程毎に示す要
部断面図)に示すように、より電荷蓄積量を向上するこ
とができる。
The lower electrode layer 35 of the information storage capacitor C having the stacked structure described with reference to FIGS. 72 to 76 is shown in FIGS. 81 to 84 (main part sectional views showing respective manufacturing steps). As described above, the charge storage amount can be further improved.

【0304】まず、図81に示すように、多結晶珪素膜
35Bを形成した後、図82に示すように、溝35gを
形成する。
First, a polycrystalline silicon film 35B is formed as shown in FIG. 81, and then a groove 35g is formed as shown in FIG.

【0305】次に、図83に示すように、多結晶珪素膜
35Bを予じめ下層電極層35の形状にパターンニング
すると共に溝35gを形成する。
Next, as shown in FIG. 83, the polycrystalline silicon film 35B is previously patterned into the shape of the lower electrode layer 35 and a groove 35g is formed.

【0306】次に、前記溝35gの内壁の表面上、多結
晶珪素膜35Bの表面上及びn型半導体領域29の露出
された表面上を含む基板全面に多結晶珪素膜35Cを形
成する。
Next, a polycrystalline silicon film 35C is formed on the entire surface of the substrate including the surface of the inner wall of the groove 35g, the surface of the polycrystalline silicon film 35B and the exposed surface of the n-type semiconductor region 29.

【0307】次に、前記多結晶珪素膜35Cを異方性エ
ッチングでパターンニングすることにより、下層電極層
35を形成する。下層電極層35は、前記溝35gによ
り前述と同様に電荷蓄積量を向上することができると共
に、下層電極層35の多結晶珪素膜35Bの外周の側壁
に多結晶珪素膜35Cを残存させることができるので、
この残存した多結晶珪素膜35Cの膜厚に相当する分、
さらに電荷蓄積量を向上することができる。
Next, the lower electrode layer 35 is formed by patterning the polycrystalline silicon film 35C by anisotropic etching. The lower electrode layer 35 can improve the charge storage amount by the groove 35g as described above, and can leave the polycrystalline silicon film 35C on the outer sidewall of the polycrystalline silicon film 35B of the lower electrode layer 35. Because you can
Corresponding to the film thickness of the remaining polycrystalline silicon film 35C,
Further, the amount of accumulated charge can be improved.

【0308】また、同様に前記図77乃至図80におい
て説明したスタックド構造の情報蓄積用容量素子Cの下
層電極層35は、図85乃至図88(各製造工程毎に示
す要部断面図)に示すように、より電荷蓄積量を向上す
ることができる。
Similarly, the lower electrode layer 35 of the information storage capacitor C having the stacked structure described in FIGS. 77 to 80 is shown in FIGS. 85 to 88 (main part sectional views showing each manufacturing process). As shown, the charge storage amount can be further improved.

【0309】まず、図85に示すように、多結晶珪素膜
35Bを形成した後、図86に示すように、溝35gを
形成する。
First, a polycrystalline silicon film 35B is formed as shown in FIG. 85, and then a groove 35g is formed as shown in FIG.

【0310】次に、図87に示すように、多結晶珪素膜
35Bを予じめ下層電極層35の形状にパターンニング
する。
Next, as shown in FIG. 87, the polycrystalline silicon film 35B is preliminarily patterned into the shape of the lower electrode layer 35.

【0311】次に、前記溝35gの内壁の表面上、多結
晶珪素膜35Bの表面上及びn型半導体領域29の露出
された表面上を含む基板全面に多結晶珪素膜35Cを形
成する。
Next, a polycrystalline silicon film 35C is formed on the entire surface of the substrate including the surface of the inner wall of the groove 35g, the surface of the polycrystalline silicon film 35B and the exposed surface of the n-type semiconductor region 29.

【0312】次に、前記多結晶珪素膜35Cを異方性エ
ッチングでパターンニングすることにより、下層電極層
35を形成する。下層電極層35は、多結晶珪素膜35
Bの外周の側壁に多結晶珪素膜35Cを残存させること
ができるので、この残存した多結晶珪素膜35Cの膜厚
に相当する分、さらに電荷蓄積量を向上することができ
る。
Next, the lower electrode layer 35 is formed by patterning the polycrystalline silicon film 35C by anisotropic etching. The lower electrode layer 35 is a polycrystalline silicon film 35.
Since the polycrystalline silicon film 35C can be left on the side wall of the outer periphery of B, the charge storage amount can be further improved by the amount corresponding to the film thickness of the remaining polycrystalline silicon film 35C.

【0313】(実施の形態8)本実施の形態8は、前述
の実施の形態1のDRAM1の製造方法において、マス
ク合せ(アライメント)ずれ量を低減し、集積度を向上
した、本発明の第8実施の形態である。
(Embodiment 8) The eighth embodiment of the present invention is a method of manufacturing the DRAM 1 of the first embodiment, in which the amount of mask alignment (alignment) deviation is reduced and the degree of integration is improved. 8th Embodiment.

【0314】本発明の実施の形態8であるDRAM1の
製造プロセスにおいて、アライメント関係を図89(ア
ライメントツリー図)で示す。
FIG. 89 (alignment tree diagram) shows the alignment relationship in the manufacturing process of DRAM 1 according to the eighth embodiment of the present invention.

【0315】前記実施の形態1のDRAM1は、製造工
程において、下層のパターンに対して上層のパターンを
アライメント(位置の合せを行っている)。図89
(A)に、X方向(例えばワード線の延在方向)のアラ
イメントの関係を示す。本実施の形態8のDRAM1
は、アライメントの基準をn−型ウエル領域21で行っ
ている。素子間分離用絶縁膜23はn−型ウエル領域2
1に対してX方向のアライメントを行っている。ゲート
電極(ワード線)27は素子間分離用絶縁膜23に対し
てX方向のアライメントを行っている。このゲート電極
27はその上層のアライメントの基準となる。スタック
ド構造の情報蓄積用容量素子Cの下層電極層35、上層
電極層37、接続孔40Aの夫々は前記ゲート電極27
に対してX方向のアライメントを行っている。
In the manufacturing process of the DRAM 1 of the first embodiment, the upper layer pattern is aligned (position is aligned) with the lower layer pattern. Fig. 89
(A) shows the relationship of alignment in the X direction (for example, the extending direction of word lines). DRAM 1 of the eighth embodiment
Performs the alignment reference in the n-type well region 21. The insulating film 23 for element isolation is formed in the n-type well region 2
1 is aligned in the X direction. The gate electrode (word line) 27 performs alignment in the X direction with respect to the element isolation insulating film 23. The gate electrode 27 serves as a reference for alignment of the upper layer. Each of the lower electrode layer 35, the upper electrode layer 37, and the connection hole 40A of the stacked structure information storage capacitor C has the gate electrode 27.
Is aligned in the X direction.

【0316】これに対して、図89(B)に、Y方向
(例えば相補性データ線の延在方向)のアライメントの
関係を示す。本実施の形態8のDRAM1はX方向及び
Y方向の2方向でアライメントを行っている。同様に、
n−型ウエル領域21はアライメントの基準とされ、素
子間分離用絶縁膜23はn−型ウエル領域21に対して
Y方向のアライメントを行っている。ゲート電極27は
素子間分離用絶縁膜23に対してY方向のアライメント
を行っている。下層電極層35は、X方向のアライメン
トと異なり、素子間分離用絶縁膜23に対してY方向の
アライメントを行っている。上層電極層37、接続孔4
0Aの夫々は前記ゲート電極27に対してY方向のアラ
イメントを行っている。
On the other hand, FIG. 89B shows the alignment relationship in the Y direction (for example, the extending direction of the complementary data lines). The DRAM 1 of the eighth embodiment performs alignment in two directions, the X direction and the Y direction. Similarly,
The n − type well region 21 is used as a reference for alignment, and the element isolation insulating film 23 performs alignment in the Y direction with respect to the n − type well region 21. The gate electrode 27 performs alignment in the Y direction with respect to the element isolation insulating film 23. Unlike the X-direction alignment, the lower electrode layer 35 performs the Y-direction alignment with respect to the element isolation insulating film 23. Upper electrode layer 37, connection hole 4
Each of 0A is aligned in the Y direction with respect to the gate electrode 27.

【0317】前記素子間分離用絶縁膜23に対してスタ
ックド構造の情報蓄積用容量素子Cの下層電極層35が
大きくアライメントずれを生じた場合、メモリセル選択
用MISFETQsの他方のn型半導体領域29と下層
電極層35とを接続する接続孔34に目開きを生じる
(図1参照)。この目開きは、下層電極層35の加工の
際に接続孔34内から露出するn型半導体領域29の表
面がエッチングされてしまう。したがって、素子間分離
用絶縁膜23に対して下層電極層35のアライメントず
れ量は最小限に押える必要がある。
When the lower electrode layer 35 of the information storing capacitive element C having the stacked structure is largely misaligned with the element isolation insulating film 23, the other n-type semiconductor region 29 of the memory cell selecting MISFET Qs is formed. Opening occurs in the connection hole 34 that connects the lower electrode layer 35 and the lower electrode layer 35 (see FIG. 1). This opening causes the surface of the n-type semiconductor region 29 exposed from the inside of the connection hole 34 to be etched when the lower electrode layer 35 is processed. Therefore, it is necessary to minimize the misalignment amount of the lower electrode layer 35 with respect to the element isolation insulating film 23.

【0318】前記下層電極層35をその下層であるゲー
ト電極27に対して単純にX方向、Y方向の夫々のアラ
イメントを行った場合、素子間分離用絶縁膜23とゲー
ト電極27との間、ゲート電極27と下層電極層35と
の間の夫々のアライメントずれ量σが生じるので、素子
間分離用絶縁膜23に対する下層電極層35のアライメ
ントずれ量は1.4σとなる。
When the lower electrode layer 35 is simply aligned in the X direction and the Y direction with respect to the gate electrode 27 which is the lower layer thereof, between the insulating film 23 for element isolation and the gate electrode 27, Since the amount of misalignment σ between the gate electrode 27 and the lower electrode layer 35 is generated, the amount of misalignment of the lower electrode layer 35 with respect to the element isolation insulating film 23 is 1.4σ.

【0319】そこで、本実施の形態8において、下層電
極層35は、図89(A)に示すように1層下のパター
ンであるゲート電極27に対してX方向(又はY方向)
をアライメントし、図89(B)に示すように2層下の
パターンである素子間分離用絶縁膜23に対してY方向
(又はX方向)のアライメントを行っている。つまり、
スタックド構造の情報蓄積用容量素子Cの下層電極層3
5は、素子間分離用絶縁膜23に対して又ゲート電極2
7に対してアライメントずれ量σしか生じない。この下
層電極層35は、上層のアライメントの基準とならない
層であるので、前述のように異なる層にまたがってアラ
イメントすることができる。
Therefore, in the eighth embodiment, the lower electrode layer 35 is in the X direction (or the Y direction) with respect to the gate electrode 27 which is a pattern one layer below, as shown in FIG. 89 (A).
89B, and the alignment in the Y direction (or the X direction) is performed with respect to the insulating film 23 for element isolation, which is a pattern two layers below, as shown in FIG. That is,
Lower electrode layer 3 of stacked information storage capacitor C
Reference numeral 5 denotes the gate electrode 2 with respect to the element isolation insulating film 23.
Only the amount of misalignment σ with respect to 7 occurs. Since the lower electrode layer 35 is a layer that does not serve as a reference for the alignment of the upper layer, it can be aligned over different layers as described above.

【0320】このように、(46−28)素子間分離用
絶縁膜23、ゲート電極27、下層電極層35の夫々異
なる3層のパターンをX方向及びY方向にアライメント
するアライメント方法において、前記ゲート電極(第2
層目パターン)27をその下層の素子間分離用絶縁膜
(第1層目パターン)23に対してX方向及びY方向に
アライメントし、このゲート電極27上に形成される下
層電極層(第3層目パターン)35をその下層のゲート
電極27に対してX方向(又はY方向)にアライメント
すると共にさらに下層の素子間分離用絶縁膜23に対し
てY方向(又はX方向)にアライメントする。この構成
により、前記素子間分離用絶縁膜23とゲート電極27
との間のアライメントずれ量、前記素子間分離用絶縁膜
23と下層電極層35との間のアライメントずれ量の夫
々を実質的に同一にすることができるので、素子間分離
用絶縁膜23と下層電極層35との間のアライメントず
れ量を低減することができる。この結果、製造工程にお
けるマスク合せ余裕寸法に相当する分、DRAM1の集
積度を向上することができる。また、前述のように、メ
モリセル選択用MISFETQsの他方のn型半導体領
域29と下層電極層35とを接続する接続孔34内に目
開きがなくなる。
As described above, in the alignment method for aligning (46-28) three elements different patterns of the inter-element isolation insulating film 23, the gate electrode 27, and the lower electrode layer 35 in the X direction and the Y direction, Electrode (second
The lower layer electrode layer (third pattern) 27 is formed on the gate electrode 27 by aligning the second layer pattern) 27 with the insulating film for isolation between elements (first layer pattern) 23 in the X direction and the Y direction. The layer pattern 35 is aligned in the X direction (or the Y direction) with respect to the gate electrode 27 in the lower layer, and is further aligned in the Y direction (or the X direction) with respect to the insulating film 23 for element isolation in the lower layer. With this structure, the insulating film for element isolation 23 and the gate electrode 27 are formed.
And the amount of alignment deviation between the element isolation insulating film 23 and the lower electrode layer 35 can be made substantially the same. The amount of misalignment with the lower electrode layer 35 can be reduced. As a result, the degree of integration of the DRAM 1 can be improved by the amount corresponding to the mask alignment margin in the manufacturing process. Further, as described above, there is no opening in the connection hole 34 that connects the other n-type semiconductor region 29 of the memory cell selection MISFET Qs and the lower electrode layer 35.

【0321】(実施の形態9)本実施の形態9は、前述
の実施の形態1のDRAM1において、前記実施の形態
8に記載されたアライメント方法を実施する際のターゲ
ットマークの好適な形成方法を説明する、本発明の第9
実施の形態である。
(Ninth Embodiment) The ninth embodiment is a preferred method of forming a target mark when performing the alignment method described in the eighth embodiment in the DRAM 1 of the first embodiment. 9th of this invention demonstrated
It is an embodiment.

【0322】本実施の形態9であるDRAM1のターゲ
ットマーク部分の構造を図90(要部断面図)に示す。
The structure of the target mark portion of the DRAM 1 of the ninth embodiment is shown in FIG. 90 (main part sectional view).

【0323】図90に示すように、ターゲットマークT
Mは、DRAM1の層間絶縁膜53に形成される接続孔
53D及び層間絶縁膜53上に形成される配線55で構
成される。ターゲットマークTMは、半導体ウエーハ状
態において、各DRAM1の形成領域間のスクライブエ
リア、DRAM1の形成領域の内部、又はダミーDRA
M1(DRAMとして使用せず、アライメントのターゲ
ットマークとして使用する)の形成領域に配置される。
As shown in FIG. 90, the target mark T
M is composed of a connection hole 53D formed in the interlayer insulating film 53 of the DRAM 1 and a wiring 55 formed on the interlayer insulating film 53. In the semiconductor wafer state, the target mark TM is a scribe area between the formation regions of the DRAMs 1, the inside of the formation region of the DRAMs 1, or the dummy DRA.
It is arranged in a formation region of M1 (not used as a DRAM but used as a target mark for alignment).

【0324】前記ターゲットマークTMは、層間絶縁膜
51上に配線(遷移金属膜)52が形成されていない領
域において、接続孔53Dを形成することにより形成す
ることができる。この接続孔53Dの内部には、下層に
配線52が存在しないので、選択CVD法で埋込用の遷
移金属膜54が堆積されず、配線55はステップカバレ
ッジの悪いアルミニウム合金膜55Bを使用しているの
で、接続孔53Dの段差形状で配線55の表面に段差形
状が形成される。この段差形状が前記ターゲットマーク
TMとして使用される。
The target mark TM can be formed by forming the connection hole 53D in the region where the wiring (transition metal film) 52 is not formed on the interlayer insulating film 51. Since the wiring 52 does not exist in the lower layer inside the connection hole 53D, the transition metal film 54 for embedding is not deposited by the selective CVD method, and the wiring 55 uses the aluminum alloy film 55B having poor step coverage. Therefore, the step shape of the connection hole 53D is formed on the surface of the wiring 55. This step shape is used as the target mark TM.

【0325】このように、前記ゲットマークTMは、D
RAM1の製造工程の接続孔53Dを形成する工程、配
線55を形成する工程の夫々を兼用して形成することが
できるので、製造工程数を低減することができる。
In this way, the get mark TM is D
Since the step of forming the connection hole 53D and the step of forming the wiring 55 in the manufacturing process of the RAM 1 can be performed in common, the number of manufacturing steps can be reduced.

【0326】(実施の形態10)本実施の形態10は、
前述の実施の形態1のDRAM1の製造方法において、
フォトリソグラフィ技術の露光時の焦点深度及び解像度
を向上した、本発明の第10実施の形態である。
(Tenth Embodiment) The tenth embodiment is
In the method of manufacturing the DRAM 1 of the first embodiment described above,
It is the 10th Embodiment of this invention which improved the depth of focus and the resolution at the time of exposure of a photolithographic technique.

【0327】本発明の実施の形態10であるDRAM1
の製造プロセスで使用されるフォトリソグラフィ技術の
各工程を図91(概念図)、図92(工程フロー図)の
夫々で示す。
DRAM 1 which is Embodiment 10 of the present invention
91 (conceptual diagram) and FIG. 92 (process flow chart) show respective steps of the photolithography technique used in the manufacturing process of FIG.

【0328】本実施の形態10のフォトリソグラフィ技
術は、FLEX(ocus atitudeenhancement Expos
ure)法及びCEL(ontrast nhancement ithogr
aphy)法を使用し、フォトレジスト膜の露光時の焦点深
度及び解像度を向上している。このフォトリソグラフィ
技術の露光処理の手順は次のとおりである。
[0328] photolithography of the tenth embodiment, FLEX (F ocus L atitudeenhancement Ex pos
ure) method and the CEL (C ontrast E nhancement L ithogr
aphy) method is used to improve the depth of focus and resolution of the photoresist film during exposure. The procedure of the exposure process of this photolithography technique is as follows.

【0329】図91及び図92に示すように、まず、半
導体ウエーハ100にフォトレジスト膜120を塗布す
る(1)。
As shown in FIGS. 91 and 92, first, a photoresist film 120 is applied to the semiconductor wafer 100 (1).

【0330】次に、前記半導体ウエーハ100に塗布さ
れたフォトレジスト膜120の表面にホトクロミックな
CEL材121Aを滴下し、ホトクロミックなCEL膜
121を塗布する(2)。ホトクロミックなCEL膜1
21としては例えば図93(構造式)に示すようにニト
ロンを使用する。このホトクロミックなCEL膜121
は、図94(露光に対する透過率を示す図)に示すよう
に、一定量以上の光照射を行う(照射開始t1)と透明
化(ブリーチング)する性質を有している。また、ホト
クロミックなCEL膜121は光照射を停止する(照射
終了t2)と次第に不透明化する性質を有している。し
かもこれらの性質は反復する性質を有している。
Next, a photochromic CEL material 121A is dropped on the surface of the photoresist film 120 applied to the semiconductor wafer 100 to apply the photochromic CEL film 121 (2). Photochromic CEL film 1
As the 21, for example, a nitrone is used as shown in FIG. 93 (structural formula). This photochromic CEL film 121
As shown in FIG. 94 (a diagram showing the transmittance with respect to exposure), has the property of being transparent (bleaching) when a certain amount of light is irradiated (irradiation start t 1 ). Further, the photochromic CEL film 121 has a property of gradually becoming opaque when the light irradiation is stopped (irradiation end t 2 ). Moreover, these properties are repetitive.

【0331】次に、投影露光装置において、投影光学系
124及び前記ホトクロミックなCEL膜121を介在
させ、レチクル125のパターンを前記半導体ウエーハ
100の表面に塗布されたフォトレジスト膜120に転
写する(3)。この露光は、FLEX法を使用し、焦点
深度を変えながらパターンを重畳して露光する。
Next, in the projection exposure apparatus, the pattern of the reticle 125 is transferred to the photoresist film 120 coated on the surface of the semiconductor wafer 100 with the projection optical system 124 and the photochromic CEL film 121 interposed ( 3). This exposure uses the FLEX method, and the pattern is superimposed and exposed while changing the depth of focus.

【0332】図95にホトクロミックなCEL膜121
の有無によるライン・アンド・スペースパターンへのF
LEX法の適用時の焦点深度の差を示す。図95(A)
は、ライン・アンド・スペースパターンの半導体ウエー
ハ100の表面(フォトレジスト膜120中)での露光
時の光強度プロファイルを示す。同図95(A)に示す
ように、レチクル125のクロムパターン125Aが存
在しない位置に対応する部分に光が照射され、焦点位置
(0[μm])の光強度が最大で、焦点位置から上下にず
れるにしたがって光強度が低下していく。
FIG. 95 shows a photochromic CEL film 121.
F to line and space pattern with or without
The difference in the depth of focus when the LEX method is applied is shown. FIG. 95 (A)
Shows a light intensity profile at the time of exposure on the surface (in the photoresist film 120) of the semiconductor wafer 100 having a line-and-space pattern. As shown in FIG. 95 (A), light is emitted to a portion of the reticle 125 corresponding to a position where the chrome pattern 125A does not exist, and the light intensity at the focus position (0 [μm]) is maximum, and the light is vertically moved from the focus position. The light intensity decreases as it shifts.

【0333】図95(B)は、FLEX法を適用し、半
導体ウエーハ100の表面を段階的に上下させ焦点深度
を高めた場合において、光強度プロファイルとホトクロ
ミックなCEL膜121の特性との関係を示す。同図9
5(B)において、半導体ウエーハ100の表面を0.
5[μm]上昇させると、(a)光強度はフォトレジスト
膜120の深い位置が高くなる。この光強度がホトクロ
ミックなCEL膜121を透明化する一定量に達する
と、(b)フォトレジスト膜120には前記一定量を越
えた量の光照射が行われる。前記光強度が一定量以下の
場合つまりフォトレジスト膜120の浅い位置は光照射
がホトクロミックなCEL膜121により遮断される。
次に、同図95(B)において、半導体ウエーハ100
の表面を0.5[μm]下降させると、(c)光強度はフ
ォトレジスト膜120の浅い位置が高くなる。この光強
度がホトクロミックなCEL膜121を透明化する一定
量に達すると、(d)フォトレジスト膜120には前記
一定量を越えた量の光照射が行われる。前記光強度が一
定量以下の場合つまりフォトレジスト膜120の深い位
置は光照射がホトクロミックなCEL膜121により遮
断される。
FIG. 95B shows the relationship between the light intensity profile and the characteristics of the photochromic CEL film 121 when the FLEX method is applied and the surface of the semiconductor wafer 100 is stepped up and down to increase the depth of focus. Indicates. FIG. 9
5 (B), the surface of the semiconductor wafer 100 is reduced to 0.
When it is increased by 5 [μm], (a) the light intensity becomes higher at a deep position of the photoresist film 120. When the light intensity reaches a certain amount that makes the photochromic CEL film 121 transparent, (b) the photoresist film 120 is irradiated with an amount of light exceeding the above-mentioned certain amount. When the light intensity is equal to or less than a certain amount, that is, at a shallow position of the photoresist film 120, light irradiation is blocked by the photochromic CEL film 121.
Next, in FIG. 95 (B), the semiconductor wafer 100
When the surface of is lowered by 0.5 [μm], (c) the light intensity becomes higher at the shallow position of the photoresist film 120. When the light intensity reaches a certain amount that makes the photochromic CEL film 121 transparent, (d) the photoresist film 120 is irradiated with an amount of light that exceeds the certain amount. When the light intensity is equal to or less than a certain amount, that is, at a deep position of the photoresist film 120, light irradiation is blocked by the photochromic CEL film 121.

【0334】図95(C)は、前記図95(B)に示す
FLEX法を適用した2回の光照射の合計の光強度プロ
ファイルを示し、(a+b)はホトクロミックなCEL
膜121が無い場合、(a×b+c×d)はホトクロミ
ックなCEL膜121が有る場合である。前者のホトク
ロミックなCEL膜121が無い場合、ライン・アンド
・スペースパターンでは、FLEX法を適用すると、光
強度プロファイルが非露光部においてフォトレジスト膜
120の溶解レベルを越え、焦点深度を向上させる手段
として好ましくない。これに対して、後者のホトクロミ
ックなCEL膜121が有る場合、ホトクロミックなC
EL膜121のブリーチング効果とFLEX法による焦
点位置の変更により、解像度の向上と焦点深度の向上と
を図ることができる。
FIG. 95C shows a total light intensity profile of two times of light irradiation to which the FLEX method shown in FIG. 95B is applied, and (a + b) shows a photochromic CEL.
When the film 121 is not provided, (a × b + c × d) is the case where the photochromic CEL film 121 is provided. In the case where the former photochromic CEL film 121 is not provided, in the line and space pattern, when the FLEX method is applied, the light intensity profile exceeds the dissolution level of the photoresist film 120 in the unexposed portion, and means for improving the depth of focus. Is not preferable. On the other hand, when the latter photochromic CEL film 121 is present, the photochromic C
The bleaching effect of the EL film 121 and the change of the focus position by the FLEX method can improve the resolution and the depth of focus.

【0335】前記図91及び図92に示す露光工程の
後、洗浄液122によりホトクロミックなCEL膜12
1を除去し(4)、前記フォトレジスト膜120を現像
液123で現像する(5)。
After the exposure process shown in FIGS. 91 and 92, the photochromic CEL film 12 is washed with the cleaning liquid 122.
1 is removed (4), and the photoresist film 120 is developed with a developing solution 123 (5).

【0336】また、同図91に示すように、ホトクロミ
ックなCEL膜121を塗布する工程に変えて、ホトク
ロミックなCELフィルム121Bを使用してもよい。
このホトクロミックなCELフィルム121Aは半導体
ウエーハ100の表面に塗布されたフォトレジスト膜1
20の表面に押し付けて使用する。
Further, as shown in FIG. 91, a photochromic CEL film 121B may be used instead of the step of applying the photochromic CEL film 121.
This photochromic CEL film 121A is a photoresist film 1 applied on the surface of a semiconductor wafer 100.
It is pressed against the surface of 20 and used.

【0337】このように、フォトリソグラフィ技術にお
いて、FLEX法及びCEL法を使用することにより、
パターンの高解像度及び高焦点深度を得ることができ
る。
As described above, by using the FLEX method and the CEL method in the photolithography technique,
High resolution and high depth of focus of the pattern can be obtained.

【0338】(実施の形態11)本実施の形態11は、
前述の実施の形態1のDRAM1の製造プロセスにおい
て、各層のアライメントの精度を向上した、本発明の第
11実施の形態である。
(Eleventh Embodiment) The eleventh embodiment is
It is an eleventh embodiment of the present invention in which the alignment accuracy of each layer is improved in the manufacturing process of the DRAM 1 of the first embodiment described above.

【0339】本発明の実施の形態11であるDRAM1
のダイシング工程前の半導体ウエーハ100の構成を図
96(概略平面図)に示す。
The DRAM 1 according to the eleventh embodiment of the present invention.
FIG. 96 (schematic plan view) shows the structure of the semiconductor wafer 100 before the dicing step.

【0340】図96に示すように、半導体ウエーハ10
0はダイシング工程前(ペレット状に形成される前)に
おいて行列状に複数個のDRAM1を配列している。各
DRAM1間には図示しないスクライブエリアが設けら
れている。図97(図96のA部分の拡大平面図)及び
図98(図97のB部分の拡大平面図)に示すように、
半導体ウエーハ100の互いに隣接するDRAM(α〜
ε)1間のスクライブエリアには隣接するDRAM1間
で互いに共用するターゲットマークTMが配置されてい
る。このターゲットマークTMは例えば縮小投影露光装
置においてアライメントの際の位置決めの基準となる。
同図97及び図98に示すように、隣接するDRAM1
間例えばβ−γ間に互いに共用するターゲットマークT
MはアライメントビームABの一度のX方向の走査で検
出できるように配置されている。同図97及び図98に
は、アライメントビームABの走査により、ターゲット
マークTMを検出した際のアライメント信号Sの波形を
併せて記載している。このアライメント信号に基づき、
前記図97に示すDRAM(β)1のX方向の中心位置
Xβ、Y方向の中心位置Yβ及び回転量Wβは次式によ
り算出することができる。
As shown in FIG. 96, the semiconductor wafer 10
Reference numeral 0 denotes a plurality of DRAMs 1 arranged in a matrix before the dicing process (before being formed into pellets). A scribe area (not shown) is provided between the DRAMs 1. As shown in FIG. 97 (enlarged plan view of portion A in FIG. 96) and FIG. 98 (enlarged plan view of portion B in FIG. 97),
DRAMs (α to
Target marks TM that are shared by adjacent DRAMs 1 are arranged in the scribe area between ε) 1. The target mark TM serves as a positioning reference in alignment in a reduction projection exposure apparatus, for example.
As shown in FIGS. 97 and 98, the adjacent DRAM 1
Target marks T that are shared by each other, for example between β and γ
M is arranged so that it can be detected by scanning the alignment beam AB once in the X direction. 97 and 98 also show the waveform of the alignment signal S when the target mark TM is detected by scanning the alignment beam AB. Based on this alignment signal,
The center position Xβ in the X direction, the center position Yβ in the Y direction, and the rotation amount Wβ of the DRAM (β) 1 shown in FIG. 97 can be calculated by the following equations.

【0341】[0341]

【数2】 [Equation 2]

【0342】本実施の形態11のアライメントは、半導
体ウエーハ100の表面に配列された第1層目のDRA
M1のパターン(ペレットパターン)に対して第2層目
のDRAM1のパターン(ペレットパターン)を配置す
る場合、第1層目のDRAM1のパターンのターゲット
マークTMの位置をアライメントビームABで検出しそ
の位置を算出し、隣接する第2層目のDRAM1のパタ
ーン間の位置ずれが小さくなるように矯正しながら第2
層目のDRAM1のパターンを配置する方法で行ってい
る。つまり、第1層目のDRAM1のパターンに対して
第2層目のDRAM1のパターンを連想的にアライメン
トする、連想アライメント方式を採用している。この連
想アライメント方式はペレットアライメント方式に比べ
てDRAM1のパターン間相互の配列の規則性を確保す
ることができる。ペレットアライメント方式は半導体ウ
エーハ100の表面上の各DRAM1のパターン毎にア
ライメント及び露光を繰り返す方式である。
The alignment of the eleventh embodiment is performed by the DRA of the first layer arranged on the surface of the semiconductor wafer 100.
When the pattern (pellet pattern) of the DRAM 1 of the second layer is arranged with respect to the pattern (pellet pattern) of M1, the position of the target mark TM of the pattern of the DRAM 1 of the first layer is detected by the alignment beam AB. Is calculated and corrected so that the positional deviation between the patterns of the adjacent DRAMs 1 of the second layer becomes small, the second
This is performed by the method of arranging the pattern of the DRAM 1 of the layer. That is, the associative alignment method is employed in which the pattern of the DRAM 1 of the second layer is associatively aligned with the pattern of the DRAM 1 of the first layer. This associative alignment method can secure the regularity of mutual arrangement between the patterns of the DRAM 1 as compared with the pellet alignment method. The pellet alignment method is a method in which alignment and exposure are repeated for each pattern of each DRAM 1 on the surface of the semiconductor wafer 100.

【0343】また、前記連想アライメント方式は、ター
ゲットマークTMを大きく誤検出した場合でも、直接大
きなアライメントエラーとはならず、高いアライメント
精度を得ることができる。
Further, in the associative alignment method, even if the target mark TM is largely erroneously detected, a large alignment error does not occur directly and high alignment accuracy can be obtained.

【0344】また、連想アライメント方式は、第1層目
のDRAM1のパターンの配列に大きな歪を持つ場合で
も、多点ウエーハアライメント方式に比べて高いアライ
メント精度を得ることができる。多点ウエーハアライメ
ント方式は、半導体ウエーハ100の表面上の複数個の
ターゲットマークTMをサンプリングしアライメント
し、その結果からDRAM1の配列を統計的計算から推
測し、その後に露光のみを行う方式である。
Further, the associative alignment method can obtain higher alignment accuracy than the multipoint wafer alignment method even when the pattern arrangement of the DRAM 1 of the first layer has a large distortion. The multi-point wafer alignment method is a method in which a plurality of target marks TM on the surface of the semiconductor wafer 100 are sampled and aligned, the arrangement of the DRAM 1 is estimated from the statistical calculation from the result, and only the exposure is performed thereafter.

【0345】また、前記連想アライメント方式は、第1
層目のDRAM1のパターンの4辺に配置されたターゲ
ットマークTMの検出に基づき、第2層目のDRAM1
のパターンの回転量を算出し補正することができるの
で、DRAM1の2点例えば上下又は左右に配置された
ターゲットマークTMを検出し回転量を補正する場合に
比べて、高い回転量の補正精度を得ることができる。こ
の回転量の補正の場合においても、連想アライメント方
式は、1つのターゲットマークTMを誤検出した場合で
も、直接大きな回転量の補正エラーとならないので、高
いアライメント精度を得ることができる。
Further, the associative alignment method is the first
Based on the detection of the target marks TM arranged on the four sides of the pattern of the first layer DRAM1, the second layer DRAM1 is detected.
Since it is possible to calculate and correct the rotation amount of the pattern, the correction accuracy of the rotation amount is higher than that when the rotation amount is corrected by detecting the target marks TM arranged at two points of the DRAM 1, for example, vertically or horizontally. Obtainable. Also in the case of this correction of the rotation amount, the associative alignment method does not directly cause a correction error of a large rotation amount even when one target mark TM is erroneously detected, so that high alignment accuracy can be obtained.

【0346】また、前述のペレットアライメント方式と
多点ウエーハアライメント方式とを混用した場合、一般
にアライメント精度は低下するが、前記連想アライメン
ト方式はいずれの方式と混用した場合でも高いアライメ
ント精度を得ることができる。
When the pellet alignment method and the multi-point wafer alignment method are mixed, the alignment accuracy is generally lowered, but the associative alignment method can obtain high alignment accuracy regardless of which method is used. it can.

【0347】また、前記連想アライメント方式は、1度
のアライメントビームABの走査で隣接する2個のDR
AM1のパターンのターゲットマークTMを検出するこ
とができるので、前記ペレットアライメント方式と実質
的に同等のスループットを得ることができる。
The associative alignment method uses two DRs that are adjacent to each other by scanning the alignment beam AB once.
Since the target mark TM having the AM1 pattern can be detected, it is possible to obtain a throughput substantially equivalent to that of the pellet alignment method.

【0348】図99には、第1層目のDRAM1のパタ
ーンの配列に歪や回転がある場合において、連想アライ
メント方式、ペレットアライメント方式、多点ウエーハ
アライメント方式の夫々のアライメント精度の比較を示
す。図99(A)には、(a)第1層目のDRAM1の
パターン(1)の理想的な配列、(b)第1層目のDR
AM1のパターン(1)に配列歪及び回転がある場合の
配列の夫々を示す。後者の第1層目のDRAM1のパタ
ーン(1)は、夫々のα〜γのX座標は一致せず、α−
β間、β−γ間の夫々のY座標方向のピッチが異なり、
かつα、γの夫々は回転エラーを有している。この配列
歪や回転は繰り返して施される熱処理等により半導体ウ
エーハ100に生じる反りに起因する。
FIG. 99 shows a comparison of alignment accuracy between the associative alignment method, the pellet alignment method and the multi-point wafer alignment method when the pattern arrangement of the DRAM 1 of the first layer has distortion or rotation. In FIG. 99 (A), (a) the ideal arrangement of the pattern (1) of the DRAM 1 on the first layer, (b) the DR on the first layer
Each of the arrangements when the arrangement (1) of AM1 has arrangement distortion and rotation is shown. In the latter pattern (1) of the DRAM 1 of the first layer, the X coordinates of α to γ do not match each other, and α-
The pitches in the Y coordinate direction between β and β-γ are different,
Moreover, each of α and γ has a rotation error. The array distortion and rotation are caused by the warp that occurs in the semiconductor wafer 100 due to the repeated heat treatment and the like.

【0349】図99(B)は、第1層目のDRAM1の
パターン(1)の配列に前記配列歪及び回転がある場合
において、第2層目のDRAM1のパターン(2)をア
ライメントしたときの各アライメント方式の比較を示し
ている。いずれの場合も、第2層目のDRAM1のγの
パターン(2)は第1層目のDRAM1のγのパターン
(1)に対してターゲットマークTMを大きく誤検出し
た場合を示している。また、回転量の補正は、連想アラ
イメント方式は4個のターゲットマークTMの検出に基
づき算出し、他の2つのアライメント方式は2個のター
ゲットマークTMの検出に基づき算出している。図99
(B)に示すように、回転量の補正が無い場合、回転量
の補正がある場合の夫々において、連想アライメント方
式は他のペレットアライメント方式、多点ウエーハアラ
イメント方式の夫々に比べて高いアライメント精度を得
ることができる。
FIG. 99 (B) shows the case where the pattern (2) of the DRAM 1 of the second layer is aligned in the case where the array of the pattern (1) of the DRAM 1 of the first layer has the above array distortion and rotation. The comparison of each alignment method is shown. In either case, the γ pattern (2) of the DRAM 1 of the second layer shows the case where the target mark TM is largely erroneously detected with respect to the γ pattern (1) of the DRAM 1 of the first layer. The correction of the rotation amount is calculated based on the detection of four target marks TM in the associative alignment method, and is calculated based on the detection of two target marks TM in the other two alignment methods. Fig. 99
As shown in (B), when the rotation amount is not corrected and when the rotation amount is corrected, the associative alignment method has higher alignment accuracy than the other pellet alignment methods and the multi-point wafer alignment method. Can be obtained.

【0350】このように、連想アライメント方式を採用
することにより高いアライメント精度を得ることができ
る。
As described above, by adopting the associative alignment method, high alignment accuracy can be obtained.

【0351】(実施の形態12)本実施の形態12は、
前述の実施の形態1のDRAM1において、層間絶縁膜
の接続孔内に選択CVD法で埋込まれる遷移金属膜と前
記層間絶縁膜上に延在する配線との接続部分での信頼性
を向上した、本発明の第12実施の形態である。
(Twelfth Embodiment) The twelfth embodiment is
In the DRAM 1 of the first embodiment described above, the reliability of the connection portion between the transition metal film embedded in the connection hole of the interlayer insulating film by the selective CVD method and the wiring extending on the interlayer insulating film is improved. Is a twelfth embodiment of the present invention.

【0352】本発明の実施の形態12であるDRAM1
の構成を図100(要部断面図)で示す。
DRAM 1 according to the twelfth embodiment of the present invention
The configuration is shown in FIG. 100 (a cross-sectional view of the main part).

【0353】本実施の形態12のDRAM1は、図10
0に示すように、層間絶縁膜51に形成された接続孔5
1D、51Sの夫々に遷移金属膜54が埋込まれ、この
遷移金属膜54に層間絶縁膜51上を延在する配線52
が接続されている。
The DRAM 1 of the twelfth embodiment is shown in FIG.
0, the connection hole 5 formed in the interlayer insulating film 51.
A transition metal film 54 is embedded in each of 1D and 51S, and a wiring 52 extending on the interlayer insulating film 51 is formed in the transition metal film 54.
Is connected.

【0354】メモリセルアレイ11Eの領域は、メモリ
セル選択用MISFETQs及びスタックド構造の情報
蓄積用容量素子Cで構成されるメモリセルMが配置され
ているので、周辺回路の領域に比べて段差形状が大きく
なる。このため、前記層間絶縁膜51はメモリセルアレ
イ11Eの領域の膜厚が周辺回路の領域に比べて薄くな
る。図100及び図101(所定の製造工程における要
部断面図)に示すように、層間絶縁膜51のメモリセル
アレイ11Eの領域に形成される接続孔51Sの深さは
浅く形成され、周辺回路の領域に形成される接続孔51
Dは深く形成される。
In the area of the memory cell array 11E, since the memory cell M composed of the memory cell selecting MISFET Qs and the information storing capacitive element C of the stacked structure is arranged, the step shape is larger than that of the peripheral circuit area. Become. Therefore, the film thickness of the interlayer insulating film 51 in the region of the memory cell array 11E is smaller than that of the peripheral circuit region. As shown in FIGS. 100 and 101 (a cross-sectional view of an essential part in a predetermined manufacturing process), the connection hole 51S formed in the region of the memory cell array 11E of the interlayer insulating film 51 is formed to have a shallow depth, and the region of the peripheral circuit is formed. Connection hole 51 formed in
D is deeply formed.

【0355】前記遷移金属膜54は前記実施の形態1と
同様に例えば選択CVD法で堆積したW膜を使用する。
配線52は本実施の形態12においてはアルミニウム合
金膜を使用する。また、配線52は例えばスパッタ法で
堆積したW膜等の遷移金属膜又はそれを主体する複合膜
で形成してもよい。
As the transition metal film 54, a W film deposited by the selective CVD method is used as in the first embodiment.
The wiring 52 uses an aluminum alloy film in the twelfth embodiment. Further, the wiring 52 may be formed of a transition metal film such as a W film deposited by a sputtering method or a composite film mainly containing the same.

【0356】前記遷移金属膜54は、同図100及び図
101に示すように、メモリセルアレイ11Eの領域の
浅い深さを有する接続孔51Sが埋込まれる程度の膜厚
で形成する。つまり、遷移金属膜54は、浅い深さの接
続孔51Sを基準とし、この接続孔51Sから突出しな
いように構成されている。前記遷移金属膜54が接続孔
51Sから大きく突出した場合には、この部分の上層の
配線52の表面が突出し、結果的に配線52を加工する
フォトレジスト膜の膜厚のばらつきや露光時の回折現象
によりエッチングマスクのサイズが設定値から変化し、
配線52の加工精度が低下する。また、前記接続孔51
Sから大きく突出する遷移金属膜54はその表面を上層
の配線52で覆うことができないので、配線52の加工
を行うエッチング工程で遷移金属膜54が必要以上にエ
ッチングされる。周辺回路の領域の深い深さを有する接
続孔51D内に埋込まれる遷移金属膜54は、図100
に示すように、接続孔51Dの部分でのアスペクト比が
1を越えない程度の膜厚で埋込まれている。アスペクト
比が1を越えた場合は上層の配線52のステップカバレ
ッジが低下し、配線52は接続孔51D部分において断
線を多発する。
As shown in FIGS. 100 and 101, the transition metal film 54 is formed to a thickness such that the connection hole 51S having a shallow depth in the region of the memory cell array 11E is buried. That is, the transition metal film 54 is configured such that it does not protrude from the connection hole 51S, with the connection hole 51S having a shallow depth as a reference. When the transition metal film 54 largely protrudes from the connection hole 51S, the surface of the wiring 52 in the upper layer of this portion protrudes, and as a result, variations in the film thickness of the photoresist film for processing the wiring 52 and diffraction during exposure. Due to the phenomenon, the size of the etching mask changes from the set value,
The processing accuracy of the wiring 52 is reduced. In addition, the connection hole 51
Since the surface of the transition metal film 54 that greatly protrudes from S cannot be covered with the upper wiring 52, the transition metal film 54 is etched more than necessary in the etching process for processing the wiring 52. The transition metal film 54 embedded in the connection hole 51D having a deep depth in the peripheral circuit region is shown in FIG.
As shown in FIG. 5, the connection hole 51D is filled with a film thickness such that the aspect ratio does not exceed 1. When the aspect ratio exceeds 1, the step coverage of the wiring 52 in the upper layer is deteriorated, and the wiring 52 frequently causes disconnection in the connection hole 51D portion.

【0357】このように、(48−29)段差形状を有
する下地表面上に層間絶縁膜51を形成し、この層間絶
縁膜51の前記下地表面の段差形状の高い領域(メモリ
セルアレイ11Eの領域)に浅い接続孔51S、段差形
状の低い領域(周辺回路の領域)に深い接続孔51Dの
夫々を形成し、前記接続孔51S、接続孔51Dの夫々
に埋込まれた遷移金属膜54に接続するように前記層間
絶縁膜51上に配線52を延在させるDRAM1におい
て、前記浅い接続孔51S、深い接続孔51Dの夫々に
埋込まれる遷移金属膜54を選択CVD法で堆積し、か
つこの遷移金属膜54を前記浅い接続孔51Sの深さと
同程度の膜厚で堆積する。この構成により、前記浅い接
続孔51S、深い接続孔51Dの夫々に埋込まれる遷移
金属膜54を浅い接続孔51Sの深さと同程度の膜厚で
形成し、浅い接続孔51S、深い接続孔51Dの夫々か
ら前記遷移金属膜54が突出することがないので、前記
配線52の加工精度の向上や配線の信頼性を向上するこ
とができる。
Thus, the interlayer insulating film 51 is formed on the underlying surface having the (48-29) stepped shape, and the region of the interlayer insulating film 51 having the high stepped shape (the area of the memory cell array 11E). A shallow connection hole 51S and a deep connection hole 51D are formed in a low stepped region (peripheral circuit region), and are connected to the transition metal film 54 embedded in each of the connection hole 51S and the connection hole 51D. In the DRAM 1 in which the wiring 52 extends on the interlayer insulating film 51 as described above, the transition metal film 54 buried in each of the shallow connection hole 51S and the deep connection hole 51D is deposited by the selective CVD method, and the transition metal film is formed. The film 54 is deposited with a film thickness approximately equal to the depth of the shallow connection hole 51S. With this configuration, the transition metal film 54 embedded in each of the shallow connection hole 51S and the deep connection hole 51D is formed to have a film thickness similar to the depth of the shallow connection hole 51S, and the shallow connection hole 51S and the deep connection hole 51D are formed. Since the transition metal film 54 does not protrude from each of the above, it is possible to improve the processing accuracy of the wiring 52 and the reliability of the wiring.

【0358】(実施の形態13)本実施の形態13は、
前述の実施の形態1のDRAM1において、遷移金属膜
を主体とする配線52の信頼性を向上した、本発明の第
13実施の形態である。
(Thirteenth Embodiment) The thirteenth embodiment is
It is a thirteenth embodiment of the present invention in which the reliability of the wiring 52 mainly composed of a transition metal film is improved in the DRAM 1 of the first embodiment described above.

【0359】本発明の実施の形態13であるDRAM1
の構成を図102(要部断面図)で示す。
DRAM 1 which is Embodiment 13 of the present invention
102 is shown in FIG. 102 (a cross-sectional view of a main part).

【0360】図102に示すように、本実施の形態13
のDRAM1は、層間絶縁膜51上に配線52を延在さ
せている。配線52は遷移金属膜52A上に実質的に同
一金属材料である遷移金属膜52Bを積層した複合膜で
形成されている。
As shown in FIG. 102, the thirteenth embodiment is as follows.
In the DRAM 1, the wiring 52 is extended on the interlayer insulating film 51. The wiring 52 is formed of a composite film in which a transition metal film 52B which is substantially the same metal material is laminated on the transition metal film 52A.

【0361】配線52の下層の遷移金属膜52Aは、ス
パッタ法で堆積した例えばW膜で形成され、例えば80
〜120[nm]程度の膜厚で形成されている。この下
層の遷移金属膜52Aは下地の層間絶縁膜(酸化珪素系
絶縁膜)51との接着性が高い。また、下層の遷移金属
膜52Aは、膜厚を厚くしすぎると、接続孔51Cで形
成される段差形状の上部において、オーバーハング形状
になり、巣の発生、上層の遷移金属膜52Aのステップ
カバレッジの低下等の原因となるので、前述の薄い膜厚
で形成される。また、下層の遷移金属膜52Aは、図1
03にスパッタ時のターゲット電圧と膜応力との関係を
示すように、層間絶縁膜51の表面からの剥離の原因と
なるので、膜応力が発生しない(応力0又はその近傍の
許容範囲内)ターゲット電圧を使用し堆積する。また、
下層の遷移金属膜52Aは、上層の遷移金属膜52Bの
エッチング速度と実質的に等し性質を有している。ま
た、下層の遷移金属膜52Aは、TiN膜等に比べて耐
腐食性が高く、しかもSiとの仕事関数差が小さいので
接触抵抗値を小さくすることができる。
The transition metal film 52A under the wiring 52 is formed of, for example, a W film deposited by a sputtering method, and is formed of, for example, 80
It is formed with a film thickness of about 120 [nm]. The lower transition metal film 52A has high adhesiveness to the underlying interlayer insulating film (silicon oxide based insulating film) 51. Further, if the lower layer transition metal film 52A is made too thick, it becomes an overhang shape in the upper part of the step shape formed by the connection hole 51C, a nest is generated, and the step coverage of the upper layer transition metal film 52A. It causes a decrease in film thickness and the like, and thus is formed with the above-mentioned thin film thickness. In addition, the lower transition metal film 52A is formed as shown in FIG.
As indicated by the relationship between the target voltage and film stress at the time of sputtering in 03, film stress does not occur because it causes peeling from the surface of the interlayer insulating film 51 (stress 0 or within an allowable range in the vicinity). Deposition using voltage. Also,
The lower transition metal film 52A has a property substantially equal to the etching rate of the upper transition metal film 52B. Further, the lower transition metal film 52A has higher corrosion resistance than the TiN film and the like, and has a small work function difference from Si, so that the contact resistance value can be reduced.

【0362】前記配線52の上層の遷移金属膜52B
は、CVD法で堆積されたW膜で形成され、例えば25
0〜350[nm]程度の膜厚で形成されている。この
上層の遷移金属膜52Aは、配線52の実質的な抵抗値
を低減し、配線52の主体として構成されている。上層
の遷移金属膜52Bは、CVD法で堆積しているので、
下地の段差部分でのステップカバレッジが高く、断線不
良を低減することができるので、配線としての信頼性を
向上することができる。この上層の遷移金属膜52B
は、同一金属膜材料で形成されているので、その下地の
下層の遷移金属膜52Aとの接着性が高い。
A transition metal film 52B in the upper layer of the wiring 52.
Is formed of a W film deposited by a CVD method, for example, 25
It is formed with a film thickness of about 0 to 350 [nm]. The upper-layer transition metal film 52A reduces the substantial resistance value of the wiring 52 and is configured as the main body of the wiring 52. Since the upper transition metal film 52B is deposited by the CVD method,
Since the step coverage at the step portion of the base is high and the disconnection defect can be reduced, the reliability as the wiring can be improved. This upper transition metal film 52B
Are formed of the same metal film material, and therefore have high adhesiveness to the transition metal film 52A which is the lower layer of the underlying layer.

【0363】このように、(51−30)下地層間絶縁
膜51上にCVD法で堆積した遷移金属膜52Bで配線
52を形成するDRAM1において、前記下地層間絶縁
膜51と前記配線52の遷移金属膜52Bとの間にスパ
ッタ法で堆積した前記遷移金属膜52Bと実質的に同種
の遷移金属膜52Aを設ける。この構成により、前記ス
パッタ法で堆積した下層の遷移金属膜52Aは前記下地
層間絶縁膜51、配線52の上層の遷移金属膜52Bの
夫々との接着性が高いので、前記下地層間絶縁膜51と
配線52との接着性を向上することができると共に、前
記スパッタ法で堆積した下層の遷移金属膜52Aはその
上層の遷移金属膜52Bと実質的に同種の遷移金属膜で
形成されているので、配線52の加工された側壁に凹凸
が形成されることを防止し、配線52の加工精度を向上
することができる。
As described above, in the DRAM 1 in which the wiring 52 is formed of the transition metal film 52B deposited by the CVD method on the (51-30) underlying interlayer insulating film 51, in the transition metal of the underlying interlayer insulating film 51 and the wiring 52. A transition metal film 52A of substantially the same type as the transition metal film 52B deposited by the sputtering method is provided between the transition metal film 52B and the film 52B. With this configuration, since the lower transition metal film 52A deposited by the sputtering method has high adhesiveness to the underlying interlayer insulating film 51 and the upper transition metal film 52B of the wiring 52, the underlying interlayer insulating film 51 Since the adhesiveness with the wiring 52 can be improved and the lower transition metal film 52A deposited by the sputtering method is formed of the same transition metal film as the upper transition metal film 52B, It is possible to prevent unevenness from being formed on the processed side wall of the wiring 52 and improve the processing accuracy of the wiring 52.

【0364】また、同図102に示すように、前記配線
52の下層の遷移金属膜52Aが直接n+型半導体領域
32やp+型半導体領域39に接続する場合は、前記下
層の遷移金属膜52Aの堆積後の熱処理をWとSiとが
合金化反応しない温度以下で行う。具体的には熱処理は
約600[℃]以下で行う。このように、前記配線52
の下層の遷移金属膜52Aの熱処理温度を制限すること
により、前述のWとSiとの合金化反応による接続部の
抵抗値の増大を抑制し、又アロイスパイク現象を防止す
ることができる。
As shown in FIG. 102, when the transition metal film 52A in the lower layer of the wiring 52 is directly connected to the n + type semiconductor region 32 or the p + type semiconductor region 39, the transition metal film 52A in the lower layer is formed. The heat treatment after deposition is performed at a temperature not higher than the temperature at which W and Si do not undergo an alloying reaction. Specifically, the heat treatment is performed at about 600 [° C.] or less. In this way, the wiring 52
By limiting the heat treatment temperature of the lower transition metal film 52A, it is possible to suppress the increase in the resistance value of the connection portion due to the alloying reaction between W and Si and prevent the alloy spike phenomenon.

【0365】(実施の形態14)本実施の形態14は、
前述の実施の形態1のDRAM1において、メモリセル
M、各素子の夫々と配線との接続部での信頼性を向上し
た、本発明の第14実施の形態である。
(Embodiment 14) This embodiment 14 is
This is a fourteenth embodiment of the present invention in which the reliability of the connection between the memory cell M and each element and the wiring is improved in the DRAM 1 of the first embodiment.

【0366】本発明の実施の形態14であるDRAM1
の構成を図104(要部断面図)で示す。
[0366] The DRAM 1 according to the fourteenth embodiment of the present invention.
The configuration of is shown in FIG. 104 (a cross-sectional view of the main part).

【0367】本実施の形態14のDRAM1は、図10
4に示すように、メモリセルアレイ11Eにおいて、メ
モリセルMのメモリセル選択用MISFETQsの一方
のn型半導体領域29と相補性データ線(DL)50と
の間に中間導電膜130を介在させている。この中間導
電膜130は、層間絶縁膜131に形成された接続孔1
31A及び接続孔34Aを通して一部がn型半導体領域
29に接続され、他部がサイドウォールスペーサ31上
及び層間絶縁膜131上に引き伸ばされている。前記接
続孔34Aは、前記層間絶縁膜131に形成された接続
孔131A内において、メモリセル選択用MISFET
Qsのゲート電極27の側壁に形成されたサイドウォー
ルスペーサ31で形成されかつそれで開口サイズを規定
している。この接続孔34Aはゲート電極27に対して
自己整合で形成されるので、結果的に中間導電膜130
とn型半導体領域29との接続は前記ゲート電極27に
対して自己整合で行われる。つまり、メモリセル選択用
MISFETQsのn型半導体領域29と相補性データ
線50とは、中間導電膜130を介在させ、メモリセル
選択用MISFETQsのゲート電極27に対して自己
整合で接続されている。
The DRAM 1 of the fourteenth embodiment is shown in FIG.
As shown in FIG. 4, in the memory cell array 11E, the intermediate conductive film 130 is interposed between the one n-type semiconductor region 29 of the memory cell selecting MISFET Qs of the memory cell M and the complementary data line (DL) 50. . The intermediate conductive film 130 is used as the connection hole 1 formed in the interlayer insulating film 131.
A part is connected to the n-type semiconductor region 29 through 31 A and the connection hole 34 A, and the other part is extended on the sidewall spacer 31 and the interlayer insulating film 131. The connection hole 34A is formed in the connection hole 131A formed in the interlayer insulating film 131, and is used as a memory cell selection MISFET.
It is formed of a sidewall spacer 31 formed on the side wall of the Qs gate electrode 27 and defines the opening size. Since the connection hole 34A is formed in self alignment with the gate electrode 27, as a result, the intermediate conductive film 130 is formed.
And the n-type semiconductor region 29 are connected to the gate electrode 27 by self-alignment. That is, the n-type semiconductor region 29 of the memory cell selecting MISFET Qs and the complementary data line 50 are connected to the gate electrode 27 of the memory cell selecting MISFET Qs in a self-aligned manner with the intermediate conductive film 130 interposed.

【0368】前記中間導電膜130は、メモリセル選択
用MISFETQsのゲート電極27(ワード線27も
含む)よりも上層で形成され、かつスタックド構造の情
報蓄積用容量素子Cの下層電極層35よりも下層に形成
される。つまり、スタックド構造の情報蓄積用容量素子
Cの下層電極層35は電荷蓄積量を増加するために厚い
膜厚で形成されるので、中間導電膜130は、加工精度
を向上するために、前記下層電極層35と別層でかつ下
層に形成されている。中間導電膜130は、例えばCV
D法で堆積させた多結晶珪素膜で形成され、80〜12
0[nm]程度の薄い膜厚で形成されている。この多結
晶珪素膜には抵抗値を低減するn型不純物が導入されて
いる。
The intermediate conductive film 130 is formed in an upper layer than the gate electrode 27 (including the word line 27) of the memory cell selecting MISFET Qs, and lower than the lower electrode layer 35 of the stacked information storage capacitor C. It is formed in the lower layer. That is, since the lower electrode layer 35 of the information storage capacitive element C having a stacked structure is formed with a large film thickness to increase the amount of charge storage, the intermediate conductive film 130 is formed in the lower layer in order to improve processing accuracy. It is formed as a layer different from the electrode layer 35 and as a lower layer. The intermediate conductive film 130 is, for example, CV.
Formed of a polycrystalline silicon film deposited by the D method,
It is formed with a thin film thickness of about 0 [nm]. An n-type impurity that reduces the resistance value is introduced into this polycrystalline silicon film.

【0369】前記中間導電膜130は、メモリセルMと
相補性データ線50との接続部分の特に急峻な段差形状
を緩和することができるので、相補性データ線50の断
線不良を低減することができる。
Since the intermediate conductive film 130 can alleviate a particularly steep step shape of the connection portion between the memory cell M and the complementary data line 50, the disconnection defect of the complementary data line 50 can be reduced. it can.

【0370】前記中間導電膜130は同一製造工程で周
辺回路の素子にも形成されている。これに限定されない
が、本実施の形態14においては、nチャネルMISF
ETQn特にレイアウトルールが厳しい領域においてn
+型半導体領域32と配線52との間に設けられてい
る。通常、周辺回路はメモリセルアレイ11Eに比べて
レイアウトルールが緩い。同図104に示すように、周
辺回路の領域において、配線52が素子間分離用絶縁膜
23上に乗り上げる場合においても、中間導電膜130
を介在させてn+型半導体領域32と配線52とを確実
に接続することができるので、n+型半導体領域32の
面積を縮小し、結果的にDRAM1の集積度を向上する
ことができる。また、周辺回路のnチャネルMISFE
TQn、pチャネルMISFETQpの夫々を遷移金属
膜等不純物の相互拡散を生じ易い材料で形成された配線
52で接続する場合においても、中間導電膜130は前
記相互拡散を防止することができるので接続部分での抵
抗値を低減することができる。
The intermediate conductive film 130 is also formed on peripheral circuit elements in the same manufacturing process. Although not limited to this, in the fourteenth embodiment, an n-channel MISF is used.
ETQn n especially in areas where layout rules are strict
It is provided between the + type semiconductor region 32 and the wiring 52. Normally, the peripheral circuit has a looser layout rule than the memory cell array 11E. As shown in FIG. 104, in the peripheral circuit region, the intermediate conductive film 130 is formed even when the wiring 52 runs on the element isolation insulating film 23.
Since it is possible to reliably connect the n + type semiconductor region 32 and the wiring 52 with the interposition of, the area of the n + type semiconductor region 32 can be reduced, and as a result, the integration degree of the DRAM 1 can be improved. In addition, the n-channel MISFE of the peripheral circuit
Even when the TQn and the p-channel MISFET Qp are connected to each other by the wiring 52 formed of a material such as a transition metal film that easily causes mutual diffusion of impurities, the intermediate conductive film 130 can prevent the mutual diffusion, and thus the connection portion. It is possible to reduce the resistance value at.

【0371】次に、本実施の形態14であるDRAM1
の形成方法について、図105及び図106(各製造工
程毎に示す要部断面図)を用いて簡単に説明する。
Next, the DRAM 1 according to the 14th embodiment will be described.
The method of forming the above will be briefly described with reference to FIGS.

【0372】まず、前記実施の形態1のDRAM1の形
成方法と同様に、メモリセルMのメモリセル選択用MI
SFETQs、周辺回路のnチャネルMISFETQn
の夫々を形成する。
First, similarly to the method of forming the DRAM 1 of the first embodiment, the memory cell selection MI of the memory cell M is selected.
SFETQs, n-channel MISFETQn of peripheral circuit
To form each.

【0373】次に、前記メモリセル選択用MISFET
Qs、nチャネルMISFETQnの夫々を覆うよう
に、基板全面に層間絶縁膜131を堆積する。層間絶縁
膜131は、例えば無機シランガス及び酸化窒素ガスを
ソースガスとするCVD法で堆積した酸化珪素膜を使用
し、40〜60[nm]程度の膜厚で形成する。
Next, the memory cell selecting MISFET
An interlayer insulating film 131 is deposited on the entire surface of the substrate so as to cover the Qs and the n-channel MISFET Qn. The interlayer insulating film 131 uses a silicon oxide film deposited by a CVD method using, for example, an inorganic silane gas and a nitric oxide gas as source gases, and is formed with a film thickness of about 40 to 60 [nm].

【0374】次に、メモリセルMのメモリセル選択用M
ISFETQsの一方のn型半導体領域29、所定のn
チャネルMISFETQnのn+型半導体領域32の夫
々の領域において、前記層間絶縁膜131に接続孔13
1Aを形成すると共に接続孔34Aを形成する。
Next, the memory cell M of the memory cell M is selected.
One n-type semiconductor region 29 of the ISFET Qs, a predetermined n
In each region of the n + type semiconductor region 32 of the channel MISFET Qn, the connection hole 13 is formed in the interlayer insulating film 131.
1A is formed and the connection hole 34A is formed.

【0375】次に、図105に示すように、前記接続孔
131A及び34Aを通してn型半導体領域29、n+
型半導体領域32の夫々に接続される中間導電膜130
を形成する。
Next, as shown in FIG. 105, the n-type semiconductor regions 29, n + are formed through the connection holes 131A and 34A.
Intermediate conductive film 130 connected to each of the type semiconductor regions 32
To form.

【0376】次に、図106に示すように、前記中間導
電膜130上を含む基板全面に層間絶縁膜33を形成す
る。そして、この後、スタックド構造の情報蓄積用容量
素子C、pチャネルMISFETQp等、前記実施の形
態1のDRAM1の形成方法と同様の工程を施すことに
より、本実施の形態14のDRAM1は完成する。
Next, as shown in FIG. 106, an interlayer insulating film 33 is formed on the entire surface of the substrate including the intermediate conductive film 130. Then, thereafter, the same steps as the method for forming the DRAM 1 of the first embodiment, such as the information storage capacitor C having a stacked structure and the p-channel MISFET Qp, are performed to complete the DRAM 1 of the fourteenth embodiment.

【0377】このように、(53−31)相補性データ
線50とワード線27との交差部に、メモリセル選択用
MISFETQsと下層電極層35、誘電体膜36、上
層電極層37の夫々を順積積層したスタックド構造の情
報蓄積用容量素子Cとの直列回路で形成されたメモリセ
ルMを配置するDRAM1において、前記相補性データ
線50と前記メモリセル選択用MISFETQsの一方
のn型半導体領域29との間に、前記一方のn型半導体
領域29に一部が自己整合で形成されかつ前記メモリセ
ル選択用MISFETQsのゲート電極27上に他部が
引き出されると共に、前記スタックド構造の情報蓄積用
容量素子Cの下層電極層35の下層にそれと別層で形成
された中間導電膜130を設ける。この構成により、前
記中間導電膜130を介在させているので、メモリセル
選択用MISFETQsの一方のn型半導体領域29と
相補性データ線50との間の製造工程におけるマスク合
せ余裕寸法に相当する分、メモリセルM面積を縮小して
集積度を向上することができると共に、前記中間導電膜
130とスタックド構造の情報蓄積用容量素子Cの下層
電極層35との離隔寸法がなくなり、中間導電膜130
と独立に下層電極層35の面積を増加することができる
ので、スタックド構造の情報蓄積用容量素子Cの電荷蓄
積量を増加してメモリセルM面積を縮小し、集積度を向
上することができる。
Thus, at the intersection of the (53-31) complementary data line 50 and the word line 27, the memory cell selecting MISFET Qs, the lower electrode layer 35, the dielectric film 36, and the upper electrode layer 37 are respectively provided. In the DRAM 1 in which a memory cell M formed by a series circuit of a stacked structure information storage capacitive element C is arranged, the complementary data line 50 and one n-type semiconductor region of the memory cell selecting MISFET Qs are arranged. A part of the n-type semiconductor region 29 is formed in self-alignment with the other n-type semiconductor region 29, and the other part is drawn out onto the gate electrode 27 of the memory cell selecting MISFET Qs, and also for storing information of the stacked structure. An intermediate conductive film 130 formed as a layer different from the lower electrode layer 35 of the capacitor C is provided below the lower electrode layer 35. With this configuration, since the intermediate conductive film 130 is interposed, the amount corresponding to the mask alignment margin dimension in the manufacturing process between the one n-type semiconductor region 29 of the memory cell selecting MISFET Qs and the complementary data line 50. The area of the memory cell M can be reduced to improve the degree of integration, and the distance between the intermediate conductive film 130 and the lower electrode layer 35 of the information storage capacitor C having the stacked structure is eliminated, so that the intermediate conductive film 130 is removed.
Since the area of the lower electrode layer 35 can be increased independently of the above, the charge storage amount of the information storage capacitive element C having a stacked structure can be increased, the area of the memory cell M can be reduced, and the degree of integration can be improved. .

【0378】また、(54−32)中間導電膜130は
前記スタックド構造の情報蓄積用容量素子Cの下層電極
層35の膜厚に比べて薄い膜厚で構成する。この構成に
より、前記スタックド構造の情報蓄積用容量素子Cは、
下層電極層35の膜厚を厚くし、高さ方向に面積を稼ぐ
ことができるので、電荷蓄積量を向上してメモリセルM
面積を縮小し、集積度を向上することができると共に、
前記中間導電膜130は、その膜厚を薄く形成している
ので、加工を簡単化することができる。
The (54-32) intermediate conductive film 130 is formed to have a smaller film thickness than that of the lower electrode layer 35 of the information storage capacitor C having the stacked structure. With this configuration, the information storage capacitive element C having the stacked structure is
Since the film thickness of the lower electrode layer 35 can be increased to increase the area in the height direction, the charge storage amount is improved and the memory cell M is improved.
The area can be reduced and the integration can be improved, and
Since the intermediate conductive film 130 has a small thickness, it can be processed easily.

【0379】また、(55−33)周辺回路を構成する
nチャネルMISFETQnのn+型半導体領域32と
それに接続される配線52との間には前記メモリセルM
に設けられる中間導電膜130と同一導電層で形成され
た中間導電膜130を設ける。この構成により、DRA
M1のメモリセルMに形成される中間導電膜130を形
成する工程で周辺回路の中間導電膜130を形成するこ
とができるので、DRAM1の製造工程数を低減するこ
とができる。
(55-33) The memory cell M is provided between the n + type semiconductor region 32 of the n channel MISFET Qn forming the peripheral circuit and the wiring 52 connected thereto.
The intermediate conductive film 130 formed of the same conductive layer as the intermediate conductive film 130 provided in the above is provided. With this configuration, DRA
Since the intermediate conductive film 130 of the peripheral circuit can be formed in the step of forming the intermediate conductive film 130 formed in the memory cell M of M1, the number of manufacturing steps of the DRAM 1 can be reduced.

【0380】以上、本発明者によってなされた発明を、
前記実施の形態に基づき具体的に説明したが、本発明
は、前記実施の形態に限定されるものではなく、その要
旨を逸脱しない範囲において種々変更可能であることは
勿論である。
The invention made by the present inventors is as follows.
Although a specific description has been given based on the above-described embodiment, the present invention is not limited to the above-described embodiment, and it is needless to say that various modifications can be made without departing from the gist of the invention.

【0381】例えば、本発明は、マイクロコンピュータ
(1チップマイコン)等、DRAMを1つのユニットと
して使用する半導体集積回路装置に適用することができ
る。
For example, the present invention can be applied to a semiconductor integrated circuit device using a DRAM as one unit, such as a microcomputer (one-chip microcomputer).

【0382】また、本発明は、前記DRAMに限定され
ず、SRAM、ROM等他の記憶機能を有する半導体集
積回路装置に適用することができる。
Further, the present invention is not limited to the DRAM, but can be applied to a semiconductor integrated circuit device having other storage functions such as SRAM and ROM.

【0383】また、本発明は、プリント配線基板等の多
層配線技術に適用することができる。
Further, the present invention can be applied to a multilayer wiring technique such as a printed wiring board.

【0384】[0384]

【発明の効果】本願において開示される発明のうち代表
的なものによって得られる効果を簡単に説明すれば、下
記のとおりである。
The effects obtained by the typical ones of the inventions disclosed in the present application will be briefly described as follows.

【0385】本発明によれば、前記第1チャネルストッ
パ領域、第2チャネルストッパ領域の夫々が前記境界領
域で重なる場合はその領域の不純物濃度が高くなるが、
前記境界領域には活性領域は配置していないので、基板
と素子との接合耐圧を向上することができる。また、前
記第1チャネルストッパ領域、第2チャネルストッパ領
域の夫々が前記境界領域で離隔する場合は前記境界領域
にその面積に対応した大きな反転層が発生し易くなり、
前記境界領域に活性領域が存在するとこの活性領域に形
成される素子の面積が見かけ上前記反転層を加算した分
増加し、基板と素子との接合部においてリーク電流量が
増大するが、前記境界領域には活性領域は配置していな
いので、前記接合部においてリーク電流量を低減するこ
とができる。
According to the present invention, when each of the first channel stopper region and the second channel stopper region overlaps at the boundary region, the impurity concentration of the region becomes high,
Since no active region is arranged in the boundary region, the junction breakdown voltage between the substrate and the device can be improved. Further, when the first channel stopper region and the second channel stopper region are separated from each other in the boundary region, a large inversion layer corresponding to the area thereof is likely to occur in the boundary region,
If an active region exists in the boundary region, the area of the element formed in the active region apparently increases by the addition of the inversion layer, and the amount of leakage current increases at the junction between the substrate and the element. Since no active region is arranged in the region, the amount of leak current can be reduced at the junction.

【0386】本発明によれば、前記第1MISFET
は、ゲート長寸法を長くしてホットキャリア耐圧を向上
したので、経時的なしきい値電圧の劣下を低減し、電気
的特性を向上することができると共に、前記第2MIS
FETは、低電圧を使用してホットキャリア耐圧を確保
しながら低電圧の使用により低消費電力化を図ることが
でき、しかも、前記第1MISFETはゲート長寸法を
長くし、第2MISFETは低電圧の使用により夫々ホ
ットキャリア耐圧を向上しているので、前記LDD構造
を形成する低不純物濃度の半導体領域のゲート長方向の
長さを独立に制御することができ、前記第1MISFE
T、第2MISFETの夫々の低不純物濃度の半導体領
域のゲート長方向の長さを実質的に同一にすることがで
きる。
According to the present invention, the first MISFET
Since the gate length dimension is increased to improve the hot carrier breakdown voltage, the deterioration of the threshold voltage over time can be reduced, the electrical characteristics can be improved, and the second MIS can be improved.
The FET can reduce the power consumption by using the low voltage while ensuring the hot carrier breakdown voltage by using the low voltage. Moreover, the first MISFET has a long gate length and the second MISFET has the low voltage. Since the hot carrier breakdown voltage is improved by each use, the length of the low impurity concentration semiconductor region forming the LDD structure in the gate length direction can be independently controlled, and the first MISFE can be controlled.
The lengths of the low impurity concentration semiconductor regions of the T and second MISFETs in the gate length direction can be made substantially the same.

【0387】本発明によれば、前記第1MISFET、
第2MISFETの夫々の形成工程をすべて兼用し、特
に夫々のサイドウォールスペーサを同一製造工程で形成
することができるので、半導体集積回路装置の製造工程
数を低減することができる。
According to the present invention, the first MISFET,
Since all the forming steps of the second MISFET can also be used in common, and especially the respective side wall spacers can be formed in the same manufacturing step, the number of manufacturing steps of the semiconductor integrated circuit device can be reduced.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の実施の形態1であるDRAMの要部断
面図である。
FIG. 1 is a main-portion cross-sectional view of a DRAM which is Embodiment 1 of the present invention.

【図2】前記DRAMを封止する樹脂封止型半導体装置
の部分断面斜視図である。
FIG. 2 is a partial cross-sectional perspective view of a resin-sealed semiconductor device that seals the DRAM.

【図3】前記DRAMのチップレイアウト図である。FIG. 3 is a chip layout diagram of the DRAM.

【図4】前記DRAMのメモリセルアレイの要部等価回
路図である。
FIG. 4 is an equivalent circuit diagram of a main part of a memory cell array of the DRAM.

【図5】前記DRAMのメモリセルアレイの要部平面図
である。
FIG. 5 is a plan view of a main part of a memory cell array of the DRAM.

【図6】前記DRAMのメモリセルアレイの所定の製造
工程における要部平面図である。
FIG. 6 is a plan view of relevant parts in a predetermined manufacturing process of the memory cell array of the DRAM.

【図7】前記DRAMのメモリセルアレイの所定の製造
工程における要部平面図である。
FIG. 7 is a plan view of relevant parts in a predetermined manufacturing process of the memory cell array of the DRAM.

【図8】前記DRAMに使用される膜のスパッタ時のタ
ーゲット電圧と比抵抗値との関係を示す図である。
FIG. 8 is a diagram showing a relationship between a target voltage and a specific resistance value during sputtering of a film used for the DRAM.

【図9】前記膜のX線入射角度とX線回折スペクトルと
の関係を示す図である。
FIG. 9 is a diagram showing a relationship between an X-ray incident angle and an X-ray diffraction spectrum of the film.

【図10】前記膜のX線入射角度とX線回折スペクトル
との関係を示す図である。
FIG. 10 is a diagram showing a relationship between an X-ray incident angle and an X-ray diffraction spectrum of the film.

【図11】前記メモリセルアレイと周辺回路との境界領
域を示す概略平面図である。
FIG. 11 is a schematic plan view showing a boundary region between the memory cell array and peripheral circuits.

【図12】前記境界領域の要部拡大平面図である。FIG. 12 is an enlarged plan view of an essential part of the boundary area.

【図13】前記メモリセルアレイと周辺回路との境界領
域を示す概略平面図である。
FIG. 13 is a schematic plan view showing a boundary region between the memory cell array and peripheral circuits.

【図14】前記境界領域の要部拡大平面図である。FIG. 14 is an enlarged plan view of an essential part of the boundary area.

【図15】前記DRAMの他の位置における要部断面図
である。
FIG. 15 is a cross-sectional view of an essential part of the DRAM at another position.

【図16】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 16 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM.

【図17】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 17 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図18】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 18 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図19】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 19 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図20】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 20 is a fragmentary cross-sectional view showing each step of manufacturing the DRAM.

【図21】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 21 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM.

【図22】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 22 is a cross-sectional view of an essential part showing each manufacturing step of the DRAM.

【図23】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 23 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図24】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 24 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM.

【図25】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 25 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM.

【図26】前記D2AMの各製造工程毎に示す要部断面
図である。
FIG. 26 is a main-portion cross-sectional view showing each of the manufacturing steps of the D2AM.

【図27】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 27 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図28】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 28 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM.

【図29】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 29 is a cross-sectional view of essential parts showing each manufacturing step of the DRAM.

【図30】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 30 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図31】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 31 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図32】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 32 is a cross-sectional view of an essential part showing each manufacturing step of the DRAM.

【図33】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 33 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM.

【図34】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 34 is a sectional view of a key portion showing each manufacturing step of the DRAM.

【図35】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 35 is a sectional view of a key portion showing each step of manufacturing the DRAM.

【図36】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 36 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図37】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 37 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図38】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 38 is a sectional view of a key portion showing each manufacturing step of the DRAM.

【図39】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 39 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図40】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 40 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図41】前記D2AMの各製造工程毎に示す要部断面
図である。
FIG. 41 is a sectional view of a key portion showing each manufacturing step of the D2AM.

【図42】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 42 is a sectional view of a key portion showing each step of manufacturing the DRAM.

【図43】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 43 is a cross-sectional view of essential parts for each manufacturing step of the DRAM.

【図44】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 44 is a sectional view of a key portion showing each step of manufacturing the DRAM.

【図45】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 45 is a cross-sectional view of an essential part showing each manufacturing step of the DRAM.

【図46】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 46 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM.

【図47】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 47 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM.

【図48】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 48 is a cross-sectional view of essential parts showing each manufacturing step of the DRAM.

【図49】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 49 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図50】前記DRAMのヒューズ素子の要部断面図で
ある。
FIG. 50 is a cross-sectional view of a main part of a fuse element of the DRAM.

【図51】前記ヒューズ素子の各製造工程毎に示す要部
断面図である。
FIG. 51 is a main-portion cross-sectional view showing each of the manufacturing steps of the fuse element;

【図52】前記ヒューズ素子の各製造工程毎に示す要部
断面図である。
FIG. 52 is a sectional view of a key portion showing each step of manufacturing the fuse element.

【図53】前記ヒューズ素子の各製造工程毎に示す要部
断面図である。
FIG. 53 is a main-portion cross-sectional view showing each of the manufacturing steps of the fuse element;

【図54】前記DRAMで使用される膜の温度と蒸気圧
との関係を示す図である。
FIG. 54 is a diagram showing a relationship between temperature and vapor pressure of a film used in the DRAM.

【図55】前記DRAMで使用されるエッチング特性を
示す図である。
FIG. 55 is a diagram showing etching characteristics used in the DRAM.

【図56】本発明の実施の形態2であるDRAMの要部
断面図である。
FIG. 56 is a main-portion cross-sectional view of a DRAM which is Embodiment 2 of the present invention.

【図57】本発明の実施の形態2であるDRAMの要部
断面図である。
FIG. 57 is a main-portion cross-sectional view of a DRAM which is Embodiment 2 of the present invention.

【図58】本発明の実施の形態2であるDRAMの要部
断面図である。
FIG. 58 is a main-portion cross-sectional view of a DRAM which is Embodiment 2 of the present invention.

【図59】本発明の実施の形態3であるDRAMの要部
断面図である。
FIG. 59 is a main-portion cross-sectional view of a DRAM which is Embodiment 3 of the present invention.

【図60】本発明の実施の形態3であるDRAMの要部
断面図である。
FIG. 60 is a main-portion cross-sectional view of a DRAM which is Embodiment 3 of the present invention.

【図61】(A)は、前記DRAMで使用される膜の堆
積時間とガス流量との関係を示す図でり、(B)は、前
記膜の堆積時間と反応副生成物の発生量との関係を示す
図である。
FIG. 61 (A) is a diagram showing the relationship between the deposition time of the film used in the DRAM and the gas flow rate, and FIG. 61 (B) is the deposition time of the film and the amount of reaction by-products generated. It is a figure which shows the relationship of.

【図62】本発明の実施の形態IVであるCVD装置の概
略構成図である。
FIG. 62 is a schematic configuration diagram of a CVD apparatus that is Embodiment IV of the present invention.

【図63】前記CVD装置の要部概略構成図である。FIG. 63 is a schematic configuration diagram of a main part of the CVD apparatus.

【図64】前記CVD装置の要部概略構成図である。FIG. 64 is a schematic configuration diagram of a main part of the CVD apparatus.

【図65】本発明の実施の形態VであるCVD装置のガ
スバルブの開閉動作を示すタイムチャート図である。
FIG. 65 is a time chart showing an opening / closing operation of a gas valve of the CVD apparatus according to the embodiment V of the present invention.

【図66】前記CVD装置のガス流量を示すタイムチャ
ート図である。
FIG. 66 is a time chart diagram showing a gas flow rate of the CVD apparatus.

【図67】前記CVD装置の概略構成図である。FIG. 67 is a schematic configuration diagram of the CVD apparatus.

【図68】本発明の実施の形態6であるDRAMの各製
造工程毎に示す要部断面図である。
FIG. 68 is a main-portion cross-sectional view showing each manufacturing step of the DRAM which is Embodiment 6 of the present invention;

【図69】本発明の実施の形態6であるDRAMの各製
造工程毎に示す要部断面図である。
FIG. 69 is a fragmentary cross-sectional view showing each of the manufacturing steps of the DRAM according to the sixth embodiment of the present invention.

【図70】本発明の実施の形態6であるDRAMの各製
造工程毎に示す要部断面図である。
FIG. 70 is a main-portion cross-sectional view showing each manufacturing step of the DRAM which is Embodiment 6 of the present invention;

【図71】本発明の実施の形態6であるDRAMの各製
造工程毎に示す要部断面図である。
FIG. 71 is a main-portion cross-sectional view showing each manufacturing step of the DRAM which is Embodiment 6 of the present invention;

【図72】本発明の実施の形態VIIであるDRAMの所
定の製造工程における要部平面図である。
72 is a fragmentary plan view in the predetermined manufacturing process for the DRAM according to the embodiment VII of the present invention. FIG.

【図73】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 73 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図74】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 74 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図75】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 75 is a cross-sectional view of an essential part showing each manufacturing step of the DRAM.

【図76】前記DRAMの各製造工程毎に示す要部断面
図である。
FIG. 76 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図77】前記DRAMの他の例の所定の製造工程にお
ける要部平面図である。
FIG. 77 is a plan view of a main portion of another example of the DRAM in a predetermined manufacturing process.

【図78】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 78 is a main-portion cross-sectional view showing each of the manufacturing steps of another example of the DRAM.

【図79】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 79 is a sectional view of a key portion showing each manufacturing step of another example of the DRAM.

【図80】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 80 is a main-portion cross-sectional view showing each manufacturing step of another example of the DRAM;

【図81】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
81 is a main-portion cross-sectional view showing each manufacturing step of another example of the DRAM; FIG.

【図82】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 82 is a sectional view of a key portion showing each manufacturing step of another example of the DRAM.

【図83】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 83 is a main-portion cross-sectional view showing each of manufacturing steps of another example of the DRAM.

【図84】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 84 is a sectional view of a key portion showing each manufacturing step of another example of the DRAM.

【図85】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 85 is a sectional view of a key portion showing each manufacturing step of another example of the DRAM.

【図86】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
FIG. 86 is a sectional view of a key portion showing each manufacturing step of another example of the DRAM.

【図87】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
87 is a main-portion cross-sectional view showing each manufacturing step of another example of the DRAM; FIG.

【図88】前記DRAMの他の例の各製造工程毎に示す
要部断面図である。
88 is a main-portion cross-sectional view showing each manufacturing step of another example of the DRAM; FIG.

【図89】本発明の実施の形態8であるDRAMのアラ
イメントツリー図である。
FIG. 89 is an alignment tree diagram of the DRAM according to the eighth embodiment of the present invention.

【図90】本発明の実施の形態9であるDRAMのター
ゲットマーク部分の要部断面図である。
FIG. 90 is a fragmentary cross-sectional view of a target mark portion of a DRAM which is Embodiment 9 of the present invention.

【図91】本発明の実施の形態10であるDRAMの製
造プロセスで使用されるフォトリソグラフィ技術の概念
図である。
FIG. 91 is a conceptual diagram of a photolithography technique used in the DRAM manufacturing process according to the tenth embodiment of the present invention.

【図92】前記フォトリソグラフィ技術の工程フロー図
である。
FIG. 92 is a process flow chart of the photolithography technique.

【図93】フォトリソグラフィ技術で使用される物質の
構造図である。
FIG. 93 is a structural diagram of a material used in photolithography technology.

【図94】前記物質の特性を示す図である。FIG. 94 is a diagram showing characteristics of the substance.

【図95】前記物質を使用した時の効果を説明するため
の図である。
FIG. 95 is a view for explaining the effect of using the substance.

【図96】本発明の実施の形態11である半導体ウエー
ハの構成を示す概略平面図である。
96 is a schematic plan view showing the structure of the semiconductor wafer according to the eleventh embodiment of the present invention. FIG.

【図97】前記半導体ウエーハの拡大平面図である。FIG. 97 is an enlarged plan view of the semiconductor wafer.

【図98】前記図97に示す半導体ウエーハの拡大平面
図である。
98 is an enlarged plan view of the semiconductor wafer shown in FIG. 97. FIG.

【図99】連想アライメント方式を適用した場合の効果
を説明するための図である。
[Fig. 99] Fig. 99 is a diagram for explaining an effect when the associative alignment method is applied.

【図100】本発明の実施の形態12であるDRAM1
の要部断面図である。
[FIG. 100] A DRAM 1 according to a twelfth embodiment of the present invention.
FIG.

【図101】前記DRAMの所定の製造工程における要
部断面図である。
101 is a cross-sectional view of essential parts in a predetermined manufacturing process for the DRAM. FIG.

【図102】本発明の実施の形態13であるDRAMの
要部断面図である。
102 is a fragmentary cross-sectional view of a DRAM which is Embodiment 13 of the present invention. FIG.

【図103】前記DRAMで使用される膜のスパッタ時
のターゲット電圧と応力との関係を示す図である。
FIG. 103 is a diagram showing a relationship between a target voltage and stress during sputtering of a film used in the DRAM.

【図104】本発明の実施の形態14であるDRAM1
の要部断面図である。
FIG. 104 is a DRAM 1 according to a fourteenth embodiment of the present invention.
FIG.

【図105】前記DRAMの各製造工程毎に示す要部断
面図である。
FIG. 105 is a main-portion cross-sectional view showing each of the manufacturing steps of the DRAM;

【図106】前記DRAMの各製造工程毎に示す要部断
面図である。
FIG. 106 is a cross-sectional view of essential parts for each manufacturing step of the DRAM.

【符号の説明】[Explanation of symbols]

図中、1…DRAM、Qs…メモリセル選択用MISF
ET、C…スタックド構造の情報蓄積用容量素子、Q
n,Qp…MISFETである。
In the figure, 1 ... DRAM, Qs ... MISF for memory cell selection
ET, C ... Stacked structure information storage capacitor, Q
n, Qp ... MISFET.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 土屋 修 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 小笠原 誠 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 大塚 文雄 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 鳥居 和功 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 浅野 勇 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 大和田 伸郎 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 堀内 光明 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 田丸 剛 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 青木 英雄 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 大塚 伸宏 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 白井 精一郎 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 佐川 雅一 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 池田 良広 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 加賀 徹 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 恒岡 正年 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 新名 朋次 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 大岸 秀次 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 笠原 修 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 榎並 弘充 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 若原 篤志 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 秋森 裕之 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 鈴木 慎一 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 船津 圭亮 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 (72)発明者 川崎 義直 山口県下松市東豊井794番地 株式会社日 立製作所笠戸工場内 (72)発明者 坪根 恒彦 山口県下松市東豊井794番地 株式会社日 立製作所笠戸工場内 (72)発明者 古賀野 正佳 東京都小平市上水本町5丁目20番1号 日 立超エル・エス・アイ・エンジニアリング 株式会社内 (72)発明者 津金 賢 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Osamu Tsuchiya 2326 Imai, Ome, Tokyo, Hitachi Device Development Center (72) Inventor Makoto Ogasawara 2326 Imai, Ome, Tokyo Hitachi, Ltd. Device Development Center (72) Inventor Fumio Otsuka 2326 Imai, Ome-shi, Tokyo Inside Hitachi Device Development Center (72) Inventor Kazunori Torii 1-280, Higashi-Kengokubo, Kokubunji-shi, Tokyo Inside Hitachi Central Research Laboratory (72) Inventor Yu Isano 2326 Imai, Hitachi, Ltd. Device Development Center, Ome City, Tokyo (72) Inventor Shinro Owada 2326 Imai, Ome City, Tokyo, Ltd. Device Development Center, Hitachi Ltd. (72) Inventor, Mitsuaki Horiuchi Tokyo 2326 Imai, Ome City Co., Ltd. Hitachi, Ltd. Device Development Center (72) Inventor Go Tamaru, 2326 Imai, Ome City, Tokyo Metropolitan area, Hitachi Device Development Center (72) Inventor, Hideo Aoki 2326, Imai, Ome City, Tokyo Hitachi Device Development Center, Ltd. (72) Inventor Nobuhiro Otsuka 2326 Imai, Hitachi, Ltd. Ome-shi, Tokyo (72) Inventor Seiichiro Shirai 2326 Imai Imai, Ome, Tokyo (72) Inventor Sagawa (72) Inventor Sagawa Masakazu 2326 Imai, Ome, Tokyo Metropolitan area Hitachi Device Development Center (72) Inventor Yoshihiro Ikeda 2326 Imai, Ome city Tokyo Metropolitan area Hitachi Device Development Center (72) Inventor Toru Kaga Tokyo Kokubunji city 1-280 Higashi Koigokubo Central Research Laboratory, Hitachi, Ltd. (72) Inventor, Tsuneoka, New Year 2326, Imai, Ome City, Tokyo Hitachi, Ltd. Deva (72) Inventor Tomoji Shinmei 2326 Imai, Ome City, Tokyo Metropolitan area Hitachi, Ltd. Device Development Center (72) Inventor Shuji Ogishi 2326 Imai, Ome city, Tokyo Hitachi Device Development Center Co., Ltd. (72) Inventor Osamu Kasahara 2326 Imai, Ome City, Tokyo Within Hitachi Device Development Center (72) Inventor Hiromitsu Enami 2326 Imai, Ome City, Tokyo Within Hitachi Device Development Center (72) Inventor Atsushi Wakahara 2326 Imai, Ome, Tokyo Metropolitan area Device Development Center, Hitachi, Ltd. (72) Inventor Hiroyuki Akimori 2326 Imai, Ome, Tokyo Metropolitan area Device Development Center, Hitachi, Ltd. (72) Inventor Shinichi Suzuki Ome, Tokyo 2326 Imai, Hitachi, Ltd. Device Development Center, Hitachi, Ltd. (72) Inventor Keisuke Funatsu 2326, Imai, Ome, Tokyo, Hitachi Device Development Center (72) ) Inventor Yoshinao Kawasaki 794, Higashitoyoi Higashitoyo, Shimomatsu, Yamaguchi Prefecture Inside the Kasado Plant, Hiritsu Manufacturing Co., Ltd. (72) Tsunehiko Tsubone 794 Higashitoyoi, Shimomatsu City, Yamaguchi Prefecture Inside the Kasado Plant, Hitate Manufacturing Co., Ltd. Masaka No No. 5-20-1 Kamimizuhoncho, Kodaira-shi, Tokyo Inside Hiritsu Super S.E. Engineering Co., Ltd. (72) Inventor Ken Tsugane 2326 Imai, Ome-shi, Tokyo Hitachi Device Development Center

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 第1領域とそれに隣接する第2領域とを
有する第1導電型のウエル領域を含む半導体基板と、 前記第2領域の半導体基板の主面に形成された第1絶縁
膜と、 前記第1領域に所定の間隔で形成された第2導電型の第
1、第2半導体領域と、前記半導体基板の主面におい
て、前記第1、第2半導体領域の間に位置する第2絶縁
膜上に形成されたゲート電極とからなるメモリセル選択
用MISFETと、 前記第2領域の半導体基板の主面に形成され、前記第1
絶縁膜の下に位置する第1導電型の第3半導体領域と、 前記第2半導体領域に接続され、前記ゲート電極上に延
在する第2導電型の不純物を含有する第1電極と、前記
第1電極上に形成された誘電体膜と、前記誘電体膜上に
形成された第2電極とからなる情報蓄積用容量素子と、 前記第1領域において、前記第1、第2半導体領域の下
部に形成された第1導電型の第4半導体領域とからな
り、 前記第1絶縁膜の膜厚は、前記第2絶縁膜の膜厚よりも
大であり、 前記第2半導体領域は、前記第1絶縁膜に対して自己整
合的に形成されており、 前記第3半導体領域は、前記第2領域に前記第1絶縁膜
を通過させて第1導電型の不純物を導入することによっ
て形成され、前記第4半導体領域は、前記第1領域に前
記第1導電型の不純物を導入することにより、前記第3
半導体領域と同一工程で形成されることを特徴とする半
導体集積回路装置。
1. A semiconductor substrate including a well region of a first conductivity type having a first region and a second region adjacent thereto, and a first insulating film formed on a main surface of the semiconductor substrate of the second region. A second conductive type first and second semiconductor regions formed in the first region at a predetermined interval, and a second region located between the first and second semiconductor regions on the main surface of the semiconductor substrate. A memory cell selection MISFET including a gate electrode formed on an insulating film, and the first region formed on the main surface of the semiconductor substrate in the second region.
A third semiconductor region of a first conductivity type located below an insulating film; a first electrode connected to the second semiconductor region and containing an impurity of a second conductivity type extending on the gate electrode; An information storage capacitive element including a dielectric film formed on a first electrode and a second electrode formed on the dielectric film; and, in the first region, in the first and second semiconductor regions. A fourth semiconductor region of the first conductivity type formed in a lower portion, the first insulating film has a thickness larger than that of the second insulating film, and the second semiconductor region has The third semiconductor region is formed by self-aligning with the first insulating film, and the third semiconductor region is formed by introducing an impurity of a first conductivity type into the second region through the first insulating film. The fourth semiconductor region has the first conductivity type impurity introduced into the first region. By the third
A semiconductor integrated circuit device, which is formed in the same process as a semiconductor region.
【請求項2】 特許請求の範囲第1項において、前記第
3及び第4半導体領域の不純物濃度は、前記ウエル領域
の不純物濃度よりも大であることを特徴とする半導体集
積回路装置。
2. The semiconductor integrated circuit device according to claim 1, wherein the impurity concentration of the third and fourth semiconductor regions is higher than the impurity concentration of the well region.
【請求項3】 特許請求の範囲第2項において、前記第
2半導体領域は、所定の濃度の第1部分と、前記第1部
分よりも高濃度の第2部分とからなり、前記第2部分は
前記第1電極に含有された不純物が前記半導体基板内へ
拡散することによって形成されたものであることを特徴
とする半導体集積回路装置。
3. The second semiconductor region according to claim 2, wherein the second semiconductor region includes a first portion having a predetermined concentration and a second portion having a higher concentration than the first portion. Is a semiconductor integrated circuit device formed by diffusing impurities contained in the first electrode into the semiconductor substrate.
【請求項4】 特許請求の範囲第3項において、さらに
前記メモリセル選択用MISFETと情報蓄積用容量素
子とからなるメモリセルが行列状に複数配置されたメモ
リセルアレイと、 前記メモリセルアレイにおいて、行方向に延在する複数
のデータ線と、 前記メモリセルアレイにおいて、列方向に延在する複数
のワード線とを有し、前記データ線は、前記第1半導体
領域に接続されており、前記ワード線は、前記メモリセ
ル選択用MISFETのゲート電極に接続されているこ
とを特徴とする半導体集積回路装置。
4. The memory cell array according to claim 3, further comprising a plurality of memory cells, each of which is composed of the memory cell selecting MISFET and the information storing capacitive element, arranged in a matrix, and a row in the memory cell array. A plurality of data lines extending in a direction, and a plurality of word lines extending in a column direction in the memory cell array, the data lines being connected to the first semiconductor region, the word lines Is connected to the gate electrode of the MISFET for memory cell selection, the semiconductor integrated circuit device.
【請求項5】 第1導電型のウエル領域を有する半導体
基板上に、ソース及びドレイン領域とゲート電極とから
なるメモリセル選択用MISFETと、第1電極、誘電
体膜、第2電極とからなる情報蓄積用容量素子とが直列
接続されたメモリセルを複数形成した半導体集積回路装
置において、 前記半導体基板の主面の第1領域に耐酸化マスクとなる
第1絶縁膜を形成する工程と、 前記第1絶縁膜をマスクにして、前記半導体基板の第2
領域に第2絶縁膜を形成する工程と、 前記第2絶縁膜を通過するのに十分なエネルギーで第1
導電型の第1不純物を前記半導体基板の主面の前記第
1、第2領域にイオン打ち込みする工程と、 前記半導体基板の主面の第1領域に前記第2絶縁膜より
も膜厚が小である第3絶縁膜を形成する工程と、 前記第3絶縁膜上に前記メモリセル選択用MISFET
のゲート電極を形成する工程と、 前記ソース及びドレイン領域の一方を形成するために、
前記ゲート電極及び第2絶縁膜に対して自己整合で、前
記半導体基板の主面に第2導電型の第2不純物をイオン
打ち込みする工程と、 前記ソース及びドレイン領域の一方に電気的に接続され
るように、第2導電型の不純物を含有する第1電極を前
記ゲート電極上に延在するように形成する工程と、 前記第1電極上に前記誘電体膜及び前記第2電極を形成
する工程とからなる半導体集積回路装置の製造方法。
5. A memory cell selecting MISFET including a source / drain region and a gate electrode, a first electrode, a dielectric film, and a second electrode on a semiconductor substrate having a first conductivity type well region. In a semiconductor integrated circuit device having a plurality of memory cells in which information storage capacitors are connected in series, a step of forming a first insulating film serving as an oxidation resistant mask in a first region of the main surface of the semiconductor substrate, The second insulating film of the semiconductor substrate is formed using the first insulating film as a mask.
Forming a second insulating film in the region, and applying a first energy with sufficient energy to pass through the second insulating film.
Ion-implanting a conductive type first impurity into the first and second regions of the main surface of the semiconductor substrate; and a film thickness smaller than that of the second insulating film in the first region of the main surface of the semiconductor substrate. And a step of forming a third insulating film, the memory cell selecting MISFET being formed on the third insulating film.
And forming one of the source and drain regions,
Self-aligning with the gate electrode and the second insulating film, implanting a second impurity of the second conductivity type into the main surface of the semiconductor substrate, and electrically connecting to one of the source and drain regions. Forming a first electrode containing impurities of the second conductivity type so as to extend over the gate electrode, and forming the dielectric film and the second electrode on the first electrode. A method of manufacturing a semiconductor integrated circuit device, the method comprising:
【請求項6】 特許請求の範囲第5項において、前記第
1不純物のイオン打ち込み工程の前に、前記第1絶縁膜
を半導体基板の主面から除去する工程を含むことを特徴
とする半導体集積回路装置の製造方法。
6. The semiconductor integrated device according to claim 5, further comprising a step of removing the first insulating film from the main surface of the semiconductor substrate before the step of ion-implanting the first impurity. Method of manufacturing circuit device.
【請求項7】 特許請求の範囲第5項において、前記第
2不純物のイオン打ち込み工程と前記第1電極形成工程
の間に、 前記半導体基板の主面上に第4絶縁膜を形成する工程
と、 前記第4絶縁膜を異方性エッチングすることにより、前
記ゲート電極の側壁にサイドウォールを形成する工程
と、 前記ソース及びドレイン領域の一方が露出するような開
孔を有する第5絶縁膜を、前記サイドウォール上に形成
する工程とを有することを特徴とする半導体集積回路装
置の製造方法。
7. The method according to claim 5, wherein a step of forming a fourth insulating film on the main surface of the semiconductor substrate is performed between the step of ion-implanting the second impurity and the step of forming the first electrode. Forming a sidewall on the sidewall of the gate electrode by anisotropically etching the fourth insulating film; and forming a fifth insulating film having an opening so that one of the source and drain regions is exposed. And a step of forming on the side wall, the method for manufacturing a semiconductor integrated circuit device.
【請求項8】 特許請求の範囲第5項において、前記第
1電極に含有された不純物はリンであり、前記第1電極
に含有された不純物は、半導体基板内に拡散し、前記ソ
ース及びドレイン領域の一方を構成することを特徴とす
る半導体集積回路装置の製造方法。
8. The impurity according to claim 5, wherein the impurity contained in the first electrode is phosphorus, and the impurity contained in the first electrode diffuses into a semiconductor substrate to form the source and drain. A method of manufacturing a semiconductor integrated circuit device, comprising forming one of the regions.
JP8064045A 1996-03-21 1996-03-21 Semiconductor integrated circuit device and its manufacture Pending JPH08241968A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8064045A JPH08241968A (en) 1996-03-21 1996-03-21 Semiconductor integrated circuit device and its manufacture

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8064045A JPH08241968A (en) 1996-03-21 1996-03-21 Semiconductor integrated circuit device and its manufacture

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP1065849A Division JP2859288B2 (en) 1989-03-20 1989-03-20 Semiconductor integrated circuit device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JPH08241968A true JPH08241968A (en) 1996-09-17

Family

ID=13246752

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8064045A Pending JPH08241968A (en) 1996-03-21 1996-03-21 Semiconductor integrated circuit device and its manufacture

Country Status (1)

Country Link
JP (1) JPH08241968A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6845051B2 (en) 2001-12-06 2005-01-18 Fujitsu Limited Semiconductor memory device and data access method for semiconductor memory device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62298161A (en) * 1986-06-18 1987-12-25 Hitachi Ltd Manufacture of semiconductor integrated circuit device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62298161A (en) * 1986-06-18 1987-12-25 Hitachi Ltd Manufacture of semiconductor integrated circuit device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6845051B2 (en) 2001-12-06 2005-01-18 Fujitsu Limited Semiconductor memory device and data access method for semiconductor memory device

Similar Documents

Publication Publication Date Title
JP2859288B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
US5196910A (en) Semiconductor memory device with recessed array region
US4882289A (en) Method of making a semiconductor memory device with recessed array region
KR940003036A (en) Method for manufacturing semiconductor device and its structure
JPH10189770A (en) Semiconductor device
JP3115818B2 (en) Semiconductor integrated circuit device
JP3177436B2 (en) Semiconductor integrated circuit device
JP3177435B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
JP3115817B2 (en) Semiconductor integrated circuit device
JPH08241968A (en) Semiconductor integrated circuit device and its manufacture
USRE38296E1 (en) Semiconductor memory device with recessed array region
JPH08250681A (en) Semiconductor integrated circuit device
KR100191017B1 (en) Fabrication method of semiconductor device
KR100235529B1 (en) Fabrication of semiconductor integrated circuit device
KR100198637B1 (en) Fabricating method of semiconductor device
JP2818144B2 (en) Semiconductor integrated circuit device
JP2813571B2 (en) Semiconductor integrated circuit device
JP2003303881A (en) Semiconductor device and manufacturing method therefor
JPH01298738A (en) Manufacture of semiconductor device
KR100191021B1 (en) Ic device
JPH1065017A (en) Semiconductor device and manufacture thereof
JPH03214768A (en) Manufacture of semiconductor memory
JPH06151769A (en) Manufacture of semiconductor memory
JPH03263329A (en) Manufacture of semiconductor device