JP2842334B2 - レイアウト方法 - Google Patents

レイアウト方法

Info

Publication number
JP2842334B2
JP2842334B2 JP7250630A JP25063095A JP2842334B2 JP 2842334 B2 JP2842334 B2 JP 2842334B2 JP 7250630 A JP7250630 A JP 7250630A JP 25063095 A JP25063095 A JP 25063095A JP 2842334 B2 JP2842334 B2 JP 2842334B2
Authority
JP
Japan
Prior art keywords
information
buffer
unit circuits
unit
arrangement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP7250630A
Other languages
English (en)
Other versions
JPH0991333A (ja
Inventor
尚志 村田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP7250630A priority Critical patent/JP2842334B2/ja
Publication of JPH0991333A publication Critical patent/JPH0991333A/ja
Application granted granted Critical
Publication of JP2842334B2 publication Critical patent/JP2842334B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はレイアウト方法に関
し、特に集積回路設計におけるタイミング制約を考慮し
たレイアウト方法に関する。
【0002】
【従来の技術】集積回路の微細化,大規模化に伴ない配
線容量や配線抵抗に起因する信号の伝播遅延が無視でき
なくなってきており、それらによる回路の誤動作が問題
となってきている。この回避のために現在ではタイミン
グを考慮したレイアウト設計が一般的になってきてい
る。
【0003】IOとそれにつながるFFなどのセルとの
間では、特にタイミング制約が厳しく、従来は、例え
ば、情報処理学会第43回全国大会(平成3年後期)論
文番号3R−1及び同3R−4(文献1,2)などに見
られるような、一般的なタイミングを考慮した配置手法
を用いることで上記制約を満たすようにしていた。
【0004】文献1,2記載の上記の従来の一般的なレ
イアウト方法をフローチャートで示す図4を参照する
と、この従来のレイアウト方法は、まず、下地ライブラ
リとネットリスト情報とIO配置情報と遅延ライブラリ
およびタイミング制約情報を入力処理プログラムで入力
する(ステップP1)。ここで、下地ライブラリは例え
ばゲートアレイの下地に関する情報であり、予め形成さ
れた下地が決まればその上に配置できる素子も決まるも
のである。次に、セルの仮配置プログラムでセルの仮の
配置位置を決める(ステップP2)。次に、配線長予測
プログラムで配置位置から配線長を予測する(ステップ
P3)。タイミング解析プログラムで予測した配線長か
ら遅延計算によるタイミング解析を行う(ステップP
4)。次に、配置改良プログラムでステップP4の解析
結果をもとに配置を改良する(ステップP5)。次に、
ステップ5の配置改良結果、遅延値で決まるタイミング
が制約条件を満足しているか否かのチエックを行い(ス
テップP6)、満足しない場合には、再びステップP3
に戻るというものであった。
【0005】
【発明が解決しようとする課題】上述した従来のレイア
ウト方法は、予測した配線長をもとにタイミング解析を
行なっているが、配線処理後の実際の配線長は迂回など
のため予測配線長より長くなる場合があり、したがって
実際の遅延値がレイアウト配置時の計算値を上回り制約
条件に違反してしまうことがあり得るという欠点があっ
た。
【0006】さらに、上記タイミング解析は、注目セル
の入力までに関わる全てのネットについて遅延値を計算
する必要があるため時間がかかり、制約条件数が増大す
るとそれだけ処理時間が増大するという欠点があった。
【0007】
【課題を解決するための手段】本発明のレイアウト方法
は、拡散ウエハ上に予め形成した複数の単位回路を予め
定めたコア領域内に規則的に配列したゲートアレイの
設計対象回路の論理情報にしたがって配線パタ
ーンを生成することにより前記設計対象回路を形成する
レイアウト方法において、前記下地に関する情報である
下地ライブラリと設計対象回路の論理情報対応のネッ
トリスト情報と入出力用のIOバッファの配置を示す
IOバッファ配置情報とを入力する第1のステップと、
前記下地ライブラリとネットリスト情報とIOバッファ
配置情報とから、前記IOバッファに接続する第1のネ
ットに接続する第1の単位回路とこの第1の単位回路
に接続し前記第1のネットを除く第2のネットに接続す
る第2の単位回路とを列挙する第2のステップと、前記
コア領域内で前記IOバッファの端子と列挙した前記第
1,第2の単位回路の各々の端子との間の距離がそれぞ
れ最小となる第1の位置、及び前記第1の単位回路と
記第2の単位回路の各々の端子間の距離がそれぞれ最小
となる第2の位置を探索する第3のステップと、前記第
1,第2の位置情報から前記第1,第2の単位回路の各
々同志の位置が重複しないようにこれら第1,第2の単
位回路を配置する第4のステップとを含むことを特徴と
するものである。
【0008】
【発明の実施の形態】次に、本発明の実施の形態をフロ
ーチャートで示す図1を参照すると、この図に示す本実
施の形態のレイアウト方法は、入力プログラムで下地ラ
イブラリとネットリスト情報とIO配置情報とを入力す
るステップS1と、これら入力した情報からIOバッフ
ァの所属ネットに接続するセルまたはこれらセルとこれ
らセル所属ネットに接続するIOバッファ以外のセルを
列挙するセル列挙プログラムであるステップS2と、列
挙されたセルの配置実行の許容領域内でIOバッファの
ピンとセルのピンとの距離が最小にまたは同一ネット所
属セル同志の場合それらセルのピン間の距離が最小にそ
れぞれなるような位置を探すセル配置位置決めプログラ
ムであるステップS3と、それらの位置からセルどうし
が重ならないように配置する配置プログラムであるステ
ップS4と、ステップS2で列挙したセルは全て配置さ
れたか否かをチエックするステップS5とを含む。
【0009】次に、図1,ステップS2のセル列挙の対
象のネットリストの一例を模式的に示す図2およびステ
ップS3のセル配置位置決めの対象の許容領域の一例を
模式的に示す図3を参照して本実施の本実施の形態の動
作について説明すると、ステップS1で入力されたネッ
トリスト情報から、ステップS2のセル列挙プログラム
は、IOバッファに接続するネットをたどり、このネッ
ト上にあるセル(説明の便宜上第1段セルと呼ぶ以下同
様)を列挙する。さらに第1段セルからネットをたど
り、このネット上にあるセル(第2段セル)を列挙す
る。これを全てのIOバッファについて行い、全ての第
1段セルおよび第2段セルをステップS3のセル配置位
置決めプログラムに渡す。図2の例では、IOバッファ
5に接続するネット6をたどって第1段セル群7所属の
第1段セルであるセル71,72を列挙し、さらにセル
71につながるネット8をたどって第2段セル群10所
属の第2段セルであるセル101,102を、セル72
につながるネット9をたどって第2段セル群11所属の
第2段セルであるセル111,112,113を列挙す
る。このとき列挙した全てのセル71,72,101,
102,111,112,113をセル配置位置決めプ
ログラム(ステップS3)に渡す。
【0010】セル配置位置決めプログラムは、第1段セ
ルおよび第2段セルの配置許容領域(コア領域)内で、
第1段セルに関し、同一ネット上のIOバッファのピン
と第1段セルのピンとの間の距離が最小になる位置を求
め、ステップ4のセル配置プログラムがその位置から他
の配置済みのセルと重ならないように近傍を検索し、配
置する。これを全ての第1段セルについて行った後、再
度ステップ3では、第1段セルに関し第1段セルのピン
の位置からコア領域内で同一ネット上の第2段セルのピ
ンとの距離が最小になる位置を決め、ステップ4でその
位置から他の配置済みのセルと重ならないように近傍を
検索し、配置する。これを全ての第2段セルについて行
って、処理が終了する。ここで、上記コア領域の情報は
上述の下地ライブラリの中にあり、下地により決まる素
子配置許容領域をコア領域と呼ぶ。
【0011】図3の例では、IOバッファ5,セル7
1,72の各々のピン51,711,721はそれぞれ
ネット6上にある。また、セル71,101,102の
各々のピン712,ピン1011,1021はそれぞれ
ネット8上にあり、セル72,111,112,113
の各々のピン722,1111,ピン1121,113
1はそれぞれネット9上にある。このとき、ピン51,
711相互間が距離最小になる位置をコア領域12内で
求め、この求めた位置付近に他のセルと重ならないよう
にセル71を配置する。セル72についても同様であ
る。その他の第1段セルを同様に配置した後、第2段セ
ルの配置に移る。ピン712,1011相互間が距離最
小になる位置をコア領域12内で求め、この求めた位置
付近に他のセルと重ならないようにセル101を配置す
る。セル102,111,112,113についても同
様である。
【0012】本実施の形態の方法で配置対象とならなか
ったセルは、従来と同一方法により配置する。
【0013】本実施の形態の方法のステップS2より、
セルの列挙の処理は、与えられたネットリストをIOバ
ッファからたどるだけで可能であり、第1段セルのピン
とIOバッファのピンとの距離最小の位置決めは、ステ
ップS1で入力されたIOバッファの配置情報から容易
に計算でき、第1段,第2段セルのピン間の距離最小の
位置決めは、第1段セルが配置済みであるから容易に計
算できる。
【0014】ステップS3により、IOバッファとセ
ル、またはセルどうしのピン間の距離を小さくできるた
め、配線処理を行った後でも配線を短く抑えることがで
き、これらの間のタイミング制約条件を守ることができ
る。
【0015】したがって、本手法で配置対象となるセル
の間のタイミング解析は不要となり、この部分での配置
の処理時間が短縮できる。さらに、本手法で配置した部
分のタイミング制約条件を省くことにより、全体のタイ
ミングの制約条件数を低減することができ、従来の方法
の配置処理の時間を短縮できる。
【0016】例えば、ネット数2300,IOバッファ
数140の設計データに本実施の形態の方法を適用した
場合、従来の方法に比べ、制約条件数を10%低減で
き、20%の配置処理の時間短縮を行うことができた。
【0017】以上、本発明の実施例を説明したが、本発
明は上記実施の形態に限られることなく種々の変形が可
能である。例えば、実施の形態では対象セルの配置後、
残りセルを従来の方法のタイミング考慮の配置を行うこ
とでタイミング制約条件を満たすようにしているが、グ
ループを配置することによりタイミング制約条件を満た
すレイアウトを行うことも、本発明の主旨を逸脱しない
限り適用できることは勿論である。
【0018】すなわち、上記実施の形態のレイアウト方
法で対象となるセルの配置後、残りセルを論理階層をも
とにグループに分割し、グループどうしの接続関係、及
び本実施の形態の方法で配置したセルとの接続関係を考
慮しながら、自動または人手によりグループを配置す
る。グループを配置することは従来からも行われていた
が、この場合、配置済みのセル位置を手掛かりに、配置
済みセルとグループとを配線長を短く抑える位置に配置
することが容易になり、タイミング制約条件を満たし易
くなる。
【0019】
【発明の効果】以上説明したように、本発明のレイアウ
ト方法は、IOバッファに接続する第1のネットに接続
する第1の単位回路と第2の単位回路とを列挙するステ
ップと、コア領域内でIOバッファの端子と列挙した第
1,第2の単位回路の各々の端子との間の距離がそれぞ
れ最小となる第1の位置または上記第1の単位回路同志
および上記第2の単位回路同志の各々の端子間の距離が
それぞれ最小となる第2の位置を探索するステップと、
上記第1,第2の位置情報から上記第1,第2の単位回
路の各々同志の位置が重複しないように配置する第4の
ステップとを含み、IOバッファに直接続するセル、ま
たはIOバッファに直接接続するセルに直接接続するセ
ルをIOバッファ近くに優先的に配置するようにしたた
め、IOバッファと配置セルとの間の配線長を最短に抑
制できるので、タイミング制約条件を確実に守ることが
できるという効果がある。
【0020】また、従来の方法と併用した場合でも、タ
イミング制約条件数を低減できるため、セル配置処理時
間を短縮できるという効果がある。
【図面の簡単な説明】
【図1】本発明のレイアウト方法の一実施の形態を示す
フローチャートである。
【図2】本実施の形態のレイアウト方法におけるセル列
挙の対象のネットリストの一例を模式的に示す図であ
る。
【図3】セル配置位置決めの対象の許容領域の一例を模
式的に示す図である。
【図4】従来のレイアウト方法の一例を示すフローチャ
ートである。
【符号の説明】
5 IOバッファ 6,8,9 ネット 7 第1段セル群 10,11 第2段セル群 71,72,101,102,111,112,113
セル 51,52,711,712,721,722,101
1,1021,1111,1121,1131 ピン

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】 拡散ウエハ上に予め形成した複数の単位
    路を予め定めたコア領域内に規則的に配列したゲート
    アレイの下地設計対象回路の論理情報にしたがっ
    て配線パターンを生成することにより前記設計対象回路
    を形成するレイアウト方法において、 前記下地に関する情報である下地ライブラリと設計対
    象回路の論理情報対応のネットリスト情報と入出力用
    のIOバッファの配置を示すIOバッファ配置情報とを
    入力する第1のステップと、 前記下地ライブラリとネットリスト情報とIOバッファ
    配置情報とから、前記IOバッファに接続する第1のネ
    ットに接続する第1の単位回路とこの第1の単位回路
    に接続し前記第1のネットを除く第2のネットに接続す
    る第2の単位回路とを列挙する第2のステップと、 前記コア領域内で前記IOバッファの端子と列挙した前
    記第1,第2の単位回路の各々の端子との間の距離がそ
    れぞれ最小となる第1の位置、及び前記第1の単位回
    前記第2の単位回路の各々の端子間の距離がそれぞれ
    最小となる第2の位置を探索する第3のステップと、 前記第1,第2の位置情報から前記第1,第2の単位回
    路の各々同志の位置が重複しないようにこれら第1,第
    2の単位回路を配置する第4のステップとを含むことを
    特徴とするレイアウト方法。
  2. 【請求項2】 請求項1記載のレイアウト方法により
    記第1,第2の単位回路の配置の終了後、残余の単位回
    路を前記論理情報における論理階層に基ずいてグループ
    単位に分割し、これらグループ同志の接続関係および配
    置後の前記第1,第2の単位回路との接続関係を考慮し
    ながら前記グループを配置することを特徴とするレイア
    ウト方法。
JP7250630A 1995-09-28 1995-09-28 レイアウト方法 Expired - Fee Related JP2842334B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP7250630A JP2842334B2 (ja) 1995-09-28 1995-09-28 レイアウト方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7250630A JP2842334B2 (ja) 1995-09-28 1995-09-28 レイアウト方法

Publications (2)

Publication Number Publication Date
JPH0991333A JPH0991333A (ja) 1997-04-04
JP2842334B2 true JP2842334B2 (ja) 1999-01-06

Family

ID=17210718

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7250630A Expired - Fee Related JP2842334B2 (ja) 1995-09-28 1995-09-28 レイアウト方法

Country Status (1)

Country Link
JP (1) JP2842334B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6766499B1 (en) * 2001-04-05 2004-07-20 Lsi Logic Corporation Buffer cell insertion and electronic design automation

Also Published As

Publication number Publication date
JPH0991333A (ja) 1997-04-04

Similar Documents

Publication Publication Date Title
US6779158B2 (en) Digital logic optimization using selection operators
US5654898A (en) Timing-driven integrated circuit layout through device sizing
US6591407B1 (en) Method and apparatus for interconnect-driven optimization of integrated circuit design
US7919981B2 (en) Integrated circuit design based on scan design technology
US5666289A (en) Flexible design system
US20040230933A1 (en) Tool flow process for physical design of integrated circuits
JP2572322B2 (ja) 回路セルとそれらの回路セルの間を接続するルーティングとを集積回路上に配置させる方法
US20070271537A1 (en) Command-language-based functional engineering change order (eco) implementation
US6609241B2 (en) Method of designing clock wiring
CN114841104A (zh) 时序优化电路和方法、芯片及电子设备
US6698000B2 (en) Semiconductor process parameter determining method, semiconductor process parameter determining system, and semiconductor process parameter determining program
US11574101B2 (en) Techniques for providing optimizations based on categories of slack in timing paths
JP4264436B2 (ja) フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置
JP2000277617A (ja) Asic設計方法およびasic設計装置
JP2842334B2 (ja) レイアウト方法
US7073148B1 (en) Antenna violation correction in high-density integrated circuits
US8943457B2 (en) Simulating scan tests with reduced resources
US20040003363A1 (en) Integrated circuit design and manufacture utilizing layers having a predetermined layout
US7451427B2 (en) Bus representation for efficient physical synthesis of integrated circuit designs
US20090064079A1 (en) Apparatus and method for circuit layout
US20210264081A1 (en) Methods of designing semiconductor devices, design systems performing the same and methods of manufacturing semiconductor devices using the same
US20050251763A1 (en) Methods and apparatus for scan insertion
JP2872216B1 (ja) マクロの設計方法
JP3130810B2 (ja) 自動配置配線方法
JP3548922B2 (ja) バウンダリ・スキャン・ダミーセルを用いた回路設計方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19980922

LAPS Cancellation because of no payment of annual fees