JP2842280B2 - Rtレベルデータパス回路のテスト容易性を考慮した無走査設計方法 - Google Patents

Rtレベルデータパス回路のテスト容易性を考慮した無走査設計方法

Info

Publication number
JP2842280B2
JP2842280B2 JP7041045A JP4104595A JP2842280B2 JP 2842280 B2 JP2842280 B2 JP 2842280B2 JP 7041045 A JP7041045 A JP 7041045A JP 4104595 A JP4104595 A JP 4104595A JP 2842280 B2 JP2842280 B2 JP 2842280B2
Authority
JP
Japan
Prior art keywords
data path
level
testability
circuit
observable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP7041045A
Other languages
English (en)
Other versions
JPH0816656A (ja
Inventor
ディ スージット
ポトコニャック ミオドラッグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Publication of JPH0816656A publication Critical patent/JPH0816656A/ja
Application granted granted Critical
Publication of JP2842280B2 publication Critical patent/JP2842280B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/2205Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested
    • G06F11/2226Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested to test ALU
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明はレジスタ転送レベルのデ
ータパス回路をテスト容易にするテスト容易性を考慮し
た無走査設計方法に関する。データパス内のループは、
回路の新らしいEXUSグラフ表現を用いることにより
k−レベル制御可能および/または観測可能とすること
ができる。その結果、フリップフロップを走査したりル
ープを直接遮断することなく回路をテスト容易とするこ
とができる。
【0002】
【従来の技術】シーケンシャルテストパターンの生成作
業を簡略化するべく提案されているいくつかのテスト容
易性を考慮した設計方法のうち、部分走査方法が急速に
普及してきている。回路のすべてのフリップフロップ
(FF)を観察可能および制御可能にする全走査と異な
り、部分走査方法では走査用のFFのサブセットを選択
する。全走査回路の場合に匹敵するテスト効率を達成で
きるばかりでなく、通常、部分走査回路は、走査チェイ
ン内のFFを少なくすることができるので、チップ面積
や遅延によるオーバーヘッドが少なくて済み、テスト適
用時間も短くて済む。
【0003】しかし、走査型方法でのテストベクタは走
査チェインを介してシフトしなければならないので、走
査型方法でのテスト適用時間が無走査設計に比較して極
めて長いという欠点がある。テスト適用時間の短縮は、
並列走査チェインに走査フリップフロップを配設し、走
査チェインを再構成するなどいくつかの方法が試みられ
てきた。並列走査チェイン方法では、並列走査チェイン
の数、従って並列シフトが可能なベクタ数は、回路の主
入出力の最小数によって限定される。再構成可能な走査
チェイン方法は、一組のカーネルに分解される回路の能
力によって制限され、これらのカーネルは独立にテスト
可能な論理回路の分離個別部分を成す。可制御点および
可観測点は、1989年度版DAC議事録の706頁か
ら709頁に記載のT.Gheewalaの論文「クロ
スチェック:セル型VLSIテスト容易性を考慮した方
法」および1991年度版DAC議事録の282頁から
286頁に記載のS.J.Chandra他の論文「新
規のグリッドアドレッサブルラッチ素子を基体とするA
TPG」に説明されているクロスチェックのようなシリ
コン仕様の方法にも設けられている。テスト適用は観測
および制御点を走査する必要があるため緩慢であり、送
信は観測および制御点を選択するのに用いる拡散回路に
おいて遅延する。一方無走査DFT技術はFFの走査を
必要とせず、走査チェインを介してテストベクタをシフ
トする必要が無く、従ってテスト適用時間を大幅に短縮
している。
【0004】ただし、走査型DFT技術の大きな欠点
は、テストベクタを回路の動作速度で回路に適用するこ
とができないことにある。すなわち、テストベクタを連
続したクロック周期で適用することはできない。走査設
計を「迅速に」テストすることができないということ
は、最近の研究に鑑みてその重要性を想起させるもので
あり、縮退故障用テストセットを高速に適用すれば同じ
故障検出率をもつテストセットを低速に適用した場合よ
り多くの欠陥チップを検出することを示している。これ
らの研究の結果、研究者は、可制御点および可観測点を
導入してシーケンシャル回路をテストできるようにする
べく無走査DFT技術の調査を開始している。高いテス
ト効率を有するテスト可能なシーケンシャル回路を構成
するための無走査DFT技術の実現可能性は、1993
年6月版のデザインオートメーション会議議事録の23
6頁から241頁に記載のV.Chickermane
他の論文「シーケンシャル回路に対するテスト容易性を
考慮した無走査設計」において実証されている。無走査
設計の主な利点はテストベクタを迅速に適用できること
である。
【0005】最近、内蔵自己テスト(BIST)型試験
方法および自動テストパターン生成(ATPG)方法に
対する簡単にテスト容易なデータパスを構成するための
高レベル合成方法がいくつか提案されている。回路のテ
スト容易性を改良するため、テストステートメントの挿
入を回路動作仕様に採用している。自己ループの数を最
小限にすることによりテスト容易なデータパスを生成す
る方法が知られている。適正なスケジューリングおよび
割り当てを行うことにより、またループを遮断する走査
レジスタを用いることにより、ループを持たないデータ
パスを合成する技術がいくつか提案されている。
【0006】
【発明が解決しようとする課題】ランダム試験はシーケ
ンシャル回路には適さないから殆どすべてのBIST型
方法は、走査設計方法をとっている。またテスト容易性
方法についての殆どすべてのATPG型高レベル合成方
法は、1992年のコンピュータ設計に関する国際会議
議事録に記載のT.C.Lee他の論文「データパス割
り当てにおける簡単なテスト容易性のための回動動作合
成」および1993年の設計自動化会議議事録の292
頁から297頁に記載のT.C.Lee他の論文「無走
査および部分走査環境におけるテスト容易なデータパス
の回路動作合成」に提案する方法を除いて、データパス
をテスト容易とするため走査レジスタを使用する。しか
し、Lee他の論文に示される無走査技術は、回路構成
に多数の主入力(PI)および主出力(PO)を備え、
かつループを持っていない場合のみテスト容易なデータ
パスを形成するものである。例えば、5次楕円電波フィ
ルタの設計例について、Leeほかの無走査構成ではデ
ータパスをテスト容易とすることができなかった。一般
に、殆どの回路設計では主入力および出力点が少ない。
更に、多くの回路には、一部には仕様自体にループが存
在するため、一部には領域効率の良いデータパスを生成
するのに用いられる資源共用のため、数種類のループが
形成されている。その結果、既存の高レベルのテスト容
易化技術はいずれも走査方法の採用を基礎にしており、
またはループを有する実際のデータパスには適さない。
【0007】設計のRTレベル(レジスタ転送レベル)
の記述を活用することにより回路のテスト容易性を改良
する技術がいくつか開発されている。変換および最適化
技術は、1993年9月号の304頁から318頁に記
載のS.Bhattacharya他の論文「IEEE
Trans.のVLSIシステム1(3)におけるRT
レベル制御データパス仕様のテスト容易性に対する変換
および再合成」に提案されており、これは全走査のもと
100%テスト可能な最適化設計を生成するのにRTレ
ベルの情報を利用するものである。国際試験会議議事録
1992年11月号の752頁から761頁に記載の論
文「アーキテクチャ記述を用いたテスト容易性を考慮し
た設計」およびCAD(コンピュータ利用設計)につい
ての国際会議議事録1992年11月号の620頁から
624頁に記載の論文「ハイレベルおよびゲートレベル
記述を用いたテスト容易性を考慮した設計方法」におい
て、Chickermane,LeeおよびPatel
は、走査フリップフロップを選択するのにRTレベル情
報を使用することにより、ゲートレベル情報のみに限定
された技術に比較してはるかに良い性能が得られること
を示した。ITC議事録1993年10月号の488頁
から497頁に記載の論文「レジスタ転送レベルにおけ
る部分走査」において、Steensma,Catth
oorおよびDe Manは、RTレベルで記述のデー
タパスに適用可能な効率的な部分走査方法を提案してい
る。この方法は、既存のレジスタを走査可能とし、また
は別の即応型走査レジスタを付加することによりループ
を排除することに基づくものである。1993年11月
号「コンピュータ利用設計に関する国際会議議事録」
(30頁から35頁)に記載の「テスト容易性トレード
オフ(妥協点)を伴うRTL合成についての改良方法」
と題するH.Harmanani他の論文において、制
御可能型レジスタから観察可能型レジスタに至る順序深
度を小さくするため、割り当てとテスト点の自動選択を
用いてvv自己テスト可能型RTLデータパスを生成す
るRTレベル方法が提示されている。上記に要約したテ
スト可能性技術に対する高レベル合成と同じく、既存の
RTレベル技術はすべて走査に基づくものであり、走査
を利用することなくテスト容易なデータパスを生成する
ことはできない。
【0008】シーケンシャル回路のフリップフロップの
依存性をSグラフで把握できることが知られている。シ
ーケンシャルテスト生成の複雑さがSグラフの周期の長
さに応じて指数的に大きくなる場合のあることが経験的
に判断されている。効果的な部分走査方法においては、
Sグラフの最小フィードバックデーテックスセット(M
FVS)内の走査フリップフロップが選択され、従って
自己ループを除くすべてのループが遮断され、順序深度
が最小となる。既存の無走査技術はまた、制御可能とす
べきノードとしてはフリップフロップに限定される。C
hickermane他が以前に提示した無走査技術で
は、回路のループが遮断されるように主入力(加算制御
点)からロードするのにフリップフロップが選択され
る。
【0009】回路内のすべてのサイクルを無走査フリッ
プフロップにより遮断することは、特に複雑なループ構
造に成りがちなデータパスについては走査オーバヘッド
の点で極めて高価になる。また多数のフリップフロップ
が存在するとテスト適用時間が増大する。無走査設計の
場合、フリップフロップの効果的な制御可能性は、使用
しうる主入力の数によって限定される。
【0010】
【課題を解決するための手段】本発明の教示によれば、
上記の制限は走査レジスタを用いることなくデータパス
のテストが可能な新規のDFT方法によって解決され
る。データパスのレジスタ転送レベル構造を用いてEX
USグラフを導入するが、これはデータパスの実行ユニ
ット(EXU)間の依存性を把握するためのものであ
る。EXUSグラフのMFVSはレジスタのSグラフの
MFVSに対してはより低い限界となるから、制御可能
/観測可能とすべきノードとしてのEXU(出力)の選
択は、従来の走査DFT技術および無走査DFT技術に
よって用いられるフリップフロップ(RTレベルでのレ
ジスタ)の選択より効果が高い。同一ノードを制御可能
/観測可能にする(走査アプローチと同様)のとは対照
的に、一部のノードを制御可能とし、他の一部のノード
を観測可能とする場合には、コスト効率のよい高い分散
型方法が用いられる。
【0011】本発明はRTレベルのデータパスをテスト
容易とするテスト容易性を考慮した設計方法である。デ
ータパスについての従来のDFT方法は、一般に全走査
方法または部分走査方法によるものであった。本発明
は、迅速なテストを可能とする設計方法を目指す無走査
設計方法であり、欠陥のあるクリップを検出する可能性
を高めるものである。DFT方法の効果は、データパス
内のループを明確に遮断する必要を排除する新規のテス
ト容易性を考慮した対策の結果である。その結果得られ
る設計は、走査を利用することなく、また対応する部分
走査設計よりもテストエリアオーバヘッドおよびテスト
適用時間がかなり低くても高いテスト効率を示す。
【0012】すべてのループを遮断する従来技術の方法
は、回路をテスト容易にするのに必要とは限らない。本
発明はループのkレベルの可制御性および可観測性に基
づくテスト容易性の方法に関する。この方法は、データ
パスを高度にテスト容易とするためには、ループを直接
遮断する代わりに、ループをkレベルで制御可能/観測
可能にするのに十分である。データパスのすべてのルー
プをkレベルで制御可能/観測可能にするためには、例
えば定数および重複点を加えるなどRTレベル型無走査
DFT技術が用いられる。フリップフロップを走査する
ことなく、またはループを直接遮断することなく、回路
を容易にテスト容易とするためには、データパスのRT
レベル構成およびkレベルの方法をコスト効率の良い回
路の再設計に用いる。
【0013】RTレベルでのDFT方法の利点は限りな
くある。例えばEXUSグラフの複雑さは、FFSグラ
フよりもかなり低い。更にRTレベル構成の知識ばかり
でなくRTレベル構成要素の機能を利用して、新しいコ
スト効率の良い無走査方法を開発している。レジスタフ
ァイルや定数を用いて制御可能/観測可能の各点を実現
することができ、k−1フリップフロップを制御/観測
する必要の代わりに、単独の制御/観測点を選択するこ
とによりkサイズのフリップフロップの大きいまとまり
を遮断することができる。テストオーバヘッドを最小に
するため、分散型無走査方法に対してループ形成の知識
を用いる。最後に、新規のアルゴリズムにより、分散型
および重複点方法についてRTレベル情報を用いて、R
Tデータパスのすべてのループをkレベルで制御可能/
観測可能にするのに必要な最低限のハードウェアを追加
することができる。
【0014】コントローラの状態に少数のフリップフロ
ップのみで済むような、例えばDSP、通信、制御理論
アプリケーションおよびグラフィックスなどの計算集約
的なアプリケーションドメインに対して本発明は多くの
利点を有する。
【0015】テスト容易性の構成に対するこの新しい設
計において、データパスに対するすべての制御信号は、
主入力信号をコントローラのフリップフロップにロード
し、すなわちChickermane他で概説した技術
を用いて完全に制御可能とすることが考えられる。更
に、使用される基本的なハードウェアモデルが専用のレ
ジスタファイルモデルと考えられる。このモデルは、一
定数のレジスタファイル(各レジスタファイルにはひと
つ以上のレジスタが含まれる)にグループ化され、各レ
ジスタファイルはデータを正確にひとつの実行ユニット
に送ることを想定する。同時に各実行ユニットは、任意
の数のレジスタファイルにデータを送ることができる。
このモデルは多くの高レベル合成システムばかりでな
く、多くの手動ASICおよび汎用データパスに用いら
れる。本発明を専用レジスタファイルモデルに関連して
説明したが、無走査DFT方法を任意のハードウェアモ
デルに適用すべく容易に修正できることは当業者にとっ
て自明のことである。
【0016】本発明の主要な利点は、迅速にテストを行
う能力である。更に、無走査設計に必要なハードウェア
オーバヘッドおよびテスト適用時間は部分走査設計より
もかなり低くなる。
【0017】kレベルで制御可能かつ観測可能なループ
の概念を用いて、すべてのループがkレベルで制御可能
かつ観測可能となっており、k>0であれば、極めて高
いテスト効率を達成できる。テスト容易性を考慮した方
法により、すべてのループを直接(0レベルで)制御可
能/観測可能とする従来のDFT技術を必要とせず、し
たがってハードウェアオーバヘッドについての要求を大
幅に削減し、無走査DFT方法を実行可能かつ効果的に
している。
【0018】本発明は、一方のループを制御可能とし他
方のループを観測可能とするため、ループの異なる制御
可能/観測可能レベルを利用する重複点の採用を規定し
ている。更に、新しいアルゴリズムの採用により、デー
タパスのすべてのループをkレベルで制御可能/観測可
能とするのに必要なハードウェアを最小限にすることが
できる。
【0019】
【実施例】本発明は、添付図面と平行して以下の説明を
読めば更に明らかとなる。
【0020】添付図面、特に図1には、HYPER機能
合成システムを用いた回路動作記述から合成された4次
IIRカスケードフィルタ用のレジスタ転送(RT)レ
ベルのデータパスが示されている。本発明の説明におい
ては、本発明に適用する回路の説明図例として4次II
Rカスケードフィルタが用いられる。代表的なデータパ
スの基本RTレベル構成要素には、実行ユニット(例え
ば、加算器、乗算器、ALU、転送ユニット)、レジス
タ、マルチプレクサおよび内部接続部である。図1に示
すデータパスは、表1の「4IIRcas」欄に示す様
に、2つの加算器(A1,A2)、3つの乗算器(M
1,M2,M3)、12個のマルチプレクサ(台形状の
もの)および12個のレジスタ(長方形のもの)があ
る。いくつかの転送ユニットが設けられ、1回の反復処
理で作られるデータを次の反復処理でクロック周期へ転
送するのに用いられる。転送ユニットはレジスタとマル
チプレクサで構成される。
【0021】
【表1】
【0022】ートレベルシーケンシャル回路のSグラ
フと同様、データパスのSグラフにより、データパスの
レジスタ間の依存性が確認される。図1に示すデータパ
スに対応する図2(a)に示すレジスタSグラフは、デ
ータパスのレジスタを含むいくつかのループの存在を示
している。シーケンシャルATPGは、表2の「Ori
g」欄に示す様に、図示したデータパスにとっては極め
て困難である。
【0023】
【表2】
【0024】データパスのテスト容易性は、回路のすべ
てのループを遮断する部分走査技術を用いて改良するこ
とができる。最小フィードバックバーテックスセット
(MFVS)は、自己ループを除くすべてのループが遮
断される様に、削除する必要のある点の最小数を示す。
図2(a)に示すSグラフのMFVSは3であるから、
すべてのループを遮断するには、少なくとも3つのレジ
スタすなわちLA1,LA2およびLM1の走査が必要
である。図1に示す20ビットIIRフィルタデータパ
スの場合、1990年9月号の国際テスト会議議事録
(337頁から386頁)に記載の「部分走査設計問題
に関する最適化に基づく方法」と題するV.Chick
ermane他の論文で説明されるゲートレベル部分走
査手段OPUSおよび表2の「Opus」および「L
R」欄にそれぞれ示すLee−Reddyの部分走査手
段には60の走査FFが必要である。1991年度ED
AC議事録(214頁から218頁)に記載のT.M.
Niermann他の「HITEC:シーケンシャル回
路に関するテスト生成パッケージ」と題する論文に説明
されるシーケンシャルATPGプログラムHITEC
は、Opus設計に関して、156のテストベクタを必
要とする走査設計において100%のテスト効率を達成
できる。高いエリアオーバヘッドの他に、走査設計には
表2の「Tappl」欄に示す高いテスト適用時間があ
る。例えば、Opus設計には156のテストベクタを
適用するのに156*(60+1)=9516のクロッ
ク周期が必要である。最も重要なことは、走査設計を迅
速にテストすることができないことである。
【0025】無走査DFT方法の場合、遮断すなわち制
御可能/観察可能にするためのノードの選択に際してレ
ジスタにのみ限定する必要はない。同一点を制御可能か
つ観察可能にするのとは反対に、一部の点を制御可能と
し、その他の点を観察可能とする方がコスト効率を高め
ることができる。EXUSグラフは、データパスにおい
て、EXU(の出力)がレジスタよりも制御可能/観察
可能な点にとってより良い選択となることを示してい
る。EXUSグラフの各ノードはデータパス内のEXU
をそれぞれ示している。他のレジスタを通過せずEXU
uからEXUvのi番目のレジスタファイルに至るダイ
レクトパスがある場合、ノードuからノードvに至る有
向端線があり、iで識別し、ui →vで表される。
【0026】図1に示すデータパスについてのEXUS
グラフを図2(b)に示す。図1のデータパスには、乗
算器M3から加算器A2の左(第1)レジスタファイル
LA2に至るダイレクトパスがあり、図2(b)に示す
EXUSグラフの端線M31→A2で表される。同様
に、M1は、EXUSグラフに示す端線M11 →A2お
よびM12 →A2で表されるA2の第1LA2レジスタ
ファイルおよび第2RA2レジスタファイル両方にデー
タを送る。(一般性を失うことなく、EXUの左右レジ
スタファイルはそれぞれ1および2で番号付けされ
る。)説明を容易にするため、ノードuからノードvに
至るkの異なるラベルを有するkの個別の端線を示す代
わりに、すべてkラベルを有する単独の端線が示されて
いることに注意すること。
【0027】図2(b)に示すEXUSグラフには、図
2(a)に示すレジスタSグラフと同じようにいくつか
のループがある。M1,A2およびD1の間にはEXU
Sグラフに示す2つのループ、すなわちM11 →A21
→I1 →M1とM12 →A21 →I1 →M1がある。た
だし、EXUSグラフのループはすべて2つのEXU,
A1およびA2が通っている。従って、EXUSグラフ
のMFVSは2であり、これに対して図2(a)のレジ
スタSグラフのMFVSは3である。一般にEXUSグ
ラフのMFVSはレジスタSグラフのMFVSの下限で
あり、従来の方法で制御可能/観察可能になったレジス
タよりも、EXUを制御可能/観察可能にする良い候補
としている。
【0028】ノードはいくつかの方法で制御可能/観察
可能にすることができる。走査レジスタを使用せず、R
Tレベルでデータパスに適用可能な2つの好ましい方式
を説明する。第1の方法は直接無走査方法であり、第2
の方法はレジスタファイルに基づく無走査方法である。
図3(a)は表3に示すEWPデータパスに用いるEX
U、A2およびそのレジスタファイルを示す。
【0029】
【表3】
【0030】直接無走査方法によれば、図3(b)に示
す様に、A2の出力に配置されたマルチプレクサにPI
からの内部接続部を加えることによりA2の出力を制御
可能にすることができる。マルチプレクサは、データパ
スの正常な動作の間「0」に設定されているテストピン
ntestによって制御され、テストモードにおいては
必要とする任意の値に設定することができる。従ってマ
ルチプレクサA2の出力Zは、データパスの正常動作の
間A2の出力として変化することなく、一方テストモー
ドの間PIの数値に設定可能であり、点Zを直接制御可
能にする。
【0031】同様に、A2からの可観測点(プローブ
点)を加えることによってA2の出力を観測可能にする
ことができ、また図3(b)に示す様に、POと多重通
信を行うことができる。マルチプレクサはテスト点nt
estによって制御され、制御点マルチプレクサと同様
に走査され、確実にデータパスの機能性を変化させない
様にする。複数のテストピンを加えない限り、追加可能
なプローブ点の数は、回路のPO点によって制限される
ことに注意のこと。任意のクロック周期において、プロ
ーブ点またはPOのいずれか(ただし両方ではなく)
が、新しい出力PO′において観察可能である。
【0032】レジスタファイルに基づく無走査方法によ
れば、EXUの出力に可制御点を設ける代わりに、EX
Uの入力に関連したレジスタファイルに可制御点を設け
る。この場合、EXUの各レジスタファイルのレジスタ
をひとつだけ制御可能にするだけで充分である。PIか
ら制御点を加えることによってレジスタファイルのレジ
スタL2を制御可能にする場合の好ましい配置を図4に
示す。ある定数を加えることによりレジスタR4が制御
可能になる(この場合、EXUが行う動作の確認要素は
0である)。PIに適正な値を設定することによりA2
の出力での値を正しいものとすることが出来る。レジス
タファイル型方式は、レジスタファイルのひとつにすで
に制御されたレジスタがある場合、またはkレベルの可
制御性に関連しては利点がある。
【0033】レジスタファイルは往々にして自己ループ
レジスタすなわちデータを送る同一のEXUからデータ
を受けるレジスタがある。例えば、レジスタL1,L
2,R1,R3およびR4は図3(a)に示す自己ルー
プレジスタである。m個の自己ループレジスタを有する
レジスタファイルは、レジスタのSグラフにサイズmの
クリークすなわちレジスタファイルクリークを形成し、
従ってクリーク内のすべてのループを遮断する、すなわ
ちすべてのレジスタを制御可能にするためにはm−1個
の走査レジスタが必要である。ハードウェアの占有率の
高いデータパスにおいては、レジスタファイルには多数
の自己ループレジスタがあって、大きなレジスタファイ
ルクリークを形成しているが、これはこのレジスタファ
イルクリーク走査型DFT技術にとっての問題である。
【0034】ただし、上記の無走査DFT方法のひとつ
を用いてEXUの出力が一旦制御可能にされると、関連
レジスタファイルの各レジスタは、最大2クロック周期
(時間フレーム)内は任意の値に制御することができ
る。例えば、図4のレジスタファイルの任意のレジスタ
は、最大2クロック周期以内は制御可能状態にある。そ
の結果4つの自己ループレジスタを走査しなければなら
ない非経済的なDFT方法が除かれる。
【0035】ノード(EXVの出力点)を制御可能/観
測可能にすることのできる2つの方法を説明したが、こ
れらの方法は、図1に示す4次IIRカスケードフィル
タのデータパスの無走査DFTのタスクに適用される。
図1cに示すデータパスのEXUSグラフのMFVS
は、AlおよびA2である。従ってAlおよびA2の出
力を制御可能/観測可能にすることによりすべてのルー
プが直接遮断され、すなわちすべてのループが0レベル
で制御可能/観測可能になる。すなわち、AlおよびA
2の出力点での値を1クロック周期(時間フレーム)内
で制御/観測することができる。3つのレジスタを制御
可能/観測可能にする必要のあるSグラフ方法と比較し
て、直接無走査方形は望ましい。ただし、データパスを
テスト容易にするもうひとつの極めて経済的なDFTを
以下に説明する。
【0036】図2(b)のEXUSグラフは、A2は直
接PO Outに進むからA2を通るすべてのループが
観測可能であることを明らかにしている。従って、必要
なことは、A2の出力に設けられる可制御点であり、一
方可制御性および可観測性点がA1の出力に設けられ
る。図5は0レベルでテスト可能なデータパスのための
修正データパスを示し、この場合図1に示す回路のルー
プはすべて、A1およびA2の出力にひとつの可制御点
を挿入し、A2の出力からひとつの可観測点を挿入する
ために設けられたテストハードウェアにより(信号n+
estで示す)制御可能/観測可能とされている。表2
の0−1ev欄に示す生成データパスにおいて100%
のテスト効率が達成可能となる。修正データパスに要す
るテストハードウェアオーバーヘッドは429セル(最
初のデータパスの5.7%)であり、走査設計に要する
665セルのオーバーヘッドより少ない(表2のOpu
sおよびLR欄)。迅速なテスト実行という主な利点の
ほか、無走査設計に対するテスト適用(Tappl欄)
に必要なクロック周期の数は、走査設計の場合よりはる
かに少ない。しかし走査設計を越える図6に示す無走査
設計の主な利点は、迅速なテスト実行能力である。
【0037】データパスのループを直接(0レベルで)
制御/観測可能にする必要はない。図6に示す別のテス
ト容易な設計には入力utestで示すハードウェアが
ある。A2の出力に可制御点を加える代りに、定数
(「0」、加算時の確認要素)のみがA2のレジスタフ
ァイル(RA2)に加えられる。A2の出力点での任意
の値は、最大2時間フレームによって正しいものとする
(正当化)ことができる。例えば、数値9をA2の出力
が正しい値とする必要がある場合、1時間フレームにお
いては、レジスタLA2およびRA2を適正値9および
0に設定可能であり、次の時間フレームにおいては、L
A2およびPA2の値をInおよび定数によって正当化
することができる。定数を加えることにより、A2の出
力に可制御点を加えるよりもハードウェアオーバヘッド
がはるかに少なくて済む、というのは定数信号に関連し
たマルチプレクサロジック回路を除くことが可能である
からである。図6に示す無走査設計は上記のレジスタフ
ァイルに基づく方法を利用していることに注意のこと。
【0038】図6に示す合成データパス(1レベルで制
御可能/観測可能)のハードウェアオーバヘッドは、図
5に示す0レベルの方法よりはるかに少ない。また表2
の1−1ev欄によって明らかなように、合成データパ
スによって98%という極めて高いテスト効率が達成で
きる。
【0039】図7のデータパスは、RTレベルでの無走
査DFTの利点およびkレベルで制御可能/観測可能な
ループの概念を効果的に実証している。データパスは、
EXU・AlおよびA2それぞれの右側レジスタRA1
およびRA2に対して定数が加えられることを示してい
る。以下に説明するように、EXUSグラフのループは
すべて2以下のレベルが制御可能/観測可能となる。必
要とされるテストハードウェアは、図5および6にそれ
ぞれ示す、また表2の2−lev欄に示すように、0レ
ベルおよび1レベルでテスト容易なデータパスで必要と
されるものよりかなり少ない。走査設計の場合のオーバ
ヘッド665セル、0レベル無走査設計の場合の429
セルおよび1レベル無走査設計の場合の349セルに比
較して、エリアオーバヘッドは120セルに過ぎない。
しかし、2レベルのテスト可能設計は、98%とテスト
効率が極めて高く、より多くの費用を要する走査設計、
0レベルおよび1レベルの無走査設計によって達成され
るテスト効率に匹敵する。
【0040】無走査設計およびそのテスト効率実績は、
無走査DFT方法利用の実現可能性を実証している。さ
らに、図6および7に示すテスト容易性の高い無走査設
計により、すべてのループを直接遮断する、すなわちル
ープを0レベルで制御可能/観測可能にする従来のDF
T技術に対する実行可能な効率的でコスト効率の良い方
法として、ループをkレベルで制御可能/観測可能にす
る方法が確立される。
【0041】Mの出力での任意の値を最大k+1のクロ
ック周期(時間フレーム)で正当化/伝播させることが
できる場合、EXUMはkレベルで制御可能/観測可能
となる。またMの出力で正当化する必要のある値につい
ては、値を正当化する最大k+1で少なくともひとつの
ベクタシーケンスが存在する。
【0042】図7に示すデータパスについて考えてみ
る。A1の出力は、以下に述べるように2レベルで制御
可能である。例えば、A1の出力で値15で正当化する
には、第1の時間フレームでLA1を15に、RA1を
0に設定することができる。第2の時間フレームにおい
ては、RA1の値を定数により直ちに正当化することが
できる。A2の出力点であるLA1の値を正当化するに
は、A2、LA2およびRA2の入力レジスタをそれぞ
れ15および0に設定する。第3の時間フレームにおい
ては、RA2の定数が存在するからRA2を正当化する
ことができる。ここでMに適用する定数K4を1とす
る。Inを15に設定することによりLA2を正当化す
ることができる。同様に、A1の出力での値を3つの時
間フレームで正当化することができ、A1を2レベルで
制御可能とすることができる。定数を加えなければ、図
5の最初のデータパスの場合と同様、A1の出力を制御
することはできない。
【0043】A1の出力の値を以下の方法で3クロック
周期内で伝播することができるから、A1の出力を2レ
ベルで観測可能とすることができる。第1のクック周期
において、A1をLA2に伝えることができる。RA2
は定数(ここでは0)により独立して制御することがで
きるから、次のクロック周期においては、LA2をA2
従ってレジスタOutの出力に伝播させることができ
る。第3のクロック周期において、レジスタOutはP
Oで直接観測することができる。その結果A1の出力は
2レベルで観測可能となる。
【0044】一般に、EXUの出力Zは、直接法または
レジスタファイル型の方法のいづれかによってもkレベ
ルで制御可能/観測可能である。直接法によれば、EX
Uの出力は、kレベルで制御可能なノードと直接多重接
続され、Zをkレベルで制御可能となる。EXUの出力
は、kレベルで観測可能な他ノノードを直接多重接続す
ることにより、kレベルで観測可能となる。図8(a)
に示すEXU(ALU1)を参照、図8(b)に示すA
LU1は、ひとつのマルチプレクサをkレベル制御可能
性に対して、第2のマルチプレクサをkレベルの可観測
性用に出力点に設けることにより、上記の直接方法を用
いてkレベルで制御可能かつ観測可能になる。
【0045】レジスタファイルに基づく方法において、
EXUの各レジスタファイルの少なくともひとつのレジ
スタがk−1レベルで制御可能の入力を有する場合、E
XU(出力)はkレベルで制御可能となる。両レジスタ
ファイルのあるレジスタがk−1レベルで制御可能であ
る場合の構成を図9(a)に示す。EXUが、k−1レ
ベルで観測可能であり、また他のレジスタファイルが1
レベルで制御可能な入力を有する別のEXU(ALV
2)のレジスタに対する内部接続部を有する場合、その
EXUはkレベルで観測可能である。図9(b)はAL
U1がどのようにしてkレベルで観測可能になるかを示
している。
【0046】テスト容易性を考慮したハードウェアを加
えてノードをkレベルで制御可能/観測可能にするため
には、ノード可制御性/可観測性レベルを算出する必要
がある。ノードXの制御可能性レベルは、clevel
(X)として表わされる。すなわち、clevel
(X)=kは、ノードXがkレベルで制御可能であるこ
とを示す。またRF(M)は、EXUMのレジスタファ
イルのセットを表わし、out(M)はEXUMの出力
バスを表わす。そこでEXUMの制御可能性レベルは以
下数1の通りである。
【0047】
【数1】
【0048】同様に、上述したようにノードをkレベル
で観測可能にする直接法およびレジスタファイルに基づ
く方法を用いて観測可能性のレベルを算出することがで
きる。
【0049】図3(a)に示すEXUにおいて、cle
vel(A1)=1、clevel(A3)=3および
clevel(M2)=2とする。この場合、clev
el(A2)=max{1,1}+1=2となる。cl
evel(A2)=1を得るためにレジスタファイルに
基づく方法を用いた無走査DFTを図4に示す。
【0050】kレベルで制御可能なノードが少なくとも
ひとつループにあれば、ループはkレベルで制御可能で
ある。kレベルで観測可能なノードが少なくともひとつ
ループにあれば、そのループはkレベルで観測可能であ
る。データパスのすべてのループがkレベル以下で制御
可能/観測可能であれば、そのデータパスはkレベルで
テスト容易である。
【0051】図7に示すデータパスは、2つの定数
(「0」)をEXUA2およびA1の右側レジスタRA
2およびRA1に加えることにより、図1のデータパス
から導びかれる。代って、A1を通るすべてのループ
は、A1が2レベルで制御可能/観測可能であるから、
共に2レベルで制御可能/観測可能である。同様に、A
2を通るループはすべて1レベル制御可能/観測可能で
ある。従って図7に示すデータパスは2レベルでテスト
容易である。
【0052】図1に示すデータパスを0レベルでテスト
可能とするには、2つの可制御点およびひとつの可観測
点を図5に示すように挿入する必要がある。代って、デ
ータパスを2レベルでテスト容易とするには、図7に示
すように2つの定数のみを加える必要がある。表2に示
すように、得られる2レベルでテスト容易なデータパス
(429セル)のエリアオーバヘッドは、0レベルでテ
スト可能なデータパス(429セル)のオーバヘッドよ
りもかなり少ない。テストハードウェアのオーバヘッド
がかなり低く(1.6%)、テスト効率が高い(98
%)ため、2レベルでテスト容易な設計は、表2に示す
ような高価な0レベルでテスト容易な設計およびはるか
に高価な走査設計に対するコスト効率の良い方法であ
る。
【0053】上記の説明では、同じノードが常に選択
(EXUの出力)されて設計を同時にkレベルで制御可
能/観測可能にしている。しかし、L2がはじめのデー
タパスでのループL1よりも可観測性が高いが、ループ
L1ははじめのデータパスのループL2よりも可制御性
が高い(可制御性レベルの低いノードがある)。L1お
よびL2の選択したノードに可制御点(CP)および可
観測点(OP)を同時に設けるよりも、可観測点をL1
に、可制御点をL2に設ける方がより経済的である。
【0054】図10(a)に示すEXUSグラフについ
て考えてみる。すべてのループを1レベルで制御可能/
観測可能にする、すなわち1レベルでテスト容易な設計
を生成するためには、制御可能/観測可能な点を同時に
設けるに当って同じノードを選択した場合、その結果は
図10(b)に示すように混在した方法となる。ノード
E2およびE3が選択される。PIからの破線矢印は、
PIからの可制御点が設けられることを示す。同様に、
POへの破線矢印は、可観測点の配設を示している。2
つの利点と2つの可観測点を設けた後は、データパスの
すべてのループは、0レベルで制御可能/観測可能とな
り、修正データパスが0レベルでテスト容易となる。
【0055】しかし、ノードE1が1レベルで制御可能
であるから、ループE1→E2→E1は、図10(a)
に示す最初のデータパスではすでに1レベルで制御可能
となっている。同様に、ノードE4が1レベルで観測可
能であるから、ループE3→E4→E3は最初のデータ
パスにおいては1レベルで観測可能である。ループを同
時に制御可能/観測可能にしようとするよりも、すべて
のループを観察可能にするべくまず最初に可観測点を加
え、次にループを制御可能にするべく可制御点を加える
方がコスト効率が高い。この様に、可制御点/可観測点
は分散方式で加えられ、設計をkレベルでテスト可能に
するには少ない可制御点可観測点で充分である。
【0056】図10(a)に示す例の場合、ループE1
→E2→E1およびループE2→E3→E2を1レベル
で観測可能にするだけで充分であり、E2にひとつの可
観測点を加えるだけでこれを達成できる。またループE
2→E3→E2およびE3→E4→E3を1レベルで制
御可能にするだけで充分であり、E3に単独の可制御点
を加えるだけでこれを達成できる。図10(c)に示
す、得られる分散型方法では可制御点および可観測点を
それぞれ各1点だけ用い、従って2つの可制御点および
2つの可観測点を用いる図10(b)に示す混在型方法
よりも経済性が高い。
【0057】重複点方法は、無走査テストハードウェア
を最適化する強力な技術である。可制御点は本来ループ
の制御可能性を高めるものである。可観測点は本来ルー
プの観測可能性を高めるものである。ただし、重複点
は、一方でひとつのループの制御可能性を強化し、他方
では別のループの観測可能性を強化する二重の目的に用
いられる。下の例は重複点技術とその利点を説明してい
る。
【0058】ループL1をk1 レベルで制御可能としル
ープL2をk2 レベルで観測可能であると仮定する。重
複点方法には、ループL1内のkレベルで制御可能なE
XUの出力とループL2内のk2 レベルで観測可能なE
XUの入力レジスタ(レジスタファイルに基づく方法)
または出力(直接法)のいづれかとの多重接続しが含ま
れる。重複点方法は、同時に、ループL1の観測可能性
をk2 +1(k2 は直接法)に、ループL2の制御可能
性をk1 +1(k1 は直接法)に高める。
【0059】4次IIRパラレルフィルタのデータパス
を図11に示す。最初のデータパスは、表4のHITE
c(Orig欄)をランさせた結果が示すように、テス
ト容易性が得られない。3つの可制御点と2つの可観測
点を用いた0レベルでテスト容易な無走査設計を図12
に示す。加えられるテストハードウェアは、関連信号n
testと共に示す。無走査設計は、表4の欄0−le
vによって明らかなように、極めて高いテスト効率を有
する。
【0060】
【表4】
【0061】図11のデータパスを検討した結果、EX
Us1+および3+を通るループが、1+および3+の
左側レジスタに定数0を加えるだけで1レベルで制御可
能になることが明らかである。また6+を通るループは
すでに1レベルで観測可能である。従って分散型可制御
点/可観点を用いて、コスト効率の高い無走査設計を得
ることができる。データパスを1レベルでテスト容易に
するには、2つの定数、1+および3+からそれぞれ2
つの可観測点および6+の出力でひとつの可制御点が必
要となる。
【0062】しかし、重複点を用いることによりテスト
ハードウェアの必要条件が更に小さくなる。1+の左側
レジスタにある定数を加えることにより1+を通るすべ
てのループが1レベルで制御可能となる。1+から3+
の左側レジスタに加えられる重複点および3+から6+
の右側レジスタに加えられるもうひとつの重複点(定数
は6+の左側レジスタに加えられる)により、3+を通
るループは2レベルで制御可能かつ2レベルで観測可能
となり、1+を通るループは3レベルで観測可能とな
り、6+を通るループは3レベルで制御可能となる。図
13に示すように得られるデータパスは、3レベルでテ
スト容易となる。加えられるテストハードウェアをnt
est信号と共に示す。重複点法に対するハードウェア
オーバヘッドは、可制御点または可観測点のそれと同じ
になることに注意すること。従って重複点方法は、可制
御点および可観測点を用いる図12に示す0レベル方法
よりも経済性が高い。事実、重複点方法(3−lev
欄)のハードウェアオーバヘッドは、表4に示すよう
に、0レベル方法のオーバヘッドより40%少ない。ま
た重複点方法は、表4の3−lev欄に示すように99
%という極めて高いテスト効率を有する。重複点の挿入
では、ループをkレベル(k>0)で制御可能/観測可
能にできるに過ぎない。従って、重複点を用いる無走査
DFTは、本明細書に開示するkレベルでのテスト容易
性の効果によってその効果が得られるに過ぎない。
【0063】RTレベルのデータパスの無走査設計DF
Tを適用する方法を説明したが、本発明を構成する無走
査DFT方法を用いて、ユーザー指定のk値に関し、デ
ータパスのすべてのループをkレベルで制御可能/観測
可能にするのに必要な最小限のハードウェアを加える新
しいアルゴリズムを以下に説明する。最初のアルゴリズ
ムは、分散型可制御点および可観測点を用いる。無走査
DFT方法のハードウェアオーバヘッドを削減するため
に重複点を用いる場合、アルゴリズムを変更する。ノー
ドの遮断(すなわちノードを0レベルで制御可能/観測
可能にする)がすべてのループのkレベルで制御可能/
観測可能になるようなノードの最小限セットは、kレベ
ルの最小フィードバックバーテックスセット(MFV
S)と呼ばれる。すべてのループを直接遮断し、すなわ
ちすべてのループを0レベルで制御可能/観測可能にす
るべく、いくつかの部分走査方法で用いられているMF
VSは特殊なケースである。kレベルのMFVSを見出
す一般的な問題は、NP−コンプリートとして知られね
0レベルMFVSの特殊なケースに問題を限定して説明
するように、NP完全である。無走査DFT方法(分散
型テスト点および重複テスト点)は、帰納的かつ最適明
白な例示に基づく方法となる。
【0064】下記のアルゴリズムの原理は、最も少ない
ハードウェアコストで、すべてのループを確実にkレベ
ルで制御可能/観測可能にする可制御点/可観測点を繰
り返し選択することである。可制御点(CP)または可
観測点(OP)の付加には、新規の内部接続部およびマ
ルチプレクサを必要とするから、可制御点または可観測
点を加えるよりむしろ観測可能性および制御可能性の手
段として定数を加えることが常に好ましい。EXUSグ
ラフのループ数を指数とすることができ、従ってそれら
を個々に例示することができないことに注意のこと。代
りに、アルゴリズムの各ステップにおいて、必要とする
ものよりも高い制御可能性レベルないしは、観測可能性
レベルを有するすべてのループ(強力接続した構成部)
のノード数がカウント数の内容となる。最後に、強化接
続した構成部内のノードばかりでなく、従来の最小フィ
ードバックバータックスセットを見付ける必要がある場
合と同様、EXUSグラフのすべてのノードを制御可能
性点または観測可能性点の付加に際して検討しなければ
ならないことに注意する必要がある。
【0065】アルゴリズムの入力は、目標のデータパス
およびユーザが指定する許容可制御点または可観測点の
最大数である。以下の疑似コードは、使用する好ましい
帰納的アルゴリズムを要約している。テスト部pは可制
御点または可観測点のいづれかである。
【0066】 add test points( ) 1.while(there exists a loop whose co n trollability/observability level >k 2. if(there is still an available test point){ 3. for each vertex in S−graph 4. E(p)←evaluate test point (p), test points; 5. Select test pouint with high est E(p); 6. add best test point; } 7. else if(there exists a register file without a constant){ 8. for each vertex 9. E(p)←evaluate constant(p ); 10. select constant with highest E(p); 11. add best constant; } 12. else{request more test points;E XIT;} 13. update the number of nodes in r emaining SSC( ); 14.} テスト点および定数は両方共、下記の対象関数に従って
評価され、ここでpは評価を行うテスト点または定数で
ある。
【0067】 E(p)=Δ(LCMCp)+Δ(LOMCp) LCM(ループ制御可能性手段)コストは、制御可能性
レベルがkよりも大きいループ内のノード数に等しい。
同様に、LOM(ループ観察可能性手段)コストは、観
測可能性がkより大きいループ内のノード数に等しい。
両手段共に、上記clevel(SCC)に関する数式
を用い、残りの強化接続構成部(SCC)を連続確認
し、SCC内のノード数を計数することにより算出され
る。記号Δは、候補テスト点または定数を挿入すること
によりLCMおよびLOMに生ずる変化を表わす。
【0068】重複点を用いてハードウェアオーバヘッド
を最小化するには、アルゴリズムのadd test
points( )を変更する必要がある。各繰越しの
ステップ4において、テスト点を評価する代りに、候補
重複点を評価する。uおよびvが候補重複点となるため
にSCCに属するように、ノードuおよびvのすべての
組合せを検討する。ゲートレベルのFFSグラフと異な
り、表5が示すように、RTレベルでのEXUSグラフ
はノードが極めて少ないから、上記のことが言い得る。
【0069】
【表5】
【0070】4次IIRカスケードフィルタ、スピーチ
フィルタ、ハードウェアの高い占有率を用いて合成され
た5次楕円波デジタルフィルタおよびハードウェア占有
率を用いないで合成された4次IIRパラレルフィルタ
に対するデータパスについてシミレーションを行なっ
た。OPUSおよびLee−Reddyツールを用いた
いくつかの部分走査および本発明を構成する無走査DF
T方法を用いて比較を行なった。
【0071】テストの結果は、kレベルで制御可能/観
測可能なループ手段がデータパスのテスト容易性を高め
るのに無走査DFTで効果的であることを示した。更
に、テスト結果は、高いテスト効率を達成するため、す
べてのループを直接(0レベルで)制御可能/観測可能
にする必要のないことを示している。最も重要なことと
して、テスト結果は無走査テスト可能データパスを生成
する可能性を示しており、このデータパスは、限界エリ
アオーバヘッドのみで迅速にテスト可能であり、走査設
計で必要とする時間よりもテスト適用時間が少ない。
【0072】本発明をデータパスに関連して説明してい
るが、本発明を実行ユニットおよびレジスタを用いた設
計に適用可能なことは当業者にとって自明のことであ
る。
【0073】本発明の教示に従って判断した後に、無走
査テストを行うには回路の変更が必要であり、回路は従
来の方法で合成される。得られる合成回路は、必要とさ
れる操作機能性を実行し、迅速な無走査テストを可能に
する。
【0074】RTレベルのデータパス回路設計のテスト
容易性を考慮した無走査設計についてその方法を説明し
かつ図示したが、ここに添付した特許請求の範囲によっ
てのみ限定される本発明の精神および広義の原理から逸
脱することなく、異なる態様および変更が可能であるこ
とは当業者にとって自明のことである。
【図面の簡単な説明】
【図1】4次IIRカスケードフィルタについてのレジ
スタ転送(RT)レベルのデータパス図である。
【図2】(a)は4次IIRカスケードフィルタのレジ
スタSグラフである。(b)は4次IIRカスケードフ
ィルタのEXUSグラフである。
【図3】(a)はEXUおよびレジスタファイルのレジ
スタ転送(RT)レベルのデータパス図である。(b)
は可制御性/可観測性を設計に組み込む直接型無走査方
法のレジスタ転送(RT)レベルのデータパス設計であ
る。
【図4】可制御性/可観測性を設計に組み込むレジスタ
ファイル型無走査方法のレジスタ転送(RT)レベルの
データパス設計である。
【図5】図1aに示す0レベルでテスト可能なデータパ
スの無走査DFTのレジスタ転送(RT)レベルのデー
タパス図である。
【図6】図1aに示す1レベルでテスト容易なデータパ
スの無走査DFTのレジスタ転送(RT)レベルのデー
タパス図である。
【図7】図1aに示す2レベルでテスト容易なデータパ
スの無走査DFTのレジスタ転送(RT)レベルのデー
タパス図である。
【図8】(a)はEXUおよびそのレジスタファイルの
レジスタ転送(RT)のレベルのデータパス図である。
(b)は直接法に従って設計されたkレベルで制御可能
/観測可能なALUのレジスタ転送(RT)レベルのデ
ータパス図である。
【図9】(a)はレジスタファイルに基づく方法に従っ
て設計されたkレベルで制御可能なALUのレジスタ転
送(RT)レベルのデータパス図である。(b)はレジ
スタファイルに基づく方法に従って設計されたkレベル
で観察可能なALUのレジスタ転送(RT)レベルのデ
ータパス図である。
【図10】(a)は元のデータパスのEXUSグラフで
ある。(b)は2つの可制御点および可観測点を用いた
EXUSグラフである。(c)は1つの可制御点および
1つの可観測点を用いたEXUSグラフである。
【図11】4次IIR平行フィルタのRTレベルデータ
パス図である。
【図12】3つの可制御点、2つの可観測点および5つ
の内部接続点を用い、0レベルでテスト容易な設計を有
する図6aに示すRTレベルのデータパス図である。
【図13】2つの重複点、2つの定数および5つの内部
接続点を用い、3レベルでテスト容易な設計を有する図
6aに示すRTレベルのデータパス図である。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平4−110681(JP,A) 特開 平5−6409(JP,A) 情報処理学会第40回全国大会講演論文 集 1324−1325頁 4M−2 中田恒夫 「状態遷移記述を利用したテスト容易化 設計手法」 (58)調査した分野(Int.Cl.6,DB名) G06F 17/50 JICSTファイル(JOIS)

Claims (12)

    (57)【特許請求の範囲】
  1. 【請求項1】レジスタ転送レベルのデータパス単位に機
    能的に合成される回路を定義し、 観測可能な点および制御可能な点を加えることにより前
    記レジスタ転送レベルのデータパスを変更して設計すべ
    き回路をテスト容易にし、 前記変更を含む回路を合成して回路を迅速にテスト可能
    とし、 前記レジスタ転送レベルのデータパスの前記変更がレジ
    スタ転送レベルのデータパス内のループをkレベルで制
    御可能/観測可能にすることにより成り、 更に、前記ループをkレベルで制御可能/観測可能にす
    る前記方法が、ハードウェアコストを最小にするために
    add_test_point()を適用することより
    成ることを 特徴とするRTレベルデータパス回路のテス
    ト容易性を考慮した無走査設計方法。
  2. 【請求項2】請求項1記載のRTレベルデータパス回路
    のテスト容易性を考慮した無走査設計方法において、レ
    ジスタ転送レベルのデータパスの前記変更が更に直接無
    走査方法から成ることを特徴とするRTレベルデータパ
    ス回路のテスト容易性を考慮した無走査設計方法。
  3. 【請求項3】請求項1記載のRTレベルデータパス回路
    のテスト容易性を考慮した無走査設計方法において、レ
    ジスタ転送レベルのデータパスの前記変更が更にレジス
    タファイルに基づく無走査方法から成ることを特徴とす
    るRTレベルデータパス回路のテスト容易性を考慮した
    無走査設計方法。
  4. 【請求項4】請求項記載のRTレベルデータパス回路
    のテスト容易性を考慮した無走査設計方法において、前
    記のadd_test_point()の適用に重複点
    が用いられることを特徴とするRTレベルデータパス回
    路のテスト容易性を考慮した無走査設計方法。
  5. 【請求項5】請求項1記載のRTレベルデータパス回路
    のテスト容易性を考慮した無走査設計方法において、k
    レベルで制御可能およびkレベルで観測可能とする場合
    のkの値が異なることを特徴とするRTレベルデータパ
    ス回路のテスト容易性を考慮した無走査設計方法。
  6. 【請求項6】レジスタ転送レベルのデータパス単位に機
    能的に合成される回路を定義、回路をテスト容易に設
    計するために可観測点と可制御点を設ける際に定数を加
    えることによりレジスタ転送レベルのデータパスを変更
    、回路を迅速にテスト可能とするための変更を含む回
    路を合成することを特徴とするRTレベルデータパス回
    路のテスト容易性を考慮した無走査設計方法。
  7. 【請求項7】請求項記載のRTレベルデータパス回路
    のテスト容易性を考慮した無走査設計方法において、レ
    ジスタ転送レベルのデータパスの前記変更が直接無走査
    方法より成ることを特徴とするRTレベルデータパス回
    路のテスト容易性を考慮した無走査設計方法。
  8. 【請求項8】請求項記載のRTレベルデータパス回路
    のテスト容易性を考慮した無走査設計方法において、レ
    ジスタ転送レベルのデータパスの前記変更がレジスタフ
    ァイルに基づく無走査方法から成ることを特徴とするR
    Tレベルデータパス回路のテスト容易性を考慮した無走
    査設計方法。
  9. 【請求項9】請求項記載のRTレベルデータパス回路
    のテスト容易性を考慮した無走査設計方法において、レ
    ジスタ転送レベルのデータパスの前記変更が、レジスタ
    転送レベルのデータパス内のループをkレベルで制御可
    能/観測可能にすることから成ることを特徴とするRT
    レベルデータパス回路のテスト容易性を考慮した無走査
    設計方法。
  10. 【請求項10】請求項記載のRTレベルデータパス回
    路のテスト容易性を考慮した無走査設計方法において、
    ループをkレベルで制御可能/観測可能にする前記方法
    が、ハードウェアコストを最小にするためにad_t
    est_point()を適用することより成ることを
    特徴とするRTレベルデータパス回路のテスト容易性を
    考慮した無走査設計方法。
  11. 【請求項11】請求項10記載のRTレベルデータパス
    回路のテスト容易性を考慮した無走査設計方法におい
    て、前記のad_test_point()の適用に
    重複点が用いられることを特徴とするRTレベルデータ
    パス回路のテスト容易性を考慮した無走査設計方法。
  12. 【請求項12】請求項記載のRTレベルデータパス回
    路のテスト容易性を考慮した無走査設計方法において、
    kレベルで制御可能およびkレベルで観測可能とする場
    合のkの値が異なることを特徴とするRTレベルデータ
    パス回路のテスト容易性を考慮した無走査設計方法。
JP7041045A 1994-06-30 1995-02-28 Rtレベルデータパス回路のテスト容易性を考慮した無走査設計方法 Expired - Fee Related JP2842280B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/268823 1994-06-30
US08/268,823 US5513123A (en) 1994-06-30 1994-06-30 Non-scan design-for-testability of RT-level data paths

Publications (2)

Publication Number Publication Date
JPH0816656A JPH0816656A (ja) 1996-01-19
JP2842280B2 true JP2842280B2 (ja) 1998-12-24

Family

ID=23024639

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7041045A Expired - Fee Related JP2842280B2 (ja) 1994-06-30 1995-02-28 Rtレベルデータパス回路のテスト容易性を考慮した無走査設計方法

Country Status (2)

Country Link
US (1) US5513123A (ja)
JP (1) JP2842280B2 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5703789A (en) * 1995-12-29 1997-12-30 Synopsys, Inc. Test ready compiler for design for test synthesis
US5731983A (en) * 1995-12-29 1998-03-24 Nec Usa, Inc. Method for synthesizing a sequential circuit
US5625630A (en) * 1996-04-24 1997-04-29 Lucent Technologies Inc. Increasing testability by clock transformation
US5748647A (en) * 1996-10-31 1998-05-05 Nec Usa, Inc. Low cost testing method for register transfer level circuits
US6134687A (en) * 1997-12-19 2000-10-17 Nec Usa, Inc. Peripheral partitioning and tree decomposition for partial scan
US6237121B1 (en) * 1998-06-12 2001-05-22 Intel Corporation Method and apparatus for performing register transfer level scan selection
US6363520B1 (en) * 1998-06-16 2002-03-26 Logicvision, Inc. Method for testability analysis and test point insertion at the RT-level of a hardware development language (HDL) specification
US6336087B2 (en) 1998-07-24 2002-01-01 Luc M. Burgun Method and apparatus for gate-level simulation of synthesized register transfer level design with source-level debugging
US6240376B1 (en) * 1998-07-24 2001-05-29 Mentor Graphics Corporation Method and apparatus for gate-level simulation of synthesized register transfer level designs with source-level debugging
US6463560B1 (en) * 1999-06-23 2002-10-08 Agere Systems Guardian Corp. Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits
US6853970B1 (en) * 1999-08-20 2005-02-08 Hewlett-Packard Development Company, L.P. Automatic design of processor datapaths
US6823486B2 (en) 2000-06-05 2004-11-23 Fujitsu Limited Automatic test pattern generation for functional register transfer level circuits using assignment decision diagrams
US6957403B2 (en) * 2001-03-30 2005-10-18 Syntest Technologies, Inc. Computer-aided design system to automate scan synthesis at register-transfer level
US6467067B1 (en) * 2001-06-12 2002-10-15 Lsi Logic Corporation ε-discrepant self-test technique
JP3701230B2 (ja) * 2001-11-21 2005-09-28 株式会社半導体理工学研究センター 論理回路のテスト容易化方法
WO2006123204A1 (en) 2005-05-19 2006-11-23 Freescale Semiconductor, Inc. Method and device for high speed testing of an integrated circuit
US8422137B2 (en) 2011-02-28 2013-04-16 Pioneer Corporation Optical element, head-up display and method for producing optical element
JP5021850B1 (ja) 2011-04-14 2012-09-12 パイオニア株式会社 光源ユニット及びヘッドアップディスプレイ
US10417363B1 (en) * 2016-12-27 2019-09-17 Cadence Design Systems, Inc. Power and scan resource reduction in integrated circuit designs having shift registers
US11356404B2 (en) * 2020-03-04 2022-06-07 Qualcomm Incorporated Domain name system (DNS) override for edge computing

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4588944A (en) * 1983-06-13 1986-05-13 Sperry Corporation Fully scan-set testable embedded edge-triggered dual D and J-K flip-flops through testing as inverter strings
GB8327753D0 (en) * 1983-10-17 1983-11-16 Robinson G D Test generation system
US4754215A (en) * 1985-11-06 1988-06-28 Nec Corporation Self-diagnosable integrated circuit device capable of testing sequential circuit elements
US5043986A (en) * 1989-05-18 1991-08-27 At&T Bell Laboratories Method and integrated circuit adapted for partial scan testability
JP2584673B2 (ja) * 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
US5172377A (en) * 1990-09-07 1992-12-15 Genrad, Inc. Method for testing mixed scan and non-scan circuitry
US5329533A (en) * 1991-12-26 1994-07-12 At&T Bell Laboratories Partial-scan built-in self-test technique
US5414714A (en) * 1992-03-26 1995-05-09 Motorola, Inc. Method and apparatus for scan testing an array in a data processing system
US5365528A (en) * 1992-04-03 1994-11-15 At&T Bell Laboratories Method for testing delay faults in non-scan sequential circuits
US5406216A (en) * 1993-11-29 1995-04-11 Motorola, Inc. Technique and method for asynchronous scan design

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
情報処理学会第40回全国大会講演論文集 1324−1325頁 4M−2 中田恒夫「状態遷移記述を利用したテスト容易化設計手法」

Also Published As

Publication number Publication date
JPH0816656A (ja) 1996-01-19
US5513123A (en) 1996-04-30

Similar Documents

Publication Publication Date Title
JP2842280B2 (ja) Rtレベルデータパス回路のテスト容易性を考慮した無走査設計方法
Ghosh et al. A fast and low cost testing technique for core-based system-on-chip
Devadas Delay test generation for synchronous sequential circuits
Wagner et al. High-level synthesis for testability: A survey and perspective
Ghosh et al. A low overhead design for testability and test generation technique for core-based systems
Dey et al. Non-scan design-for-testability of RT-level data paths
Wada et al. Design for strong testability of RTL data paths to provide complete fault efficiency
KR100274178B1 (ko) 레지스터전송레벨회로용의저비용검사방법
EP1348972B1 (en) Sequential test pattern generation using clock-control design for testability structures
Schotten et al. Test point insertion for an area efficient BIST
US6735730B1 (en) Integrated circuit with design for testability and method for designing the same
Ohtake et al. A non-scan DFT method for controllers to achieve complete fault efficiency
Dey et al. Nonscan design-for-testability techniques using RT-level design information
JP2000258500A (ja) 半導体集積回路及び記憶媒体
Zhao et al. BETSY: synthesizing circuits for a specified BIST environment
Wang et al. Generating efficient tests for continuous scan
JP3453460B2 (ja) 半導体集積回路
Iwata et al. Bipartite full scan design: A dft method for asynchronous circuits
JP2000046919A (ja) 集積回路およびテスト方法
Einspahr et al. Improving circuit testability by clock control
Takasaki et al. A high-level synthesis approach to partial scan design based on acyclic structure
Dey et al. Considering testability during high-level design
Raghunathan et al. Test generation for cyclic combinational circuits
Einspahr et al. A synthesis for testability scheme for finite state machines using clock control
Chen et al. Efficient BIST TPG design and test set compaction for delay testing via input reduction

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19980520

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19980922

LAPS Cancellation because of no payment of annual fees