JP2734216B2 - Integrated circuit with built-in clock skew adjustment circuit - Google Patents

Integrated circuit with built-in clock skew adjustment circuit

Info

Publication number
JP2734216B2
JP2734216B2 JP3028321A JP2832191A JP2734216B2 JP 2734216 B2 JP2734216 B2 JP 2734216B2 JP 3028321 A JP3028321 A JP 3028321A JP 2832191 A JP2832191 A JP 2832191A JP 2734216 B2 JP2734216 B2 JP 2734216B2
Authority
JP
Japan
Prior art keywords
delay
clock
circuit
integrated circuit
built
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP3028321A
Other languages
Japanese (ja)
Other versions
JPH04267413A (en
Inventor
晃 加藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP3028321A priority Critical patent/JP2734216B2/en
Publication of JPH04267413A publication Critical patent/JPH04267413A/en
Application granted granted Critical
Publication of JP2734216B2 publication Critical patent/JP2734216B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Microcomputers (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明はクロックスキュー調整回
路内蔵集積回路特に大規模集積回路を多数必要とする情
報処理装置でのクロックスキュー調整回路内蔵集積回路
に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an integrated circuit with a built-in clock skew adjustment circuit, and more particularly to an integrated circuit with a built-in clock skew adjustment circuit in an information processing apparatus requiring a large number of large-scale integrated circuits.

【0002】[0002]

【従来の技術】一般に情報処理装置は多数の大規模集積
回路により構成されかつ各々の大規模集積回路には同期
化の為クロック信号が分配されている。従来このクロッ
ク信号は集積回路の入口で遅延素子あるいはケーブル等
により時間調整されかつ各々の集積回路内のクロック分
配回路を統一することによりクロックスキューを小さく
していた。
2. Description of the Related Art Generally, an information processing apparatus is composed of a large number of large-scale integrated circuits, and a clock signal is distributed to each large-scale integrated circuit for synchronization. Conventionally, this clock signal is time-adjusted at the entrance of the integrated circuit by a delay element or a cable or the like, and the clock skew is reduced by unifying the clock distribution circuit in each integrated circuit.

【0003】[0003]

【発明が解決しようとする課題】従来の技術は、クロッ
ク信号は集積回路の入口で調整されるが、大規模集積回
路ではレジスタ数が数百から数千あり、クロックを分配
する為に数段のゲートを必要とする。この為クロック分
配回路(ゲート段数)を統一したとしても集積回路の製
造バラツキにより同一集積回路内でもスキューが生じる
という欠点があった。特に現在クロックサイクルが小さ
くなり、かつ集積回路は高集積化の為その製造バラツキ
が大きくなりクロックサイクルのスキューが占める割合
が大きくなり問題となっている。
In the prior art, a clock signal is adjusted at the entrance of an integrated circuit. However, in a large-scale integrated circuit, there are hundreds to thousands of registers, and several stages are required to distribute a clock. Need a gate. For this reason, even if the clock distribution circuits (the number of gate stages) are unified, there is a disadvantage that skew occurs even in the same integrated circuit due to manufacturing variations of the integrated circuit. In particular, the clock cycle is becoming smaller at present, and the integrated circuit is highly integrated, so that the manufacturing variability becomes large and the skew of the clock cycle occupies a large problem.

【0004】[0004]

【課題を解決するための手段】本発明のクロックスキュ
ー調整内蔵集積回路は、クロック信号の遅延時間を外部
端子により可変することができる複数の遅延回路と前記
遅延制御されたクロック信号を複数のレジスタに分配す
るための複数のゲートにより構成された複数の分配回路
を含むクロックスキュー調整内蔵集積回路において
前記複数の分配回路各々の任意の一出力のうちの一つを
クロック入力,他をデータ入力とするレジスタを含み、
前記レジスタの前記データ入力に対応する複数の出力信
号レベルがLOW→HIGH(またはHIGH→LO
W)に変化するタイミングが一致するように前記複数の
遅延回路の遅延時間を調整することによりクロックスキ
ューを零にする
According to the present invention, there is provided an integrated circuit with built-in clock skew adjustment, comprising a plurality of delay circuits capable of varying a delay time of a clock signal by an external terminal and a plurality of registers for controlling the delay-controlled clock signal. A clock skew adjustment built-in integrated circuit including a plurality of distribution circuits configured by a plurality of gates for distribution to the
A register having one of an output of any one of the plurality of distribution circuits as a clock input and the other as a data input ;
A plurality of output signals corresponding to the data input of the register;
Signal level is LOW → HIGH (or HIGH → LO
W) so that the timing of change to
By adjusting the delay time of the delay circuit,
Set the menu to zero .

【0005】[0005]

【実施例】本発明について図面を参照して詳細に説明す
る。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described in detail with reference to the drawings.

【0006】図1は本発明の一実施例を示す回路図であ
る。いま集積回路内クロック分配回路を4つのブロック
に分割し各々、遅延回路D1〜D4,クロック分配回路
B1〜B4,負荷レジスタ群L1〜L4により構成され
ている。またクロック分配回路B1〜B3の一つの出力
は各々、レジスタRCのデータ入力d1〜d3に接続さ
れ、分配回路B4の一つの出力はレジスタRCのクロッ
ク入力に接続されている。遅延回路D1〜D4は外部制
御端子S1〜S4によりその遅延量は可変できる。
FIG. 1 is a circuit diagram showing one embodiment of the present invention. Now, the clock distribution circuit in the integrated circuit is divided into four blocks, each comprising delay circuits D1 to D4, clock distribution circuits B1 to B4, and load register groups L1 to L4. One output of the clock distribution circuits B1 to B3 is connected to data inputs d1 to d3 of the register RC, respectively, and one output of the distribution circuit B4 is connected to the clock input of the register RC. The delay amounts of the delay circuits D1 to D4 can be varied by external control terminals S1 to S4.

【0007】図2は図1に示す遅延回路の詳細を示す回
路図である。外部端子CLKにクロック信号が入力され
るとレジスタRCに入力される。データ入力及びクロッ
ク信号は同一周期であるが遅延回路の遅延量及びゲート
遅延のバラツキによりスキューが生じる。いまレジスタ
のクロックが立上り時有効だとすると外部制御端子S1
〜S3を制御することにより各々遅延回路D1〜D3の
遅延量が変化しレジスタRCの出力Q1〜Q3がLow
→Highレベルに変化する時が分配回路B4のクロッ
ク端子からレジスタまでの遅延時間に分配回路B1〜B
3のクロック端子からレジスタまでの遅延時間が一致し
たことになる。(但しこの時最初は遅延回路D4の遅延
量は中間に、遅延回路D1〜D3は最小にしておく)集
積回路内でのゲート遅延のバラツキはチップ内のゲート
の物理的な位置の違いにより発生する。本説明ではチッ
プ内レジスタを各々4つのブロックに分割したが分割数
を多くすれば更にスキューは小さくなる。
FIG. 2 is a circuit diagram showing details of the delay circuit shown in FIG. When a clock signal is input to the external terminal CLK, it is input to the register RC. Although the data input and the clock signal have the same cycle, skew occurs due to the variation in the delay amount of the delay circuit and the gate delay. Assuming that the register clock is valid at the time of rising, the external control terminal S1
To S3, the delay amounts of the delay circuits D1 to D3 change, and the outputs Q1 to Q3 of the register RC are Low.
→ When changing to the High level, the distribution circuits B1 to B1 correspond to the delay time from the clock terminal of the distribution circuit B4 to the register.
This means that the delay time from the clock terminal No. 3 to the register matches. (However, at this time, initially, the delay amount of the delay circuit D4 is intermediate, and the delay circuits D1 to D3 are minimized.) Variation in gate delay in the integrated circuit occurs due to a difference in the physical position of the gate in the chip. I do. In this description, the in-chip register is divided into four blocks, but the skew is further reduced by increasing the number of divisions.

【0008】[0008]

【発明の効果】以上説明したように本発明はクロック信
号の遅延を外部端子により可変することが出来る複数の
遅延回路と、遅延制御されたクロック信号を分配する為
の複数の分配回路と、前記複数の分配回路各々の任意の
一出力のうち一つをクロック入力他をデータ入力とする
レジスタにより、集積回路内のゲート遅延のバラツキに
よるクロックスキューを前記遅延回路の遅延量を制御す
ることにより容易に0にすることができるという効果が
ある。
As described above, according to the present invention, a plurality of delay circuits capable of varying the delay of a clock signal by an external terminal, a plurality of distribution circuits for distributing a clock signal whose delay is controlled, By using a register in which one of the outputs of each of the plurality of distribution circuits is a clock input and the other is a data input, clock skew due to variation in gate delay in the integrated circuit can be easily controlled by controlling the delay amount of the delay circuit. Has the effect of being able to be zero.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例を示す回路図である。FIG. 1 is a circuit diagram showing one embodiment of the present invention.

【図2】図1に示す遅延回路の詳細を示す回路図であ
る。
FIG. 2 is a circuit diagram showing details of a delay circuit shown in FIG. 1;

【符号の説明】[Explanation of symbols]

B1〜B4 クロック分配回路 D1〜D4 遅延回路 S1〜S4 外部制御端子 RC レジスタ B1 to B4 Clock distribution circuit D1 to D4 Delay circuit S1 to S4 External control terminal RC register

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 クロック信号の遅延時間を外部端子によ
り可変することができる複数の遅延回路と前記遅延制御
されたクロック信号を複数のレジスタに分配するための
複数のゲートにより構成された複数の分配回路とを含む
クロックスキュー調整内蔵集積回路において、前記複数
の分配回路各々の任意の一出力のうちの一つをクロック
入力,他をデータ入力とするレジスタを含み、前記レジ
スタの前記データ入力に対応する複数の出力信号レベル
がLOW→HIGH(またはHIGH→LOW)に変化
するタイミングが一致するように前記複数の遅延回路の
遅延時間を調整することによりクロックスキューを零に
することを特徴とするクロックスキュー調整内蔵集積回
路。
1. A plurality of distribution circuits each comprising a plurality of delay circuits capable of varying a delay time of a clock signal by an external terminal, and a plurality of gates for distributing the delay-controlled clock signal to a plurality of registers. Circuit and including
A clock skew adjustment built-in integrated circuit , comprising: a register for inputting one of an arbitrary output of each of the plurality of distribution circuits as a clock input and the other as a data input;
A plurality of output signal levels corresponding to the data input of the star
Changes from LOW to HIGH (or HIGH to LOW)
Of the plurality of delay circuits so that
Clock skew to zero by adjusting delay time
An integrated circuit with built-in clock skew adjustment.
JP3028321A 1991-02-22 1991-02-22 Integrated circuit with built-in clock skew adjustment circuit Expired - Lifetime JP2734216B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3028321A JP2734216B2 (en) 1991-02-22 1991-02-22 Integrated circuit with built-in clock skew adjustment circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3028321A JP2734216B2 (en) 1991-02-22 1991-02-22 Integrated circuit with built-in clock skew adjustment circuit

Publications (2)

Publication Number Publication Date
JPH04267413A JPH04267413A (en) 1992-09-24
JP2734216B2 true JP2734216B2 (en) 1998-03-30

Family

ID=12245351

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3028321A Expired - Lifetime JP2734216B2 (en) 1991-02-22 1991-02-22 Integrated circuit with built-in clock skew adjustment circuit

Country Status (1)

Country Link
JP (1) JP2734216B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100278655B1 (en) 1998-04-20 2001-01-15 윤종용 Clock phase recovery circuit & method using the same
JP3317948B2 (en) 2000-01-20 2002-08-26 エヌイーシーマイクロシステム株式会社 Layout design method of semiconductor integrated circuit and semiconductor integrated circuit

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63106816A (en) * 1986-10-24 1988-05-11 Nec Corp Clock distribution circuit
JP2637738B2 (en) * 1987-08-28 1997-08-06 株式会社日立製作所 Clock correction method

Also Published As

Publication number Publication date
JPH04267413A (en) 1992-09-24

Similar Documents

Publication Publication Date Title
KR900008023B1 (en) Large scale semiconductor logic circuitry
JPH06140919A (en) Programmable array logic device and programmable logic device
US6064232A (en) Self-clocked logic circuit and methodology
US5682356A (en) Multiple word width memory array clocking scheme for reading words from a memory array
JP2734216B2 (en) Integrated circuit with built-in clock skew adjustment circuit
JPH0113659B2 (en)
US6928572B2 (en) Multistage clock delay circuit and method
JP3469046B2 (en) Functional block and semiconductor integrated circuit device
JPS6257190A (en) Circuit apparatus for delaying digital signal
JPH05233092A (en) Method and circuit for distributing clock signal
JPH0479516A (en) Delay circuit in integrated circuit device
KR19980050153A (en) Delay Compensation Pipeline Apparatus According to Pipe Register of Semiconductor Device
JP2000304831A (en) Test circuit
JP2748724B2 (en) Integrated circuit
JP2689462B2 (en) Clock skew adjustment circuit
JPH1125030A (en) Bus extension control circuit
JPH02139957A (en) Semiconductor integrated circuit
JPH04150515A (en) Integrated circuit device
JPH0422220A (en) Synchronous output circuit
JPH0992723A (en) Semiconductor device
KR100330162B1 (en) Multi source interface circuit
JP2002232286A (en) Semiconductor device
JP2575221B2 (en) PLL circuit
JP3703655B2 (en) Timing signal generation circuit
JPH05241679A (en) Integrated circuit incorporating clock skew adjustment circuit

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19971125