JP2685253B2 - Method for forming an electrical interconnect in a silicon semiconductor device - Google Patents

Method for forming an electrical interconnect in a silicon semiconductor device

Info

Publication number
JP2685253B2
JP2685253B2 JP63302336A JP30233688A JP2685253B2 JP 2685253 B2 JP2685253 B2 JP 2685253B2 JP 63302336 A JP63302336 A JP 63302336A JP 30233688 A JP30233688 A JP 30233688A JP 2685253 B2 JP2685253 B2 JP 2685253B2
Authority
JP
Japan
Prior art keywords
layer
contact
forming
semiconductor device
tungsten
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP63302336A
Other languages
Japanese (ja)
Other versions
JPH021981A (en
Inventor
ラッセル・クレイグ・エルナンガー
ヨハネス・エリザベス・ヨセフ・シュミッツ
Original Assignee
フィリップス エレクトロニクス ネムローゼ フェンノートシャップ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by フィリップス エレクトロニクス ネムローゼ フェンノートシャップ filed Critical フィリップス エレクトロニクス ネムローゼ フェンノートシャップ
Publication of JPH021981A publication Critical patent/JPH021981A/en
Application granted granted Critical
Publication of JP2685253B2 publication Critical patent/JP2685253B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/019Contacts of silicides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【発明の詳細な説明】 本発明は、 (a)半導体デバイスの表面に接点領域をつくる工程。DETAILED DESCRIPTION OF THE INVENTION The present invention includes (a) a step of forming a contact region on the surface of a semiconductor device.

(b)接点領域を被覆する珪化チタン層を有する接点ア
イランドを形成する工程。
(B) Forming contact islands having a titanium silicide layer covering the contact regions.

(c)酸化珪素を分離層のデポジットする工程。(C) A step of depositing silicon oxide on the separation layer.

(d)分離層に、接点アイランドが露出された接点開口
部を形成する工程。
(D) A step of forming a contact opening where the contact island is exposed in the separation layer.

(e)タングステンまたはモリブデンの局在素子により
接点開口部を充填する工程。
(E) Filling the contact openings with a localized element of tungsten or molybdenum.

(f)分離層上に相互接続部の金属層を形成し、接点開
口部内のタングステンまたはモリブデンの局在素子と接
触させる工程。
(F) forming a metal layer of the interconnect on the isolation layer and contacting the localized element of tungsten or molybdenum in the contact opening.

より成る珪素基板を有する半導体デバイス上に電気相互
接続部を形成する方法に関するものである。
The present invention relates to a method of forming an electrical interconnect on a semiconductor device having a silicon substrate of.

半導体デバイスおよび特に集積回路は、珪素の表面と
の接点接続部および相互接続構造に対する材料としてア
ルミニウムまたはアルミニウムの合金を用いてつくられ
るのが現状である。しかしながら、技術の不変な動向に
よれば、集積機能の複雑化および操作速度の増大は明ら
かで、この結果これ等機能の構成素子はますます小型化
することになった。
Semiconductor devices, and in particular integrated circuits, are currently made using aluminum or alloys of aluminum as the material for contact connections and interconnect structures with the surface of silicon. However, the ever-changing technological trends make it obvious that the integrated functions are complicated and the operation speed is increased, and as a result, the components for these functions are becoming smaller and smaller.

このような状況下において、アルミニウムは、非常に
高い集積密度を有する回路を製造する所定の方法に対
し、少なくとも非常に浅い深さと小さな横寸法を有する
半導体のドープ領域上の接点接続部についての使用に大
きな制限を受けることがわかった。
Under such circumstances, aluminum has been used for contact connections on doped regions of semiconductors with at least very shallow depth and small lateral dimensions for certain methods of manufacturing circuits with very high integration densities. It turns out that is subject to great restrictions.

したがって、半導体の表面の接点接続部の材料として
超耐熱金属の珪化物例えば珪化チタンを用いた接点接続
技術が、特にこの技術がデバイスの表面に設けられた接
点領域とセルフアラインされた接点アイランドをつくる
ことを可能にしまた若し所望ならばMOSトランジスタの
場合にも珪素のゲートとしてセルフアラインされるの
で、現在大規模集積回路の分野で採られている。
Therefore, a contact connection technology using a super refractory metal silicide such as titanium silicide as a material for a contact connection portion on the surface of a semiconductor, in particular, a contact island which is self-aligned with a contact area provided on the surface of a device is used. It is currently used in the field of large scale integrated circuits because it allows it to be made and, if desired, is self-aligned as a silicon gate in the case of MOS transistors.

第1レベルよりも高いレベルの形の相互接続部の形成
に関し、特に直径の寸法が1μmかまたはそれ以下のオ
ーダの相互接続部の異なるレベル間の電気的(垂直)接
続部を形成する目的でどれが最も適した技術であるかを
決めるのに絶えず努力が払われた。実際上、このような
寸法の低減は、目的とする非常に高い集積密度を得るた
めに望ましい。この点に関し、大きな困難は次の事実に
基因する、すなわち、絶縁分離層中の接点開口部がその
直径に対して比較的深く(深さ/直径比は略々1かまた
はそれ以上)、このような幾何形状を有する接点開口部
を適切に満たすのには通常の金属化技法を最早や使用す
ることができない。
With respect to the formation of interconnections in the form of higher levels than the first level, in particular for the purpose of forming electrical (vertical) interconnections between different levels of interconnections with diameter dimensions of the order of 1 μm or less. Constant efforts have been made to determine which is the most suitable technique. In practice, such a reduction in size is desirable to obtain the desired very high integration densities. In this regard, the great difficulty is due to the fact that the contact opening in the insulating isolation layer is relatively deep with respect to its diameter (depth / diameter ratio of approximately 1 or more). Conventional metallization techniques can no longer be used to properly fill contact openings having such geometries.

この困難を解決するのに思い浮かぶ方法のうちで、相
互接続部の金属形状を2工程で得ることが考えられた、
すなわち第1工程は接点開口部の少なくとも可なりの部
分を例えば選択成長によりまたは部分エッチングを伴う
均一に被覆したデポジションにより得られた超耐熱金属
例えばタングステン或いはモリブデンの局在素子で満た
すことを目的とし、第2工程は構造を金属層で被覆して
それより所望の形状に切り出すことだけより成る。
Among the methods that come to mind to solve this difficulty, it was considered to obtain the metal shape of the interconnect in two steps,
That is, the first step is to fill at least a considerable portion of the contact opening with a localized element of a super refractory metal, for example tungsten or molybdenum, obtained for example by selective growth or by uniform deposition with partial etching. And the second step consists only of coating the structure with a metal layer and then cutting it into the desired shape.

幾つかの接点接続法および特に冒頭に記載した方法の
使用に関する試験結果が「プロシーディング・オブ・ザ
・ワークショップ・オン・タングステン・アンド・アザ
ー・リフラクトリ・メタルズ・フォー・VLSI・アプリケ
ーションズ (Proceeding of the workshop on tungsten and other
refractory metals for VLSI application)」II,1986
年のR.C.Ellwanger,J.E.Schmitz,R.A.WoltersおよびA.
J.van Dijk氏による「ザ・コンタクト・プロパティズ・
チー・TiSi2・アンド・ザ・アドヒージョン・ウイズイ
ン・サブミクロン・コンタクト・ホールズ・オブ・エッ
チト−バック.CVD W/アドヒージョン・レイヤ・フィル
ムズ(The contact properties to TiSi2 and the adhe
sion within submicron contact holes of etched−bac
k CVD W/adhesion layer films)」という表題の論文に
記載されている。
Test results on the use of several contact connection methods and in particular the method described at the beginning are "Proceeding of the Workshop on Tungsten and Other Refractory Metals for VLSI Applications". the workshop on tungsten and other
refractory metals for VLSI application) '' II, 1986
RC Ellwanger, JE Schmitz, RAWolters and A. of the year.
“The Contact Properties.” By J. van Dijk
Qi TiSi 2 and the adhesion within submicron contact holes of etched back. CVD W / Adhesion Layer Films (The contact properties to TiSi 2 and the adhe
sion within submicron contact holes of etched−bac
k CVD W / adhesion layer films) ”.

この関係の方法では、分離層に接点開口部を設ける操
作は可なり臨界的である。
In this related method, the operation of providing the contact opening in the separation layer is fairly critical.

実際に、前記の開口部の底は珪化物層で構成されてお
り、分離層の酸化物に対するそのエッチング選択度はそ
れ程高くない。したがって、珪化物層が接点開口部のエ
ッチング時に損われるおそれがある。
In fact, the bottom of the opening is composed of a silicide layer and its etching selectivity with respect to the oxide of the isolation layer is not very high. Therefore, the silicide layer may be damaged during etching of the contact opening.

本発明は、この困難を除き、接点開口部のエッチング
操作がそれ程臨界的でなく且つ半導体材料と珪化物の層
の接触をもとのまま維持する方法を得ることを目的とす
るものである。
With the exception of this difficulty, the present invention aims at obtaining a method in which the etching operation of the contact openings is less critical and keeps the contact between the semiconductor material and the silicide layer intact.

この目的で、本発明は次の特徴を有するものである、
すなわち、冒頭に記載した工程の後で工程の前に、珪化
チタン層を窒化チタン層で被覆する工程と窒化チタン層
をタングステンまたはモリブデンの補足金属層で被覆す
る工程により接点アイランドを完成することを特徴とす
る。
To this end, the invention has the following features:
That is, after the steps described at the beginning and before the steps, a contact island is completed by coating the titanium silicide layer with a titanium nitride layer and the titanium nitride layer with a supplemental metal layer of tungsten or molybdenum. Characterize.

したがって、分離層1内に接点開口部をエッチングす
る工程の間、得られるエッチングの深さは補足(comple
mentary)金属層で制限される。この場合、タングステ
ンまたはモリブデンに対する分離材料(例えば二酸化珪
素)のエッチング選択度が優れているので、開口部の底
の補足金属層が侵されるおそれなしにエッチング操作を
長くすることができる。この方法は、分離層の所謂平面
化(planarization)の操作の結果、同じ基板上に限度
の異なる深さを有する接点開口部を極めて信頼性のある
方法で設けることを可能になる。
Therefore, during the process of etching the contact openings in the isolation layer 1, the resulting etching depth is complemented.
mentary) limited by the metal layer. In this case, the etching selectivity of the separating material (for example silicon dioxide) with respect to tungsten or molybdenum is excellent, so that the etching operation can be lengthened without the possibility of attacking the supplemental metal layer at the bottom of the opening. This method makes it possible in a very reliable manner to provide contact openings with different depths on the same substrate as a result of the so-called planarization operation of the separating layer.

他方において、補足金属層は局在した形で直接得られ
るので、特別なエッチングマスクの使用を必要としな
い。前記の補足金属層はその厚さの厳密な制御を課さな
い機能を有することにも注目さるべきである。
On the other hand, the supplemental metal layer is obtained directly in localized form, so that no special etching mask is required. It should also be noted that the supplemental metal layer has the function of not imposing tight control of its thickness.

最後に、補足金属層と超耐熱金属の局在素子の組合せ
により形成された構造は、その形のために、ひずみ特に
熱ひずみに対して大きな機械的抵抗を有する。
Finally, the structure formed by the combination of the supplemental metal layer and the localized element of super refractory metal has, due to its shape, a high mechanical resistance to strain, especially thermal strain.

実際上、補足金属層の厚さは、20nmと150nmの間、好
ましくは50nmと100nmの間にあるように選ばれる。
In practice, the thickness of the supplemental metal layer is chosen to be between 20 nm and 150 nm, preferably between 50 nm and 100 nm.

本発明方法の一実施態様では、チタンを珪化物層形成
のための超耐熱金属として選んだ場合、その表面に窒化
チタン層を形成し、この窒化チタン層を、補足層の選択
成長のためのベースに用いる。
In one embodiment of the method of the present invention, when titanium is selected as the super refractory metal for forming the silicide layer, a titanium nitride layer is formed on the surface of the titanium nitride layer, and the titanium nitride layer is used for selective growth of the supplementary layer. Used as a base.

この窒化チタン層は、窒素ふん囲気内で700℃と1000
℃の間の温度での珪化チタン層の表面の変換処理により
形成するのが好ましい。したがって、補足金属層の成長
に適したベースが形成され、この熱処理は同時に珪化チ
タンの焼結に役立つ。
This titanium nitride layer is kept at 700 ° C and 1000 ° C in a nitrogen atmosphere.
It is preferably formed by a conversion treatment of the surface of the titanium silicide layer at a temperature between ° C. Therefore, a suitable base is formed for the growth of the supplemental metal layer, and this heat treatment at the same time serves for the sintering of the titanium silicide.

本発明のこの実施態様では、補足金属層は、300℃と5
00℃の間にある温度と1/1000と1/5の間にある六弗化物
/水素のガス流速比での六弗化タングステン(または六
弗化モリブデン)の水素による還元を利用して0.05torr
と2torrの間の減圧において気相からの化学デポジショ
ン法により形成される。
In this embodiment of the invention, the supplemental metal layer is at 300 ° C and 5 ° C.
Utilizing the reduction of tungsten hexafluoride (or molybdenum hexafluoride) with hydrogen at a temperature between 00 ° C and a gas flow ratio of hexafluoride / hydrogen between 1/1000 and 1/5 of 0.05 torr
It is formed by the chemical deposition method from the gas phase at a reduced pressure between 2 and 2 torr.

以下に本発明の方法を添付の図面によって実施例で説
明する。
Hereinafter, the method of the present invention will be described by way of examples with reference to the accompanying drawings.

第1図は、MOSトランジスタを有するシリコン集積回
路内の電気接点の接続を形成する相互接続構造の一実施
例を示す。第1導電形の基板10は、その表面に、第1導
電形と反対の第2導電形のドープされたソースおよびド
レーン領域11,12を有する。これ等の領域11と12は、フ
ィールド酸化物13の局在層(localized layer)によっ
て制限された周縁部を有し、狭いチャネル14によって互
いに分離され、このチャネル上には、高濃度にドープさ
れた多結晶シリコンの制御ゲート15が配される。このゲ
ート15は、ゲート酸化物層16によりチャネル14の半導体
より絶縁されている。前記のゲート15を形成する役をし
ている多結晶シリコンの層の部分はフィールド酸化物13
上方に保たれて電気接続部を形成することができるが、
その一例は17で示した接続ストリップである。形成下に
あるデバイスの表面には、ドープされた領域11と12の表
面およびゲート15と接続ストリップ17の表面の大部分を
露出する接点領域が得られる。次いで、チタン珪化物の
少なくとも1つの層より成り、当業者によく知られた技
法によって前記の接点領域とのセルフアラインメント
(self alignment)により得られた接点アイランド20a
−20dが形成される。
FIG. 1 illustrates one embodiment of an interconnect structure for forming electrical contact connections in a silicon integrated circuit having MOS transistors. The first conductivity type substrate 10 has on its surface doped source and drain regions 11, 12 of a second conductivity type opposite to the first conductivity type. These regions 11 and 12 have a perimeter bounded by a localized layer of field oxide 13 and are separated from each other by a narrow channel 14, on which a heavily doped channel is formed. A control gate 15 of polycrystalline silicon is provided. This gate 15 is insulated from the semiconductor of the channel 14 by a gate oxide layer 16. The portion of the layer of polycrystalline silicon that serves to form the gate 15 is the field oxide 13
Can be kept above to form electrical connections,
One example is the connecting strip shown at 17. The surface of the underlying device is provided with contact areas exposing most of the surfaces of the doped regions 11 and 12 and of the gate 15 and the connecting strips 17. Contact island 20a, which then consists of at least one layer of titanium silicide, obtained by self alignment with said contact area by techniques well known to those skilled in the art.
-20d is formed.

分離層22は接点開口部23,24,25を有し、これ等開口部
の底は所定の接点アイランド20a,20b,20dとなる。
Separation layer 22 has contact openings 23, 24, 25, the bottoms of which are predetermined contact islands 20a, 20b, 20d.

最後に、相互接続の外形が図示のデバイスの構造を完
成するが、この外形は、一方においては少なくとも部分
的に接点開口部23,24,25を満たすタングステンまたはモ
リブデンの局在素子26と、他方においては所謂第2レベ
ルの金属層の部分27,28より成り、この金属層は、所定
の形状に切断され且つ接点アイランド20a,20b,20dと所
望の電気接続を形成するように局在素子26を覆う例えば
アルミニウムよりつくることができる。
Finally, an interconnect profile completes the structure of the illustrated device, with one or more localized elements 26 of tungsten or molybdenum at least partially filling the contact openings 23, 24, 25, and In the so-called second-level metal layer portion 27, 28, which is cut into a predetermined shape and which is provided with localized elements 26 so as to form the desired electrical connection with the contact islands 20a, 20b, 20d. Can be made of, for example, aluminum.

以下に述べるように、本発明の目的は、分離層22が形
成される前に、接点アイランド20a,20b,20c,20dが、タ
ングステンまたはモリブデンの選択成長により得られし
たがって前記のアイランドに位置する補足的な金属層で
覆われるようにした、特に第1図に示したタイプの相互
接続構造を得ることにある。
As will be described below, it is an object of the present invention that before the isolation layer 22 is formed, the contact islands 20a, 20b, 20c, 20d are obtained by selective growth of tungsten or molybdenum and thus located on said island. The aim is to obtain an interconnect structure of the type shown in FIG.

本発明の方法を第2図−第6図を参照して説明する。
これ等の図面に示された実施態様は、極めて一般的なも
ので、基板またはこの基板で支持されたドープ領域或い
はまた多結晶金属の層との接点接続部のいずれにせよ、
半導体デバイスの任意の種類の接点接続部を示すもので
あることを了解され度い。第2図は、誘電体層19によっ
て半導体基板10の表面において制限された接点領域18内
に設けられた接点アイランド20の略断面図を示す。
The method of the present invention will be described with reference to FIGS.
The embodiment shown in these figures is very general, whether at the substrate or at the doped regions supported by this substrate or also at the contact connection with a layer of polycrystalline metal,
It is understood that it refers to any type of contact connection on a semiconductor device. FIG. 2 shows a schematic cross-section of a contact island 20 provided in a contact region 18 defined on the surface of the semiconductor substrate 10 by a dielectric layer 19.

接点アイランド20は、チタンのような超耐熱金属の層
を全構造上にデポジットし、次いで、適当な熱処理によ
ってこのチタン層を誘導体層19で覆われていない珪素表
面部分と反応させて接点領域18の部分に珪化チタンを形
成し、最後に、反応しなかったチタン層の残りの部分を
選択エッチングにより除去することにより接点領域18と
セルフアラインされるようにして得られる。方法のこの
段階において、窒素ふん囲気中で行うのが好ましい第2
の熱処理によって、接点領域の材料と接触した珪化チタ
ンの層の組成物を安定化することは公知でありまた実際
上必要である。したがって、接点アイランド20は、最終
的には、珪化チタン上への窒素の作用による前記の処理
の間に得られた薄い窒化チタンの層202がその上にある
珪化チタンの層201より成る。
The contact islands 20 deposit a layer of a refractory metal such as titanium over the entire structure and then react the titanium layer with a portion of the silicon surface not covered by the dielectric layer 19 by a suitable heat treatment to form the contact area 18. Is obtained by self-aligning with the contact region 18 by forming titanium silicide in the portion of the contact layer and finally removing the remaining portion of the titanium layer which has not reacted by selective etching. At this stage of the method, it is preferable to carry out in a nitrogen atmosphere.
It is known and necessary in practice to stabilize the composition of the layer of titanium silicide in contact with the material of the contact area by the heat treatment of. Therefore, the contact island 20 will eventually consist of a layer of titanium silicide 201 overlying a thin layer of titanium nitride 202 obtained during the above treatment by the action of nitrogen on the titanium silicide.

例を挙げれば、窒素下で85℃において10秒間の処理
は、30nmのオーダの厚さを有する珪化チタンの層201の
層の表面に6から8nmの厚さを有する窒化チタンの層202
を生ずる。
By way of example, a treatment under nitrogen at 85 ° C. for 10 seconds comprises a layer of titanium nitride 202 having a thickness of 6 to 8 nm on the surface of a layer of titanium silicide 201 having a thickness of the order of 30 nm.
Is generated.

次いで、接点アイランド20を比較的薄い補足金属層で
覆うためにタングステンの選択成長が行われる。
A selective growth of tungsten is then performed to cover the contact island 20 with a relatively thin supplemental metal layer.

この操作中、窒化チタンの層202はタングステの成長
に対する核形成ベース(uncleation base)として働
き、一方誘電体層19はこの成長を妨げる。したがって、
補足金属層30は、第3図に示すように、フォトマスク操
作を行うことを必要とせずに接点アイランド20に位置す
る。タングステンを選択成長する方法それ自体は公知で
ある。300℃と500℃の間にある温度と0.05から2torr
(6.6から266Pa)のオーダの減圧において水素(H2)に
よる弗化タングステン(WF6)の化学還元反応を用いて
気相よりデポジットする方法を使用するのが好ましい。
反応中に導入されるWF6とH2のガス流速は1/1000と1/5の
間にある比に選ばれる。
During this operation, the layer of titanium nitride 202 acts as an uncleation base for the growth of tungste, while the dielectric layer 19 blocks this growth. Therefore,
The supplemental metal layer 30 is located on the contact island 20 without the need to perform a photomask operation, as shown in FIG. The method of selective growth of tungsten is known per se. Temperature between 300 ° C and 500 ° C and 0.05 to 2 torr
It is preferable to use a method of depositing from the gas phase using a chemical reduction reaction of tungsten fluoride (WF 6 ) with hydrogen (H 2 ) at a reduced pressure of the order of (6.6 to 266 Pa).
The gas flow rates of WF 6 and H 2 introduced during the reaction are chosen to have a ratio between 1/1000 and 1/5.

若し所望ならば、補足金属層30をタングステンに対し
て示したのと極めて近い操作条件でモリブデンの選択成
長によって形成することができる。前記の水素による六
弗化タングステンまたは六弗化モリブデンの還元の反応
中、珪化チタンの層201は、若し窒化チタンの層202で保
護されていないとすれば侵されるであろう。
If desired, the supplemental metal layer 30 can be formed by selective growth of molybdenum at operating conditions very close to those shown for tungsten. During the reaction of the reduction of tungsten hexafluoride or molybdenum hexafluoride with hydrogen as described above, the titanium silicide layer 201 would be attacked if not protected by the titanium nitride layer 202.

実際には補足金属層30の厚さは実用上臨界的なもので
はないが、この厚さは、20nmと150nmの間、好ましくは5
0nmと100nmの間にあるように選ばれるのが一般的であ
る。
In practice the thickness of the supplemental metal layer 30 is not critical in practice, but this thickness is between 20 nm and 150 nm, preferably 5 nm.
It is typically chosen to be between 0 nm and 100 nm.

150nm以上の厚さでは、デバイスの表面に望ましくな
い分布状態(topograhpy)のでこぼこが導入され、エッ
チング操作の期間が無駄に長びく。20nm以下の厚さで
は、補足金属層30はエッチ阻止の機能を最早や完全に果
せず、成長の初相(initialphase)から生ずる不連続性
を示す。
At thicknesses above 150 nm, undesired topograhpy irregularities are introduced on the surface of the device, which unnecessarily prolongs the duration of the etching operation. At thicknesses below 20 nm, the supplemental metal layer 30 no longer fulfills its etch-blocking function and exhibits discontinuities arising from the initial phase of growth.

第4図に示すように、次いで、任意の適当な方法例え
ば珪素化合物の酸化を利用した気相からのデポジション
の方法を使用することにより、例えば二酸化珪素の分離
層32が全構造上に形成される。
As shown in FIG. 4, a separation layer 32 of, for example, silicon dioxide is then formed over the entire structure by using any suitable method, for example, the method of deposition from the vapor phase utilizing the oxidation of silicon compounds. To be done.

このプロセスの状態において分離層32に所謂平面化操
作を受けさせるのが有利で、この操作の目的は、分離層
の外面を事実上平らにし、一方この分離層ははっきりし
たでこぼこを有する構造と接するようにすることにあ
る。
In the course of this process, it is advantageous to subject the separating layer 32 to a so-called planarization operation, the purpose of which is to make the outer surface of the separating layer substantially flat, while this separating layer is in contact with a structure having a sharp bump. To do so.

フォトマスキング操作により、好ましくは所謂リアク
ティブイオンエッチングを用いることによって接点開口
部33が分離層32内にエッチされるが、このエッチング
は、事実上垂直な壁を有する極めて小さな直径の開口部
をつくることができる。この接点開口部33の範囲は、該
開口部が、接点アイランドを覆う補足金属層30の表面部
分を露出するようなものである。分離層のイオンエッチ
ング処理に対しては、化学侵食剤としてイオン化された
ガス混合物CF4+O4を用いるのが好ましい。
A photomasking operation etches the contact openings 33 into the isolation layer 32, preferably by using a so-called reactive ion etch, which creates openings of very small diameter with virtually vertical walls. be able to. The extent of this contact opening 33 is such that it exposes a surface portion of the supplemental metal layer 30 covering the contact islands. For the ion etching treatment of the separating layer, it is preferable to use the ionized gas mixture CF 4 + O 4 as chemical attack agent.

このプロセス時、補足金属層30が極めて有効なエッチ
ング阻止体を形成するように、タングステンまたはモリ
ブデンに対する酸化珪素のエッチング選択度は30/1の比
を越える。
During this process, the etch selectivity of silicon oxide over tungsten or molybdenum exceeds a ratio of 30/1 so that the supplemental metal layer 30 forms a very effective etch stop.

従来の方法では、分離層32をエッチする工程は窒化チ
タン−珪化チタンの2重層で形成された接点アイランド
上で終えられる。これ等の材料に対する酸化珪素のエッ
チング選択度は10/1に近い比を有するにすぎない。した
がって本発明の方法は、過度のアンダーエッチングおよ
び珪化チタンの低次化(degradation)の危険なしに開
口部33をエッチする工程を終わらせることができる。こ
の利点は、第1図に開口部23および25に対して示したよ
うな異なる深さを有する接点開口部を同時に形成せねば
ならない時に特に重要である。接点開口部33を規定する
役をしたフォトレジストのマスク(図示せず)は、例え
ば酸素プラズマエッチング工程により除かれる。
In the conventional manner, the step of etching the isolation layer 32 is completed on the contact islands formed by the titanium nitride-titanium silicide bilayer. The etching selectivity of silicon oxide for these materials has only a ratio close to 10/1. Thus, the method of the present invention can complete the step of etching the openings 33 without the risk of excessive under-etching and titanium silicide degradation. This advantage is particularly important when contact openings with different depths have to be formed simultaneously, as shown for openings 23 and 25 in FIG. The photoresist mask (not shown) that served to define the contact openings 33 is removed by, for example, an oxygen plasma etching process.

第4図に示した工程における構造は、次いで、例えば
脱イオン水中での入念なすすぎと遠心力による乾燥を伴
う硝酸および次いで希釈弗化水素酸中での硬化のよう
な、当該技術では普通の薬浴を用いてその表面の洗浄の
補足操作を受ける。
The structure in the process illustrated in FIG. 4 is then conventional in the art, for example curing in nitric acid followed by careful rinsing in deionized water and drying by centrifugal force and then in dilute hydrofluoric acid. It undergoes a complementary operation of cleaning its surface using a chemical bath.

次の操作は、接点開口部33の容積の少なくとも大部分
をタングステンまたはモリブデンのような超耐熱金属の
局在素子で満たすことを目的とする。
The next operation is aimed at filling at least most of the volume of the contact opening 33 with a localized element of super refractory metal such as tungsten or molybdenum.

第5A図に示した局在素子26は、補足金属層30の形成に
対するのと略々同じ操作条件で、タングステンまたはモ
リブデンを選択的に成長させる方法によって得ることが
できる。
The localized element 26 shown in FIG. 5A can be obtained by a method of selectively growing tungsten or molybdenum under substantially the same operating conditions as for the formation of the supplemental metal layer 30.

特に設備の簡単さおよび経済性の理由から、同じ金属
例えばタングステンを補足金属層30と局在素子26の形成
に用いるのが好ましい。
Particularly for reasons of facility simplicity and economy, it is preferred to use the same metal, eg tungsten, for forming the supplemental metal layer 30 and the localized element 26.

けれども、このことは必要不可欠というのではなく、
特別な条件に応じて、補足金属層30をモリブデンでつく
り、タングステンの局在素子26を次いで成長させるか、
或いはその逆にすることもできる。何れの場合も、接点
開口部33を経て露出された補足金属層30の金属表面は局
在素子26の選択成長に対する核形成ベースとして役立
ち、一方成長は分離層32の自由表面34上には生じない。
But this is not essential,
Depending on the special conditions, the supplemental metal layer 30 is made of molybdenum and the tungsten localized element 26 is then grown, or
Or vice versa. In either case, the metal surface of the supplemental metal layer 30 exposed through the contact opening 33 serves as a nucleation base for the selective growth of the localized element 26, while the growth occurs on the free surface 34 of the isolation layer 32. Absent.

大規模集積回路の典型的な応用では、接点開口部33は
0.8μmの直径と、この開口部の位置に応じて0.5から0.
9μm迄変えることのできる深さを有する。
In a typical application of a large scale integrated circuit, the contact opening 33
0.8 μm diameter and 0.5 to 0 depending on the position of this opening.
It has a depth that can be changed up to 9 μm.

成長の継続期間は、最も浅い接点開口部が過度に満た
され、その上方レベルが隣接の層のレベルを僅かに越え
且つ側方に広がったこぶを形成するがこのことがデバイ
スの働きに害とならない局在素子26を有するように決め
られる。
For the duration of the growth, the shallowest contact opening is overfilled, its upper level slightly exceeding the level of the adjacent layers and forming laterally extending humps, which is detrimental to the operation of the device. It is determined to have a localized element 26 that does not become.

過度に満たされるこのような接点開口部の場合は、第
1図に接点開口部25に対して示してある。これに反し、
最も深い接点開口部は、第1図の接点開口部23および24
に対して示したように、同じデポジションの期間に局在
素子26によって完全には満たされない。
Such an overfilled contact opening is shown for the contact opening 25 in FIG. On the contrary,
The deepest contact openings are the contact openings 23 and 24 of FIG.
As shown for, it is not completely filled by the localized element 26 during the same deposition period.

このことは大きな不利は伴わない、というのは、この
ような接点開口部の満たされていない部分はこの場合1
よりずっと小さい縦横比(深さ/直径)を有し、一方通
常の金属化技法によるその後の充填を容易に得ることが
できるからである。
This is not a major disadvantage, since the unfilled part of such a contact opening is now 1
This is because it has a much smaller aspect ratio (depth / diameter) while subsequent filling by conventional metallization techniques is easily obtained.

局在素子26の形成は前述したばかりの選択成長の方法
により直接に経済的に得られる。けれども、このこと
は、本質的にタングステンまたはモリブデンの補足層30
による接点アイランドの被覆に基礎をおく本発明に対し
て不要不可欠なものではない。
The formation of localized elements 26 is directly and economically obtained by the selective growth method just described. However, this is essentially a supplemental layer of tungsten or molybdenum 30.
Is not essential to the invention which is based on the coating of contact islands according to.

局在素子26は更に例えば第5B図および5C図について説
明するプロセスによって得ることもできる。
The localized element 26 may also be obtained by the process described with respect to Figures 5B and 5C, for example.

第5B図に示したように、接点開口部の寸法に対して薄
い例えば100nmのチタン−タングステン合金(重量でチ
タン10%、重量でタングステン90%)の接着層40が、接
点開口部33の内壁も含めて全表面上にデポジットされ
る。
As shown in FIG. 5B, an adhesive layer 40 of, for example, 100 nm titanium-tungsten alloy (titanium 10% by weight, tungsten 90% by weight) that is thin with respect to the size of the contact opening is formed on the inner wall of the contact opening 33. Deposited on all surfaces including.

その凹凸に関係なく厚さが接点開口部内側でも事実上
一定な表面の共形な被覆を保証する陰極スパッタリング
法のようなデポジション方法をこの目的で用いることが
大切である。
It is important to use for this purpose a deposition method, such as cathodic sputtering, which guarantees a virtually constant surface conformal coating even inside the contact opening, regardless of its roughness.

次いで、減圧における気相よりのデポジションの方法
のような共形被覆特性を有するデポジション方法をやは
り用いて、前記の接着層40上にタングステンの充填層41
を成長させる。この充填層41の厚さはこの場合接点開口
部33を完全に満たすに十分なように選ばれる。すなわ
ち、この厚さは前記の開口部の直径の少なくとも半分に
等しい値を有する。
Then, using a deposition method having conformal coating properties, such as a method of vapor phase deposition at reduced pressure, a tungsten fill layer 41 on top of the adhesive layer 40 is also used.
Grow. The thickness of this filling layer 41 is in this case chosen sufficiently to completely fill the contact opening 33. That is, this thickness has a value equal to at least half the diameter of said opening.

次いで前記の接着層と充填層は、これ等の層の、接点
開口部33の内側に位置しかくして局在金属素子26を形成
する部分だけ残るように、第5C図に示したように除かれ
る。この操作は、チタン−タングステン合金を酸化珪素
より早く侵食するSF6プラズマ中のエッチングにより行
うことができる。
The adhesive and fill layers are then removed as shown in FIG. 5C so that only those portions of these layers that are located inside the contact openings 33 and thus form the localized metal element 26 remain. . This operation can be performed by etching in SF 6 plasma, which erodes the titanium-tungsten alloy faster than silicon oxide.

局在素子26は、相互接続部の金属の形状の一部を構造
する。第6図に示すように、他の補足部分は金属層35に
よりデバイスの表面34を覆うことによって形成され、そ
の所望の形状以外の部分は例えばフォトマスクによって
局限された選択エッチングによって除去される。
The localized element 26 constitutes part of the metal shape of the interconnect. As shown in FIG. 6, another complementary portion is formed by covering the surface 34 of the device with a metal layer 35, and other than its desired shape is removed by localized selective etching, for example by a photomask.

金属層35と接する表面34は該金属層35を通常の技法を
用いて容易に得ることができるように事実上平らにされ
るのが好ましい。数多くの金属がこの操作を行うのに適
しており、その選択は、分離層32の表面への機械的な装
着の要件および最小の導電率とエレクトロマイグレーシ
ョン現象に対する大きな抵抗を得るための企図によって
決められる。例を挙げれば、0.8から2μmの厚さを有
するアルミニウムまたはアルミニウム−銅の合金が特に
適している。言う迄もなく、金属層35の部分は接点開口
部33を覆い、そこで局在素子26と確実に電気的接続が行
われるようにする。
The surface 34 in contact with the metal layer 35 is preferably substantially flat so that the metal layer 35 can be readily obtained using conventional techniques. Many metals are suitable for performing this operation, the choice of which is determined by the requirements of mechanical attachment to the surface of the isolation layer 32 and the intention to obtain minimum conductivity and great resistance to electromigration phenomena. To be By way of example, aluminum or aluminum-copper alloys having a thickness of 0.8 to 2 μm are particularly suitable. Of course, the portion of the metal layer 35 covers the contact opening 33, where it ensures an electrical connection with the localized element 26.

図面には示されてないが、若し所望ならば、デバイス
を、その複雑さに応じて、より高いレベルに形成された
相互接続部の少なくとも1つの追加の金属の形状によっ
て完成することもできる。
Although not shown in the drawings, if desired, the device can be completed with at least one additional metal feature of the interconnect formed at a higher level, depending on its complexity. .

本発明の方法はMOSトランジスタを有する集積回路上
の相互接続の構造の製造に限定されるものではない。
The method of the invention is not limited to the fabrication of interconnect structures on integrated circuits having MOS transistors.

この方法は、珪素基板を有するすべての種類の半導体
の接点接続部を形成し、好ましくは極めて小さな寸法の
接続領域を用いるべき場合およびこの接続領域とセルフ
アラインされて超耐熱金属珪化物の接点アイランドをそ
の上に設けるべき場合に広く役立つものである。
This method forms contact connections for all types of semiconductors having a silicon substrate, preferably when very small dimensions of the connection area are to be used, and is self-aligned with this connection area for contact islands of super refractory metal silicide. Is widely useful when should be provided on it.

【図面の簡単な説明】[Brief description of the drawings]

第1図は本発明の方法で得られた集積回路の相互接続部
の構造の一部の略断面図、 第2図、第3図、第4図、第5A図および第6図は本発明
の方法の異なる製造段階における半導体デバイスの電気
接点の接続部の略断面図、 第5B図と第5C図は局在素子を得る変形実施例の異なる製
造段階における半導体デバイスの電気接点の接続部の略
断面図である。 10……基板、11……ソース領域 12……ドレーン領域、13……フィールド酸化物 14……チャネル、15……ゲート 18……接点領域、19……誘電体層 20,20a,20b,20c,20d……接点アイランド 22,32……分離層 23,24,25,33……接点開口部 26……局在素子 27,28,35,41……金属層 30……補足金属層、40……接着層 201……珪化チタン層、202……窒化チタン層
FIG. 1 is a schematic cross-sectional view of a part of the structure of an interconnect portion of an integrated circuit obtained by the method of the present invention, and FIGS. 2, 3, 4, 5A and 6 show the present invention. Schematic cross-sectional views of the contact portion of the electrical contact of the semiconductor device in different manufacturing steps of the method, 5B and 5C of the connection portion of the electrical contact of the semiconductor device in different manufacturing steps of obtaining a localized element It is a schematic sectional drawing. 10 ... Substrate, 11 ... Source region, 12 ... Drain region, 13 ... Field oxide, 14 ... Channel, 15 ... Gate, 18 ... Contact region, 19 ... Dielectric layer 20, 20a, 20b, 20c , 20d …… Contact island 22,32 …… Separation layer 23,24,25,33 …… Contact opening 26 …… Localized element 27,28,35,41 …… Metal layer 30 …… Supplementary metal layer, 40 ...... Adhesive layer 201 …… Titanium silicide layer, 202 …… Titanium nitride layer

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】(a)半導体デバイス(10)の表面に接点
領域(18)をつくる工程。 (b)接点領域を被覆する珪化チタン層(201)を有す
る接点アイランド(20)を形成する工程。 (c)酸化珪素の分離層(32)をデポジットする工程。 (d)分離層に、接点アイランドが露出された接点開口
部(33)を形成する工程。 (e)タングステンまたはモリブデンの局在素子(26)
により接点開口部を充填する工程。 (f)分離層上に相互接続部の金属層(35)を形成し、
接点開口部内のタングステンまたはモリブデンの局在素
子と接触させる工程。 より成る珪素基板を有する半導体デバイス上に電気相互
接続部を形成する方法において、前記の工程(b)の後
で前記の工程(c)の前に、珪化チタン層(201)を窒
化チタン層(202)で被覆する工程と窒化チタン層(20
2)をタングステンまたはモリブデンの補足金属層(3
0)で被覆する工程により接点アイランド(20)を完成
することを特徴とする珪素半導体デバイスに電気相互接
続部を形成する方法。
1. A step of: (a) forming a contact region (18) on a surface of a semiconductor device (10). (B) Forming a contact island (20) having a titanium silicide layer (201) covering the contact region. (C) A step of depositing the separation layer (32) of silicon oxide. (D) A step of forming a contact opening (33) in which a contact island is exposed in the separation layer. (E) Localized element of tungsten or molybdenum (26)
Filling the contact openings with. (F) forming a metal layer (35) of an interconnection part on the separation layer,
Contacting a tungsten or molybdenum localized element in the contact opening. In a method of forming an electrical interconnect on a semiconductor device having a silicon substrate consisting of a titanium silicide layer (201) and a titanium nitride layer (201) after step (b) and before step (c). 202) coating process and titanium nitride layer (20
2) a tungsten or molybdenum supplemental metal layer (3
A method for forming an electrical interconnect in a silicon semiconductor device, characterized in that the contact island (20) is completed by the step of coating with (0).
【請求項2】補足金属層の厚さを、20nmと150nmの間、
好ましくは50nmと100nmの間にあるように選ぶ請求項1
記載の珪素基板を有する半導体デバイスに電気相互接続
部を形成する方法。
2. The thickness of the supplemental metal layer is between 20 nm and 150 nm,
Preferably selected to be between 50 nm and 100 nm.
A method of forming an electrical interconnect in a semiconductor device having a silicon substrate as described.
【請求項3】窒化チタン層を、窒素ふん囲気内で700℃
と1000℃の間の温度での珪化チタン層の表面の変換処理
により形成する請求項1記載の珪素基板を有する半導体
デバイスに電気相互接続部を形成する方法。
3. A titanium nitride layer is formed at 700 ° C. in a nitrogen atmosphere.
A method for forming electrical interconnections in a semiconductor device having a silicon substrate according to claim 1, which is formed by a conversion treatment of the surface of the titanium silicide layer at a temperature of between 1000 and 1000 ° C.
【請求項4】補足金属層を、300℃と500℃の間にある温
度と1/1000と1/5の間にある六弗化物/水素のガス流速
比での六弗化タングステンまたは六弗化モリブデンの水
素による還元を利用して0.05torrと2torrの間の減圧に
おいて気相から化学デポジション法により形成する請求
項1記載の珪素基板を有する半導体デバイス電気相互接
続部を形成する方法。
4. A supplemental metal layer comprising tungsten hexafluoride or hexafluoride at a temperature between 300 ° C. and 500 ° C. and a gas flow ratio of hexafluoride / hydrogen between 1/1000 and 1/5. The method for forming an electrical interconnect in a semiconductor device having a silicon substrate according to claim 1, wherein the reduction is performed by using hydrogen of molybdenum oxide to form a chemical vapor deposition method from a vapor phase at a reduced pressure of 0.05 torr to 2 torr.
JP63302336A 1987-12-04 1988-12-01 Method for forming an electrical interconnect in a silicon semiconductor device Expired - Fee Related JP2685253B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR8716873A FR2624304B1 (en) 1987-12-04 1987-12-04 METHOD FOR ESTABLISHING AN ELECTRICAL INTERCONNECTION STRUCTURE ON A SILICON SEMICONDUCTOR DEVICE
FR8716873 1987-12-04

Publications (2)

Publication Number Publication Date
JPH021981A JPH021981A (en) 1990-01-08
JP2685253B2 true JP2685253B2 (en) 1997-12-03

Family

ID=9357493

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63302336A Expired - Fee Related JP2685253B2 (en) 1987-12-04 1988-12-01 Method for forming an electrical interconnect in a silicon semiconductor device

Country Status (6)

Country Link
US (1) US4851369A (en)
EP (1) EP0325808B1 (en)
JP (1) JP2685253B2 (en)
KR (1) KR890011042A (en)
DE (1) DE3873903T2 (en)
FR (1) FR2624304B1 (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4970573A (en) * 1986-07-01 1990-11-13 Harris Corporation Self-planarized gold interconnect layer
US4981550A (en) * 1987-09-25 1991-01-01 At&T Bell Laboratories Semiconductor device having tungsten plugs
US4962414A (en) * 1988-02-11 1990-10-09 Sgs-Thomson Microelectronics, Inc. Method for forming a contact VIA
US5104826A (en) * 1989-02-02 1992-04-14 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor integrated circuit device using an electrode wiring structure
EP0417522B1 (en) * 1989-09-11 2000-01-19 Texas Instruments Incorporated Method for forming protective barrier on silicided regions
US5141897A (en) * 1990-03-23 1992-08-25 At&T Bell Laboratories Method of making integrated circuit interconnection
JP2720567B2 (en) * 1990-03-28 1998-03-04 ソニー株式会社 Method for manufacturing semiconductor device
US5213999A (en) * 1990-09-04 1993-05-25 Delco Electronics Corporation Method of metal filled trench buried contacts
EP0491433A3 (en) * 1990-12-19 1992-09-02 N.V. Philips' Gloeilampenfabrieken Method of forming conductive region on silicon semiconductor material, and silicon semiconductor device with such region
US5298463A (en) * 1991-08-30 1994-03-29 Micron Technology, Inc. Method of processing a semiconductor wafer using a contact etch stop
US5252518A (en) * 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5187120A (en) * 1992-08-24 1993-02-16 Hewlett-Packard Company Selective deposition of metal on metal nitride to form interconnect
JP2616551B2 (en) * 1993-11-16 1997-06-04 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6001729A (en) * 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JPH08191054A (en) * 1995-01-10 1996-07-23 Kawasaki Steel Corp Semiconductor device and manufacture thereof
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5856236A (en) * 1996-06-14 1999-01-05 Micron Technology, Inc. Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer
JPH1064848A (en) * 1996-08-13 1998-03-06 Toshiba Corp Method and device for manufacturing semiconductor device
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
US7858518B2 (en) 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US6524956B1 (en) * 1999-09-24 2003-02-25 Novelius Systems, Inc. Method for controlling the grain size of tungsten films
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
US7071563B2 (en) * 2001-09-28 2006-07-04 Agere Systems, Inc. Barrier layer for interconnect structures of a semiconductor wafer and method for depositing the barrier layer
US8435873B2 (en) 2006-06-08 2013-05-07 Texas Instruments Incorporated Unguarded Schottky barrier diodes with dielectric underetch at silicide interface
US10454114B2 (en) 2016-12-22 2019-10-22 The Research Foundation For The State University Of New York Method of producing stable, active and mass-producible Pt3Ni catalysts through preferential co etching

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB216841A (en) * 1923-05-31 1924-10-23 Eugene Marie Bournonville Improvements in rotary valves particularly for internal combustion engines
US3601666A (en) * 1969-08-21 1971-08-24 Texas Instruments Inc Titanium tungsten-gold contacts for semiconductor devices
US4107726A (en) * 1977-01-03 1978-08-15 Raytheon Company Multilayer interconnected structure for semiconductor integrated circuit
US4121241A (en) * 1977-01-03 1978-10-17 Raytheon Company Multilayer interconnected structure for semiconductor integrated circuit
JPS5713753A (en) * 1980-06-30 1982-01-23 Fujitsu Ltd Manufacture of semiconductor device
US4339869A (en) * 1980-09-15 1982-07-20 General Electric Company Method of making low resistance contacts in semiconductor devices by ion induced silicides
JPS57114274A (en) * 1981-01-08 1982-07-16 Nippon Telegr & Teleph Corp <Ntt> Electrode for semiconductor device and manufacture thereof
JPS584924A (en) * 1981-07-01 1983-01-12 Hitachi Ltd Forming method for semiconductor device electrode
JPS5974668A (en) * 1982-09-20 1984-04-27 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション Integrated circuit contact structure
DE3301666A1 (en) * 1983-01-20 1984-07-26 Brown, Boveri & Cie Ag, 6800 Mannheim METHOD FOR PRODUCING A MULTI-LAYER CONTACT METALIZATION
DE3314879A1 (en) * 1983-04-25 1984-10-25 Siemens AG, 1000 Berlin und 8000 München METHOD FOR PRODUCING STABLE, LOW-RESISTANT CONTACTS IN INTEGRATED SEMICONDUCTOR CIRCUITS
US4545116A (en) * 1983-05-06 1985-10-08 Texas Instruments Incorporated Method of forming a titanium disilicide
JPS6050920A (en) * 1983-08-30 1985-03-22 Toshiba Corp Manufacture of semiconductor device
US4507852A (en) * 1983-09-12 1985-04-02 Rockwell International Corporation Method for making a reliable ohmic contact between two layers of integrated circuit metallizations
JPS6072272A (en) * 1983-09-28 1985-04-24 Toshiba Corp Manufacture of semiconductor device
JPS60245256A (en) * 1984-05-21 1985-12-05 Fujitsu Ltd Semiconductor device
US4672419A (en) * 1984-06-25 1987-06-09 Texas Instruments Incorporated Metal gate, interconnect and contact system for VLSI devices
US4641420A (en) * 1984-08-30 1987-02-10 At&T Bell Laboratories Metalization process for headless contact using deposited smoothing material
GB2168841B (en) * 1984-12-22 1988-07-20 Stc Plc Semiconductor processing
US4804636A (en) * 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
EP0211318B1 (en) * 1985-07-29 1989-09-27 Siemens Aktiengesellschaft Process for selectively filling contact holes made by etching in insulating layers with electrically conductive materials for the manufacture of high-density integrated semiconductor circuits, and apparatus used for this process
JPS6231116A (en) * 1985-08-02 1987-02-10 Toshiba Corp Manufacture of semiconductor device
DE3534600A1 (en) * 1985-09-27 1987-04-02 Siemens Ag Integrated circuit with electric conductor tracks and method for producing it
SE8603963L (en) * 1985-09-27 1987-03-28 Rca Corp CONTACT WITH LAW RESISTANCE FOR A SEMICONDUCTOR ORGAN AND SETTING TO MAKE IT
JPH0611076B2 (en) * 1985-10-08 1994-02-09 三菱電機株式会社 Method for manufacturing semiconductor device
US4690730A (en) * 1986-03-07 1987-09-01 Texas Instruments Incorporated Oxide-capped titanium silicide formation
EP0275299A1 (en) * 1986-07-31 1988-07-27 AT&T Corp. Semiconductor devices having improved metallization
DE3777538D1 (en) * 1986-11-10 1992-04-23 American Telephone & Telegraph Tungsten Metallization.
JPS6453573A (en) * 1987-05-04 1989-03-01 Texas Instruments Inc Semiconductor device and its manufacture

Also Published As

Publication number Publication date
DE3873903D1 (en) 1992-09-24
EP0325808B1 (en) 1992-08-19
FR2624304B1 (en) 1990-05-04
DE3873903T2 (en) 1993-03-11
EP0325808A1 (en) 1989-08-02
US4851369A (en) 1989-07-25
KR890011042A (en) 1989-08-12
JPH021981A (en) 1990-01-08
FR2624304A1 (en) 1989-06-09

Similar Documents

Publication Publication Date Title
JP2685253B2 (en) Method for forming an electrical interconnect in a silicon semiconductor device
US5063175A (en) Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material
US6037258A (en) Method of forming a smooth copper seed layer for a copper damascene structure
JP2604631B2 (en) Method for manufacturing semiconductor device
US5833817A (en) Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5227335A (en) Tungsten metallization
US20020064941A1 (en) Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US5654234A (en) Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
JPH06140372A (en) Manufacture of semiconductor device
JPH10275800A (en) Plasma etching method
US6051880A (en) Base layer structure covering a hole of decreasing diameter in an insulation layer in a semiconductor device
JPS6333569A (en) Production of thin metallic film
JP2742590B2 (en) Method for manufacturing semiconductor device
JPH04307933A (en) Forming method of tungsten plug
US5641710A (en) Post tungsten etch back anneal, to improve aluminum step coverage
KR100277377B1 (en) Formation method of contact/through hole
JP2720796B2 (en) Method for manufacturing semiconductor device
KR20010076659A (en) Method for fabricating an interconnection layer for semiconductor device
US5521121A (en) Oxygen plasma etch process post contact layer etch back
US5946589A (en) Elimination of void formation in aluminum based interconnect structures
US6005277A (en) ARC layer enhancement for reducing metal loss during via etch
US5366928A (en) Method of manufacturing a semiconductor device, in which a metal conductor track is provided on a surface of a semiconductor body
US4696098A (en) Metallization technique for integrated circuit structures
JP2618460B2 (en) Method of forming electrical connection body
EP0262719A2 (en) Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees