JP2024506176A - 高速ガス交換装置、システム、及び方法 - Google Patents

高速ガス交換装置、システム、及び方法 Download PDF

Info

Publication number
JP2024506176A
JP2024506176A JP2023547770A JP2023547770A JP2024506176A JP 2024506176 A JP2024506176 A JP 2024506176A JP 2023547770 A JP2023547770 A JP 2023547770A JP 2023547770 A JP2023547770 A JP 2023547770A JP 2024506176 A JP2024506176 A JP 2024506176A
Authority
JP
Japan
Prior art keywords
reservoir
gas
switching valve
flow control
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023547770A
Other languages
English (en)
Inventor
ミン シュイ,
アシュレー ムツオ オカダ,
ミカエル ディー. ウィルワース,
ドゥク ダン ブッキュース,
ジェフリー ラドウィグ,
アディティ ミトゥン,
ベンジャミン シュワルツ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024506176A publication Critical patent/JP2024506176A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

第1の上流端と第1の下流端を有する第1のリザーバ、及び第2の上流端と第2の下流端を有する第2のリザーバを有する、ガス分配装置が提供される。リザーバ切り替えバルブが、第1のリザーバの第1の下流端と第2のリザーバの第2の下流端に流体連通している。リザーバ切り替えバルブは、第1の状態にあるときに第1のリザーバをリザーバ切り替えバルブの出口に選択的に結合し、第2の状態にあるときに第2のリザーバをリザーバ切り替えバルブの出口に選択的に結合するように動作可能である。リザーバ切り替えバルブの出口に並列に結合された入口を有する複数の比例流量制御バルブが提供される。複数の比例流量制御バルブは、ガスを処理チャンバに提供するように構成された出口を有する。【選択図】図1

Description

[0001] 本開示の実施形態は、広くは、基板処理システムに関する。特に、本開示の実施形態は、プロセスガスを基板処理システムに提供するための方法及び装置に関する。
[0002] 半導体デバイスの形成は、一般に、複数のチャンバを含む基板処理プラットフォーム内で行われる。幾つかの事例では、マルチチャンバ処理プラットフォーム又はクラスタツールが、制御された環境内で、順次、2以上のプロセスを1枚の基板に対して実行するのに用いられる。
[0003] 半導体デバイスの製造中に、プロセスの均一性を提供し、処理欠陥を最小限に抑えるためには、処理チャンバの中への安定したプロセスガス流が望まれる。概して、プロセスガスの流量は、ガス送出バルブの開放により、ゼロから定常状態まで経時的に増加する。幾つかのプロセスでは、定常状態に落ち着くまでのガス流の持続時間が、ガス流時間全体のかなりの部分を占める。このようなプロセスでは、比較的長い整定時間が望ましくない処理結果につながる。整定時間を減少させ、場合によっては解消するために、幾つかのガス供給装置は、チャンバの中への流れが必要でないときに、全流量をチャンバのフォアラインの中に迂回させることで、定常状態のプロセス流を維持する。必要なときには、整定時間をほとんど発生させることなく、全流量をフォアラインから処理チャンバの中へ素早く切り替え、結果として、プロセスの均一性と処理結果を向上させる。プロセスガス流をフォアラインと処理チャンバとの間で分流することは、所望のプロセス結果を得るために効果的な技法であることが証明されているが、利用される処理ガスの量が多い。更に、前駆体流を提供するための供給ハードウェアが高価であり、しばしば、シャワーヘッドの内側と外側の領域などの処理チャンバの異なる領域へのプロセスガスの供給のために専用のガススティックを必要とする。複数の専用のガススティックが必要であることは、ガスの使用量を高めるという更なる悪い結果をもたらすだけである。
[0004] したがって、複数の専用のガススティックを使用することなしに、最適化されたガスの量を使用した、処理チャンバへのプロセスガスの提供が必要とされている。
[0005] 幾つかの実施形態では、第1の上流端と第1の下流端を有する第1のリザーバ、及び第2の上流端と第2の下流端を有する第2のリザーバを有する、ガス分配装置が提供される。リザーバ切り替えバルブは、第1のリザーバの第1の下流端と第2のリザーバの第2の下流端とに流体連通している。リザーバ切り替えバルブは、第1の状態にあるときに第1のリザーバをリザーバ切り替えバルブの出口に選択的に結合し、第2の状態にあるときに第2のリザーバをリザーバ切り替えバルブの出口に選択的に結合するように動作可能である。リザーバ切り替えバルブの出口に並列に結合された入口を有する複数の比例流量制御バルブが設けられている。複数の比例流量制御バルブは、処理チャンバにガスを提供するように構成された出口を有する。
[0006] 幾つかの実施形態では、基板を処理するためのプロセス空間を有するプロセスチャンバを有する半導体処理システムが提供される。プロセスチャンバは、第1のプロセスガス入口と第2のプロセスガス入口を有する。該システムは、第1の上流端と第1の下流端を有する第1のリザーバ、及び第2の上流端と第2の下流端を有する第2のリザーバを有する、ガス分配アセンブリを含む。リザーバ切り替えバルブは、第1のリザーバの第1の下流端と第2のリザーバの第2の下流端とに流体連通している。リザーバ切り替えバルブは、第1の状態にあるときに第1のリザーバをリザーバ切り替えバルブの出口に選択的に結合し、第2の状態にあるときに第2のリザーバをリザーバ切り替えバルブの出口に選択的に結合するように動作可能である。第1及び第2の比例流量制御バルブは、リザーバ切り替えバルブの出口に並列に結合された入口を有し、第1の比例流量制御バルブは、処理チャンバの第1の入口に結合された出口を有し、第2の比例流量制御バルブは、処理チャンバの第2の入口に結合された出口を有する。
[0007] 幾つかの実施形態では、プロセスチャンバのプロセス空間にガスを供給するための方法が提供される。該方法は、第1の状態にあるリザーバ切り替えバルブを通して、第1のプロセスガスを第1のリザーバからプロセス空間に供給することを含む。第1のプロセスガスをプロセス空間に供給することは、第1のリザーバ内の第1のガス圧力範囲を維持することと、プロセス空間の上流に配置された複数の比例流量制御バルブを使用して、第1のリザーバからプロセス空間の1以上の領域への第1のプロセスガス流を制御することとを含む。リザーバ切り替えバルブは、第2の状態に切り替えられる。第2のプロセスガスは、第2の状態にあるリザーバ切り替えバルブを通して、プロセス空間に供給される。第2のプロセスガスは、第2のリザーバから提供される。第2のプロセスガスを供給することは、第2のリザーバ内の第2のガス圧力範囲を維持することと、プロセス空間の上流に配置された複数の比例流量制御バルブを使用して、第2のリザーバからプロセス空間の1以上の領域への第2のプロセスガス流を制御することとを含む。
[0008] 上述の本開示の特徴を詳細に理解し得るように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかし、添付図面は例示的な実施形態のみを示すものであり、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容され得ることに留意されたい。
[0009] 本開示の実施形態によるガス分配システムの概略図を示す。 [0010] 単一のプロセスチャンバの複数の領域にガスを分配するために使用されるガス分配システムの概略図を示す。 [0011] 本開示の実施形態による方法のフロー図を示す。
[0012] 理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、追加の記述がなくても、他の複数の実施形態に有益に組み込むことができると考えられる。
[0013] 本開示の複数の実施形態は、スループットを改良して処理効率を向上させる安定なプロセスガス供給を有する基板処理システムを提供する。本開示の1以上の実施形態は、プラズマエッチング処理チャンバに関して説明される。しかし、本ガス分配システムは、化学気相堆積チャンバ、原子層堆積チャンバ、注入チャンバ、又は他の処理チャンバなどの、他の種類の処理チャンバで利用されてよい。特に、本明細書で説明されるガス分配システムは、非常に高速な流れの安定化を提供する。それによって、流れは、流量の整定時間がほとんど発生しないやり方で、オンにされてよく又はガスの間で切り替えられてよい。これは、プロセスの均一性及び欠陥の控除を促進する非常に安定したガス供給をもたらす。更に、非常に高速な流れの安定化はまた、ガス間でのより速いサイクルも可能にするので、より大きなプロセスウインドウ及び多様なプロセスが実行可能になる。更に、ガス分配システムは、従来のシステムで行われているような速い流れの安定化を可能にするために、プロセスガスの流れをフォアラインの中にダンプ(放出)させることに依存しないので、トレンチエッチングプロセスなどのサイクルプロセスを実行するために必要とされるプロセスガスの量と費用を有利に削減する。更に、本明細書で開示されるガス分配システムは、プロセスガスを処理チャンバの異なる処理領域に供給するための専用ガススティックの従来の処理チャンバにおける必要性を排除することによって、高価なプロセスガス供給ハードウェア費用を更に削減する。
[0014] 図1は、ガス分配システム100の概略図を示す。ガス分配システム100は、複数のプロセス領域101にガスを分配するために、共通の筐体108を含む。処理領域101は、別個の処理チャンバであるか、又は単一のプロセスチャンバの別個のプロセス領域である。図2は、一実施形態による単一のプロセスチャンバ203の複数の領域にガスを分配するために使用されるガス分配システムを示す。図1は、3つのプロセス領域101を示しているが、より多い又はより少ないプロセス領域101も、本開示のガス分配システム100で使用されることが考えられる。
[0015] 共通の筐体108は、2つ以上のガスリザーバ(例えば、第1のガスリザーバ114と第2のガスリザーバ124)を含む。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、共通の筐体が温度制御されるか、又は共通の筐体は温度制御されない。第1の及び第2のリザーバ114、124は、共通の筐体108内で描かれているが、本明細書で説明されるガス分配システム100はまた、共通の筐体108を有さない実施形態も含み得る。本明細書で説明される他の実施形態と組み合わされ得る幾つか実施形態では、第1及び第2のリザーバ114、124が、複数のプロセス領域101に近接して配置され、複数のプロセス領域101に結合されている。第1のガスリザーバ114は、第1の上流端113と第1の下流端115を含む。第2のガスリザーバ124は、第2の上流端123と第2の下流端125を含む。圧力計(例えば、第1のリザーバ114用の第1の圧力計116、第2のリザーバ124用の第2の圧力計126)は、対応するリザーバに結合され、対応するリザーバの圧力を測定することができる。本明細書で説明される他の実施形態と組み合わされ得る幾つか実施形態では、各リザーバが、各リザーバの上流端(例えば、113、123)と下流端(例えば、115、125)との間の異なるポイントで圧力を測定するように構成された2つ以上の圧力計を含む。
[0016] 1以上の調整器(例えば、第1のリザーバ114用の第1の調整器110)が、第1のリザーバ114の第1の上流端113に結合され、1以上の調整器(例えば、第2のリザーバ124用の第2の調製器120)が、第2のリザーバ124の第2の上流端123に結合されている。各調整器(110、120)は、当業界で知られている任意の適切なガス調整器又は任意の適切なマスフロー(質量流量)コントローラである。各調整器は、第1及び第2のリザーバ(114、124)の各々へ供給圧力(又は入力圧力)を提供する。調整器は、任意の機械的又は電気的に制御される比例圧力制御構成要素で有り得る。各調整器(110、120)は、対応する充填バルブに結合されている。対応する充填バルブは、対応するリザーバに結合されている(例えば、第1のリザーバ114用の第1の充填バルブ112、第2のリザーバ124用の第2の充填バルブ122)。各充填バルブ112、122は、ガスが貫通して流れることを可能にするか、又はガスが貫通して流れることを防止するために、完全に開いているか又は完全に閉じているかのいずれかであるバルブである。代替的には、各充填バルブ112、122が、バルブを通る流れのプロファイルの調節を可能にする可変開放バルブである。各充填バルブ112、122は、高速作動バルブ、ソレノイドバルブ、及びピエゾバルブから選択される。充填バルブ112、122は、50ミリ秒以内、例えば、40ミリ秒以内、若しくは30ミリ秒以内、又は10ミリ秒から20ミリ秒以内に位置(例えば開/閉)を移行できる高速作動バルブである。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、充填バルブ112、122が、ガス圧作動の常閉(N.C)高速作動バルブであり、リザーバが圧力設定点の約90%以内にあるときに係合し、リザーバ内の圧力が許容範囲内になるまで約100ms以下の間隔でパルス供給するように構成されている。第1の調整器110と第1の充填バルブ112は、第1のリザーバ114内の圧力を実質的に一定の圧力範囲内に維持するように動作可能であり、第2の調整器120と第2の充填バルブ122は、第2のリザーバ124内の圧力を実質的に一定の圧力範囲内に維持するように動作可能である。
[0017] 調整器110、120及び充填バルブ112、122は、入口ラインを介して第1のガス源109aと第2のガス源109bに結合されている。各ガス源からの各プロセスガスは、対応するリザーバ114、124を充填し、対応するリザーバから出て、リザーバ切り替えバルブ134に流れるように使用される。切り替えバルブ134は、第1のリザーバ114からの第1のガスから第2のリザーバ124からの第2のガスに切り替え、第1及び第2のガスの各々を下流方向に放出するように構成されたスリーウェイバルブなどの1以上のバルブクラスタである。切り替えバルブ134は、図面ではスリーウェイバルブ(三方弁)として描かれているが、1以上のバルブクラスタなどの他の複数の実施形態が、3つ以上のリザーバを有するシステム用に考えられる。リザーバ切り替えバルブは、第1のリザーバの第1の下流端と第2のリザーバの第2の下流端とに流体連通している。動作中、リザーバ切り替えバルブ134が、第1の状態にあるときに、第1のリザーバをリザーバ切り替えバルブ134の出口135に選択的に結合させるように動作可能である。リザーバ切り替えバルブ134は、第2の状態にあるときに、第2のリザーバをリザーバ切り替えバルブ134の出口135に選択的に結合させるように動作可能である。
[0018] 従来のガス分配アセンブリは、分配ラインの下流で切り替えバルブを使用しない。その代わりに、従来のガス分配アセンブリは、各ガス源からの複数の分配ラインを使用し、各分配ラインは、異なるプロセスステーション又はプロセス領域に対応する。従来の分配アセンブリは、フォアライン138、又はプロセス空間にガスをパルス供給する高速パルス供給バルブのいずれかに分岐されるガスを連続的に流す。第1のリザーバ114と第2のリザーバ124との間で切り替えることができる切り替えバルブ134を組み込むことで、プロセス内で使用される分配ラインの総数を最小化できることが分かった。代わりに、切り替えバルブ134は、プロセスレシピに従って第1及び第2のプロセスガスの各々の間で交互に切り替え、ガスを複数の比例流量制御バルブ(140a、140b、140cなど)に流す。複数の比例流量制御バルブは、リザーバ切り替えバルブ134の出口に並列に結合された入口を有する。
[0019] ダイバータバルブ(切換弁)136が、切り替えバルブ134と比例流量制御バルブ140a、140b、140cとの間に配置されている。ダイバータバルブ136は、リザーバの各々からのガスをフォアライン138にパージするために使用される。ダイバータバルブ136はまた、複数の比例流量制御バルブ(例えば、140a、140b、140c)の各々へのガス流を各プロセス領域101に導くようにも動作可能である。複数の比例流量制御バルブは、ダイバータバルブ136の出口に並列に結合された入口を有する。
[0020] 比例流量制御バルブの各々は、対応するプロセス領域101に結合されている。複数の比例流量制御バルブの各々(例えば、140a、140b、140c)は、各々のプロセス領域101の間でガス流を分割することができる。複数の比例流量制御バルブの各々(例えば、140a、140b、140c)は、電気的に作動される圧電式流量制御バルブ(例えば、ピエゾバルブ)である。複数の比例流量制御バルブの各々は、ヒータに結合されている。各比例流量制御バルブの下流には、ガス圧作動バルブ142a、142b、142cなどの、常開ソレノイドバルブ(N.O.バルブ)がある。ガス圧作動バルブは、それらを通るガス流を調整するために使用され、圧力計144a、144b、144cと併せて使用される。
[0021] ガス分配システム100は、コントローラ190を含む。コントローラ190は、ガス分配システム100の様々な構成要素に結合されて、それらの動作を制御する。コントローラ190は、システムを制御する単一のコントローラであるか、又はシステムの個々の部分を制御する複数のコントローラである。幾つかの実施形態では、比例流量制御バルブの各々が、コントローラ190と通信可能に結合されている。コントローラ190は、比例流量制御バルブ142a、142b、142cの間の流量比を制御する。
[0022] 本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、コントローラ190が、中央処理装置(CPU)192、メモリ194、及びサポート回路196を含む。コントローラ190は、直接的にか、又は特定のプロセスチャンバ及び/又はサポートシステム構成要素に関連付けられたコンピュータ(若しくはコントローラ)を介して、ガス分配システム100を制御する。コントローラ190は、様々なチャンバ及びサブプロセッサを制御するための工業設定で使用される汎用コンピュータプロセッサのうちの1つ又は任意の形態である。コントローラのメモリ194又はコンピュータ可読媒体は、ランダムアクセスメモリ(RAM:random access memory)、読取り専用メモリ(ROM:read only memory)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク若しくはデジタルビデオディスク)、フラッシュドライブ、又はローカル若しくは遠隔の任意の他の形態のデジタルストレージなど、容易に入手可能なメモリのうちの1以上である。サポート回路196は、従来のやり方でプロセッサをサポートするためにCPU192に結合されている。これらの回路は、キャッシュ、電力供給部、クロック回路、入出力回路、及びサブシステムなどを含む。1以上のプロセスは、本明細書で説明されるやり方でシステム又は個々の構成要素の動作を制御するために実行され又は呼び出されるソフトウェアルーチンとして、メモリ内に記憶される。コントローラ190は、流量、ガスバルブ、ガス源、又は様々な構成を実行するための他のプロセスを制御するための任意のコマンド又は機能を含む1以上の構成を含む。
[0023] コントローラ190は、調整器110、120、充填バルブ112、122、切り替えバルブ134、ダイバータバルブ136、比例流量制御バルブ140a、140b、140c、又はガス圧作動バルブ142a、142b、142cのうちの1以上に接続されている。コントローラ190は、1以上の構成を有する。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、コントローラ190が、充填バルブ112、122のうちの1以上を開閉するための構成を有する。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、コントローラ190が、圧力計116、126、144a、144b、144cのうちの1以上を使用して圧力をモニタするための構成を有する。
[0024] ガス分配システム100は、幾つかの異なるプロセスに適しているが、1つの特定の用途は、Si貫通ビア(TSV)エッチングである。それは、シリコン基板に深いトレンチを形成するために、低周波数バイアス及び低温環境を使用する用途である。エッチングシステムの一種には、インシサイチュ(in situ)プラズマエッチングがあり、このエッチングシステムでは、除去プラズマと堆積プラズマを有する単一のリアクタ内で、基板上の材料の除去と堆積を交互に繰り返すことによってトレンチが形成される。別の種類のエッチングシステムには、遠隔プラズマエッチングがあり、一次リアクタ(例えば、図2で示されているプロセスチャンバ203)内に位置付けられている基板に導入される前に、遠隔リアクタで生成されたプラズマを使用してトレンチが形成される。基板の処理には、堆積ガスとエッチングガスを連続して供給することにより、基板上に膜を堆積させることと膜をエッチングすることとを交互に行うことが含まれる。2つのガス源109a、109bのみが、図1及び図2で描かれているが、代替的な又は更なるガス源も考えられる。このやり方では、エッチングガスが、一連のパルス供給で基板内にトレンチ又は他のフィーチャを増分的にエッチングするために提供され、一方で、堆積ガスは、エッチングガスのエッチングパルス供給の間で、基板内にエッチングされているトレンチ又は他のフィーチャの側壁をコーティング及び保護するために提供される。
[0025] 図2は、プロセスチャンバ203、及びプロセスチャンバ203のプロセス空間202内の複数の領域にガスを分配するガス分配システム100を有する、リアクタ200を描いている。プロセスチャンバ203は、リッド204、電源215及び整合ネットワーク217、バイアス電力220及び整合ネットワーク221、静電チャック240、並びにガス分配システム100を含む。ガス分配システム100の比例流量制御バルブ140a及び140bは、ガスをプロセスチャンバ203のリッド204に結合されたノズルアセンブリ207に導く。比例流量制御バルブ140aは、第1のガス入口232を介してノズルアセンブリ207のエッジノズルに結合され、エッジノズルは、ガスをプロセス空間202の縁部領域に導く。比例流量制御バルブ140bは、第2のガス入口234を介してノズルアセンブリ207の中央ノズルに結合され、中央ノズルは、ガスをプロセス空間202の中央領域に導く。第1のガス入口232は、処理チャンバの垂直中心線に対して第2のガス入口234の外側に配置されている。図面は、ノズルアセンブリ207の中央に、第2のガス入口があるように描いているが、中心からオフセットされたような他の位置も考えられる。第2のガス入口234の径方向位置(例えば、ノズルアセンブリ207の中心線からの)は、第1のガス入口232の径方向位置からオフセットされてよい。比例流量制御バルブ140cは、ガスをプロセスチャンバ203の側部206に配置された側部ノズル205に導く。3つの比例流量制御バルブが図面に描かれているが、ガス流のカスタマイズされた調整のために、ガスをプロセス空間202のより多くの又はより少ない領域に導くよう、より多くの又はより少ない比例流量制御バルブが考えられる。
[0026] プラズマプロセスを生成し及び維持するための電源215は、1以上のアンテナ又はコイルの形態を採り得る発電機(図示せず)を介して、プロセスチャンバ203に結合されている。電源215は、パルス供給能力を有する約12MHzから約13.5MHzの範囲内の無線周波数、約10ワットから約7500ワット、例えば約300ワットから約5000ワットの範囲内の電力を生成するように動作可能であり、動的整合ネットワーク217を更に含む。電源215は、無線周波数がエッチングサイクル中に変更されるように二重調整可能(dual tunable)ソースを含む。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、電源215が、プロセスチャンバ203に取り付け可能な、高レベルのプラズマ解離を生成することができる遠隔プラズマ源を含む。プロセスチャンバ203は、インサイチュソース電力、遠隔プラズマソース電力、又はそれらの両方の組み合わせを含む。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、プラズマが、遠隔プラズマソース電力で生成され、プロセスチャンバ203に移送され、インシサイチュソース電力215は、生成されたプラズマをプロセスチャンバ203内に維持する。電源215の電力範囲が、エッチングサイクル中に増減されてよく及び/又はエッチングサイクル中にパルス供給されてよい、エッチングサイクルが実行される。
[0027] 基板にバイアスをかけるためのバイアス電力220が、プロセスチャンバ203及びチャック240に結合されている。バイアス電力220は、パルス供給能力を有する約2MHzの無線周波数、約10ワットから約500ワットの低電力範囲を生成するように動作可能であり、動的整合ネットワーク221を更に含む。バイアス電力220は、パルス供給能力を有する約100kHzから約13.56MHzの選択可能な無線周波数範囲と、約10ワットから約2000ワットの電力範囲とを生成することができる。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、エッチングサイクルが、周期的に繰り返される堆積動作とエッチング動作を含む。エッチングサイクルのエッチング動作の後に、バイアス電力220の無線周波数及び/又はワット数が、エッチングシステムの先行するエッチング動作に対して増減されてよい。一実施例では、バイアス電力220が、堆積動作と比べてエッチング動作中により大きい。
[0028] 図3は、本開示の複数の実施形態によるガスを分配するためのプロセス300のフロー図を示す。該方法は、動作302で、第1の状態にあるリザーバ切り替えバルブを通して、第1のプロセスガスを第1のリザーバ114からプロセス領域101に供給することを含む。第1のリザーバ114は、第1のガス源109aを使用して充填され、第1のリザーバ内で第1のガス圧力範囲が維持される。プロセス空間202の上流に配置された複数の比例流量制御バルブを使用して、第1のリザーバからプロセス空間202の1以上の領域への第1のプロセスガス流が制御される。
[0029] エッチング用途などのために、2つ以上のガス源を第1のリザーバ114に結合することも考えられる。エッチャントに加えて、酸素、ヘリウム、及びアルゴンのうちの1以上が提供されてよい。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、アルゴンなどのプラズマ持続ガスが提供される。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、ガス分配アセンブリが、シリコンエッチング用に構成され、第1のプロセスガスが、フッ化物含有ガス、硫黄含有ガス、又はフッ化物と硫黄とのうちの一方若しくは両方を含有するガスなどのエッチングガスである。第1のプロセスガスは、所定の持続時間にわたり、約10sccmから約3000sccmで、プロセス空間202に供給される。幾つかの実施形態では、第1のプロセスガスが、エッチングガスであり、プロセス空間202内に配置された基板内のフィーチャの一部分をエッチングする。
[0030] 従来のプロセスでは、プロセス空間202に導入されるガス量を制御するために、高速バルブが、パルス状のような特定の高速周波数でオン/オフされる。本明細書で説明されるガス分配システム100では、ピエゾバルブなどの比例流量制御バルブが、プロセス空間202の特定の領域に導入されるガスの量を制御するために代わりに使用される。したがって、本開示のガス分配システムは、パルス供給機構を有するバルブの使用を排除する。各比例流量制御バルブは、コントローラ190によって制御され、各プロセス動作に、約0.2秒以上、例えば約0.3秒以上、例えば約1秒から2秒、又は約0.3秒から約0.5秒のプロセス時間を使用する。
[0031] 動作304では、ガス流が、第1のリザーバから第2のリザーバからの第2のガスに切り替えられる。特に、リザーバ切り替えバルブ134は、第1の状態から第2の状態に切り替えられる。それによって、第2のリザーバからの第2のガスが、リザーバ切り替えバルブ134の出口を通って流れる。切り替えバルブ134は、ガスを複数の比例流量制御バルブ(140a、140b、140c)に導く。複数の比例流量制御バルブは、それらを通るガス流をプロセス空間202への所定の比率に分割するように動作可能である。コントローラ190は、リザーバ切り替えバルブ134と通信可能に結合され、バルブタイミング制御などの流量コントローラ作動の所定の時間シーケンスへのガスの同期した切り替えを制御する。コントローラ190は、複数の比例流量制御バルブ140a、140b、140cと、プロセス空間202の各領域へのガス流を調整するための、比例流量制御バルブの下流のガス圧作動バルブとに通信可能に結合されている。プロセス空間202の各領域へのガス流の調整により、基板の処理及び処理の均一性が改良される。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、第1のリザーバ114から第2のリザーバ124への切り替えが、部分的に枯渇した第1のリザーバ114を再充填するために第1の充填バルブ112を開くのと実質的に同時に行われる。代替的に、充填バルブ112は、第1の圧力計116がリザーバの下限圧力に到達したときに開かれ、及び/又は第1の圧力計116が上限圧力に到達したときに閉じる。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、第1及び第2の充填バルブが、第1及び第2のリザーバの圧力を、プロセスに応じて、約100Torrと約1000Torrとの間などの所定の範囲に維持するために使用されるピエゾバルブである。同様なプロセス制御が、処理中に第2のリザーバから第1のリザーバに切り替えるために第2のリザーバに適用可能である。
[0032] 動作306では、第2のプロセスガスが、第2のリザーバ124からプロセス領域101に供給される。第2のリザーバ124は、第2のガス源109bを使用して充填される。第2のリザーバ内で、第2のガス圧範囲が維持される。プロセス空間202の上流に配置された複数の比例流量制御バルブを使用して、第2のリザーバからプロセス空間202の1以上の領域への第2のプロセスガス流が制御される。酸素、ヘリウム、及びアルゴンのうちの1以上などの、2つ以上のガス源を第2のリザーバ124に結合することも考えられる。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、第2のプロセスガスが、ポリマー堆積ガスなどの堆積ガス(例えば、フッ化物含有ガス、炭素含有ガス、又はフッ化炭素などのフッ化物と炭素とのうちの1以上を有するガス)である。第2のプロセスガスは、約10sccmから約3000sccmで、プロセス空間202に供給される。本明細書で説明される他の実施形態と組み合わされ得る幾つかの実施形態では、第2のプロセスガスが、基板のフィーチャのエッチングされた(例えば、動作302でエッチングされた)部分上に保護ポリマー層を堆積させるために使用される。各比例流量制御バルブは、コントローラ190によって制御され、各プロセス動作に、約0.2秒以上、例えば約0.3秒以上、例えば約1秒から2秒、又は約0.3秒から約0.5秒のプロセス時間を使用する。
[0033] 第2のガスをプロセス空間202に供給した後で、動作308において、リザーバ切り替えバルブが、第2の状態から第1の状態に切り替えられる。したがって、第1のリザーバからの第1のプロセスガスが、再びプロセス空間と流体連通する。動作302、304、306、及び308は、フィーチャを形成するために所望の深さがエッチングされるまで、フィーチャの一部分を周期的にエッチングすること、エッチング部分上に保護ポリマー層を堆積させること、次いで、フィーチャの別の一部分をエッチングすること、エッチング部分上に別のポリマー層を堆積させることなどによって、基板のフィーチャを形成するために、所定のプロセスサイクルで繰り返される。本明細書で説明されるガス分配システム100は、プロセス空間202内に配置されたシリコン基板の上に所定のプロファイルを形成することなど、基板を処理するために、ガスの間で迅速に切り替えるように使用される。
[0034] 本明細書で説明される高速ガス交換アセンブリは、マルチステッププロセスを採用するエッチングシステムで有用である。同じハードウェア及び動作スキームがまた、処理チャンバ203を通して高速でガスを切り替える必要がある原子層堆積プロセスなどの他のプロセスでも使用され得る。
[0035] 上記は、本開示の複数の実施形態を対象とするが、本開示の他の及び更なる実施形態が、本開示の範囲から逸脱することなく考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。

Claims (20)

  1. 第1の上流端と第1の下流端を備える第1のリザーバ、
    第2の上流端と第2の下流端を備える第2のリザーバ、
    前記第1のリザーバの前記第1の下流端と前記第2のリザーバの前記第2の下流端に流体連通しているリザーバ切り替えバルブであって、第1の状態にあるときに前記第1のリザーバを前記リザーバ切り替えバルブの出口に選択的に結合し、第2の状態にあるときに前記第2のリザーバを前記リザーバ切り替えバルブの前記出口に選択的に結合するように動作可能である、リザーバ切り替えバルブ、及び
    前記リザーバ切り替えバルブの前記出口に並列に結合された入口を有する複数の比例流量制御バルブであって、処理チャンバにガスを提供するように構成された出口を有する複数の比例流量制御バルブを備える、ガス分配装置。
  2. 前記第1のリザーバと前記第2のリザーバは、共通の筐体内に配置されている、請求項1に記載のガス分配装置。
  3. 前記第1のリザーバの前記第1の上流端に結合され、前記第1のリザーバ内の圧力を実質的に一定の圧力範囲内に維持するように動作可能である、第1の充填バルブ及び第1の調整器、並びに
    前記第2のリザーバの前記第2の上流端に結合され、前記第2のリザーバ内の圧力を実質的に一定の圧力範囲内に維持するように動作可能である、第2の充填バルブ及び第2の調整器を更に備える、請求項1に記載のガス分配装置。
  4. 前記第1の充填バルブ及び前記第2の充填バルブは、ソレノイドバルブ、ピエゾバルブ、高速作動バルブ、及びこれらの組み合わせから成る群から選択される、請求項3に記載のガス分配装置。
  5. 前記複数の比例流量制御バルブの各々は、ピエゾバルブである、請求項1に記載のガス分配装置。
  6. 前記第1のリザーバに結合された第1の圧力計、及び
    前記第2のリザーバに結合された第2の圧力計を更に備える、請求項1に記載のガス分配装置。
  7. 基板を処理するためのプロセス空間を含む処理チャンバであって、第1のプロセスガス入口と第2のプロセスガス入口を有する処理チャンバ、並びに
    ガス分配アセンブリを備える、半導体処理システムであって、前記ガス分配アセンブリは、
    第1の上流端と第1の下流端を備える第1のリザーバ、
    第2の上流端と第2の下流端を備える第2のリザーバ、
    前記第1のリザーバの前記第1の下流端と前記第2のリザーバの前記第2の下流端に流体連通しているリザーバ切り替えバルブであって、第1の状態にあるときに前記第1のリザーバを前記リザーバ切り替えバルブの出口に選択的に結合し、第2の状態にあるときに前記第2のリザーバを前記リザーバ切り替えバルブの前記出口に選択的に結合するように動作可能である、リザーバ切り替えバルブ、及び
    前記リザーバ切り替えバルブの前記出口に並列に結合された入口を有する第1の比例流量制御バルブと第2の比例流量制御バルブであって、前記第1の比例流量制御バルブは、前記処理チャンバの前記第1のプロセスガス入口に結合された出口を有し、前記第2の比例流量制御バルブは、前記処理チャンバの前記第2のプロセスガス入口に結合された出口を有する、第1の比例流量制御バルブと第2の比例流量制御バルブを備える、半導体処理システム。
  8. 前記第1のリザーバは、エッチングガス源に流体連通し、
    前記第2のリザーバは、堆積ガス源に流体連通している、請求項7に記載の半導体処理システム。
  9. 前記第1のプロセスガス入口は、前記処理チャンバの垂直中心線に対して前記第2のプロセスガス入口の外側に配置されている、請求項7に記載の半導体処理システム。
  10. 前記第1のリザーバの前記第1の上流端に結合された第1の調整器であって、前記第1のリザーバ内の圧力を実質的に一定の圧力範囲内に維持するように動作可能である第1の調整器、及び
    前記第2のリザーバの前記第2の上流端に結合された第2の調整器であって、前記第2のリザーバ内の圧力を実質的に一定の圧力範囲内に維持するように動作可能である第2の調整器を更に備える、請求項9に記載の半導体処理システム。
  11. 前記第1のプロセスガス入口は、前記第1のプロセスガスを天井又はシャワーヘッドを貫通して前記処理チャンバの中に提供するように構成されている、請求項9に記載の半導体処理システム。
  12. 前記第1のプロセスガス入口は、前記第1のプロセスガスを前記処理チャンバの側壁を貫通して前記処理チャンバの中に提供するように構成されている、請求項9に記載の半導体処理システム。
  13. 前記第1のリザーバと前記第2のリザーバは、共通の筐体内に配置されており、前記共通の筐体は、温度制御されている、請求項11に記載の半導体処理システム。
  14. 処理チャンバのプロセス空間にガスを供給する方法であって、
    第1の状態にあるリザーバ切り替えバルブを通して、第1のプロセスガスを第1のリザーバから前記プロセス空間に供給することであって、
    前記第1のリザーバ内の第1のガス圧力範囲を維持することと、
    前記プロセス空間の上流に配置された複数の比例流量制御バルブを使用して、前記第1のリザーバから前記プロセス空間の1以上の領域への第1のプロセスガス流を制御することとを含む、第1のプロセスガスを供給すること、
    前記リザーバ切り替えバルブを第2の状態に切り替えること、及び
    前記第2の状態にある前記リザーバ切り替えバルブを通して、第2のプロセスガスを第2のリザーバから前記プロセス空間に供給することであって、
    前記第2のリザーバ内の第2のガス圧力範囲を維持することと、
    前記プロセス空間の上流に配置された前記複数の比例流量制御バルブを使用して、前記第2のリザーバから前記プロセス空間の1以上の領域への第2のプロセスガス流を制御することとを含む、第2のプロセスガスを供給することを含む、方法。
  15. 前記第1のリザーバから前記プロセス空間の1以上の領域への前記第1のプロセスガス流を制御することは、
    前記複数の比例流量制御バルブのうちの第1の比例流量制御バルブを使用して、前記プロセス空間の前記1以上の領域のうちの第1の領域への前記第1のプロセスガス流を制御すること、及び
    前記複数の比例流量制御バルブのうちの第2の比例流量制御バルブを使用して、前記プロセス空間の前記1以上の領域のうちの第2の領域への前記第1のプロセスガス流を制御することを更に含み、前記第1の領域は、前記処理チャンバの垂直中心線に対して前記第2の領域の外側に配置されている、請求項14に記載の方法。
  16. 前記第1のリザーバから前記プロセス空間の1以上の領域への前記第1のプロセスガス流を制御することは、
    前記第1の比例流量制御バルブ及び前記第2の比例流量制御バルブと並列な切り替えバルブから、前記第1のプロセスガス流を流すことを更に含む、請求項15に記載の方法。
  17. 前記第1のプロセスガスを前記プロセス空間に供給することは、前記プロセス空間にエッチングガスを流すことを更に含み、
    前記第2のプロセスガスを前記プロセス空間に供給することは、前記プロセス空間に堆積ガスを流すことを更に含む、請求項16に記載の方法。
  18. 前記プロセス空間内に配置された基板内のフィーチャを周期的にエッチングするために、前記リザーバ切り替えバルブを切り替えることを更に含む、請求項14に記載の方法。
  19. 前記基板内の前記フィーチャを周期的にエッチングするために、前記リザーバ切り替えバルブを切り替えることは、
    (a)エッチングガスで前記フィーチャの一部分をエッチングすることと、
    (b)堆積ガスで前記フィーチャのエッチング部分上に保護層を堆積させることと、
    (c)前記フィーチャを形成するために、(a)及び(b)を周期的に繰り返すこととを更に含む、請求項18に記載の方法。
  20. 前記リザーバ切り替えバルブの下流のフォアラインバルブにおいて、ガスをフォアライン又は前記プロセス空間に導くことを更に含み、前記フォアラインバルブは、ガスを前記フォアライン又は前記プロセス空間に進路変更させるように動作可能である、請求項19に記載の方法。
JP2023547770A 2021-02-12 2022-01-04 高速ガス交換装置、システム、及び方法 Pending JP2024506176A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/175,216 2021-02-12
US17/175,216 US20220262600A1 (en) 2021-02-12 2021-02-12 Fast gas exchange apparatus, system, and method
PCT/US2022/011118 WO2022173530A1 (en) 2021-02-12 2022-01-04 Fast gas exchange apparatus, system, and method

Publications (1)

Publication Number Publication Date
JP2024506176A true JP2024506176A (ja) 2024-02-09

Family

ID=82800497

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023547770A Pending JP2024506176A (ja) 2021-02-12 2022-01-04 高速ガス交換装置、システム、及び方法

Country Status (6)

Country Link
US (1) US20220262600A1 (ja)
JP (1) JP2024506176A (ja)
KR (1) KR20230142694A (ja)
CN (1) CN116249949A (ja)
TW (1) TW202240738A (ja)
WO (1) WO2022173530A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4989160A (en) * 1988-05-17 1991-01-29 Sci Systems, Inc. Apparatus and method for controlling functions of automated gas cabinets
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
KR20040024854A (ko) * 2001-04-24 2004-03-22 셀레리티 그룹 아이엔씨 질량유량 제어장치를 위한 시스템 및 방법
CN100403198C (zh) * 2001-05-24 2008-07-16 迅捷公司 流体流量控制器和定比率控制流体流量的方法和装置
US20030003696A1 (en) * 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US6955198B2 (en) * 2003-09-09 2005-10-18 Advanced Technology Materials, Inc. Auto-switching system for switch-over of gas storage and dispensing vessels in a multi-vessel array
JP4086057B2 (ja) * 2004-06-21 2008-05-14 日立金属株式会社 質量流量制御装置及びこの検定方法
JP4520777B2 (ja) * 2004-06-28 2010-08-11 日本オプネクスト株式会社 半導体光素子の製造方法
TWI402098B (zh) * 2005-06-22 2013-07-21 Advanced Tech Materials 整合式氣體混合用之裝置及方法
KR20080012628A (ko) * 2006-08-04 2008-02-12 삼성전자주식회사 기판 처리 장치
JP4606396B2 (ja) * 2006-09-15 2011-01-05 東京エレクトロン株式会社 処理ガス供給システム及び処理ガス供給方法
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
JP6125247B2 (ja) * 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP6410622B2 (ja) * 2014-03-11 2018-10-24 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US9934956B2 (en) * 2015-07-27 2018-04-03 Lam Research Corporation Time multiplexed chemical delivery system
JP6678489B2 (ja) * 2016-03-28 2020-04-08 東京エレクトロン株式会社 基板処理装置
JP7002847B2 (ja) * 2017-03-15 2022-01-20 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102065243B1 (ko) * 2017-05-01 2020-01-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US10179941B1 (en) * 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR102269346B1 (ko) * 2017-07-26 2021-06-28 주식회사 원익아이피에스 기판 처리 장치
JP6964473B2 (ja) * 2017-09-14 2021-11-10 東京エレクトロン株式会社 ガス供給装置及び成膜装置
KR102443036B1 (ko) * 2018-01-15 2022-09-14 삼성전자주식회사 플라즈마 처리 장치
CN117637438A (zh) * 2018-01-15 2024-03-01 应用材料公司 添加氩至远程等离子体氧化
JP7058545B2 (ja) * 2018-04-25 2022-04-22 東京エレクトロン株式会社 ガス供給管のクリーニング方法および処理システム
JP7144531B2 (ja) * 2018-04-28 2022-09-29 アプライド マテリアルズ インコーポレイテッド ガスのパルスに基づく共用前駆体分配システム及び使用方法
KR20210111356A (ko) * 2019-01-31 2021-09-10 램 리써치 코포레이션 급속 교번 프로세스들에서 균일도를 개선하기 위한 복수-위치 가스 주입

Also Published As

Publication number Publication date
KR20230142694A (ko) 2023-10-11
WO2022173530A1 (en) 2022-08-18
TW202240738A (zh) 2022-10-16
CN116249949A (zh) 2023-06-09
US20220262600A1 (en) 2022-08-18

Similar Documents

Publication Publication Date Title
EP3207558B1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control and method using said gas supply delivery arrangement
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US9305810B2 (en) Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
KR101347512B1 (ko) 가스 분배 시스템용의 상이한 유량 계수들을 갖는 밸브들을 포함한 가스 스위칭부
TWI538045B (zh) 基材蝕刻系統與製程之方法及設備
JP5709344B2 (ja) 高速ガス切換能力を有するガス分配システム
US20180308680A1 (en) Selective deposition with atomic layer etch reset
US11694878B2 (en) Gas supply system, plasma processing apparatus, and control method for gas supply system
KR102454243B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
KR20090022557A (ko) 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP2024506176A (ja) 高速ガス交換装置、システム、及び方法
US20240203695A1 (en) Fast gas switching
US20150232990A1 (en) Film formation apparatus and film formation method