JP2023532974A - スタックゲート構造 - Google Patents
スタックゲート構造 Download PDFInfo
- Publication number
- JP2023532974A JP2023532974A JP2023500098A JP2023500098A JP2023532974A JP 2023532974 A JP2023532974 A JP 2023532974A JP 2023500098 A JP2023500098 A JP 2023500098A JP 2023500098 A JP2023500098 A JP 2023500098A JP 2023532974 A JP2023532974 A JP 2023532974A
- Authority
- JP
- Japan
- Prior art keywords
- channel
- gate
- spacer
- dielectric
- transistor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 125000006850 spacer group Chemical group 0.000 claims abstract description 139
- 239000004065 semiconductor Substances 0.000 claims abstract description 77
- 239000003989 dielectric material Substances 0.000 claims abstract description 18
- 239000002135 nanosheet Substances 0.000 claims description 128
- 238000000034 method Methods 0.000 claims description 79
- 238000000151 deposition Methods 0.000 claims description 38
- 230000000295 complement effect Effects 0.000 claims description 8
- 230000005669 field effect Effects 0.000 claims description 8
- 239000012212 insulator Substances 0.000 claims description 7
- 239000011800 void material Substances 0.000 claims 2
- 230000015572 biosynthetic process Effects 0.000 abstract description 43
- 238000004519 manufacturing process Methods 0.000 abstract description 6
- 239000000463 material Substances 0.000 description 127
- 239000010410 layer Substances 0.000 description 124
- 229910052751 metal Inorganic materials 0.000 description 98
- 239000002184 metal Substances 0.000 description 98
- 230000008569 process Effects 0.000 description 62
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 41
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 25
- 230000008021 deposition Effects 0.000 description 24
- 239000000758 substrate Substances 0.000 description 21
- 238000001020 plasma etching Methods 0.000 description 20
- 229910052814 silicon oxide Inorganic materials 0.000 description 19
- 150000002739 metals Chemical class 0.000 description 18
- 238000005530 etching Methods 0.000 description 17
- 238000000059 patterning Methods 0.000 description 16
- 229910052732 germanium Inorganic materials 0.000 description 15
- 238000002955 isolation Methods 0.000 description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 239000000377 silicon dioxide Substances 0.000 description 10
- 235000012239 silicon dioxide Nutrition 0.000 description 10
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 9
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 9
- 239000002019 doping agent Substances 0.000 description 8
- 235000012431 wafers Nutrition 0.000 description 8
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- 239000002070 nanowire Substances 0.000 description 6
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 5
- 229910021417 amorphous silicon Inorganic materials 0.000 description 5
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 229910021324 titanium aluminide Inorganic materials 0.000 description 5
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 4
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- RVSGESPTHDDNTH-UHFFFAOYSA-N alumane;tantalum Chemical compound [AlH3].[Ta] RVSGESPTHDDNTH-UHFFFAOYSA-N 0.000 description 4
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 4
- 235000011114 ammonium hydroxide Nutrition 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 229910020776 SixNy Inorganic materials 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 229910000951 Aluminide Inorganic materials 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000005266 casting Methods 0.000 description 2
- 238000011066 ex-situ storage Methods 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 2
- 239000002086 nanomaterial Substances 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 238000009718 spray deposition Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 244000208734 Pisonia aculeata Species 0.000 description 1
- -1 TiAlC Chemical compound 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000009795 derivation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0922—Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1203—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
- H01L27/1211—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02603—Nanowires
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8221—Three dimensional integrated circuits stacked in different levels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823864—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
- H01L21/845—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/06—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
- H01L27/0688—Integrated circuits having a three-dimensional layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66553—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Crystallography & Structural Chemistry (AREA)
- Materials Engineering (AREA)
- Thin Film Transistor (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
発明の一実施形態は、半導体構造および製造方法を含み得る。半導体構造は、頂部チャネルおよび底部チャネルを含み得、頂部チャネルは、鉛直方向を向く複数のチャネルを含む。底部チャネルは、水平方向を向く複数のチャネルを含む。半導体構造は、頂部チャネルおよび底部チャネルを取り囲むゲートを含み得る。半導体構造は、ゲートの各側に配置されたスペーサを含み得る。第1スペーサは、鉛直方向を向く複数のチャネルの間に配置される誘電体材料を含む。第2スペーサは、水平方向を向く複数のチャネルの間に配置される誘電体材料を含む。これにより、鉛直方向のスペーサ間のスペーサ形成を可能にし得る。
Description
本発明は、半導体デバイス製造に関連し、より具体的には、スタックFETゲートに関連する。
スタックナノシート設計は、FETを互いの上に積み重ねることによって、ロジックおよびメモリデバイス両方の構造的スケーリングのための著しい利益を提供する。スタックナノシートデバイスは、2つのn型FET、2つのp型FETデバイス、または、n型FETデバイスおよびp型FETデバイスの組み合わせが、ナノシートの同一のフットプリントに配置されることを可能にする。
発明の一実施形態は、半導体構造および製造方法を含み得る。半導体構造は、頂部チャネルおよび底部チャネルを含み得、頂部チャネルは、鉛直方向を向く複数のチャネルを含む。底部チャネルは、水平方向を向く複数のチャネルを含む。半導体構造は、頂部チャネルおよび底部チャネルを取り囲むゲートを含み得る。半導体構造は、ゲートの各側に配置されたスペーサを含み得る。第1スペーサは、鉛直方向を向く複数のチャネルの間に配置される誘電体材料を含む。第2スペーサは、水平方向を向く複数のチャネルの間に配置される誘電体材料を含む。これにより、鉛直方向のスペーサ間のスペーサ形成を可能にし得る。
別の実施形態では、半導体構造は、第2スペーサの厚さと異なる第1スペーサの厚さを有し得る。
別の実施形態では、半導体構造は、頂部要素を更に含む第2スペーサを備え得、頂部要素は、頂部チャネルの底面および底部チャネルの頂面と接触する誘電体材料を含む。
別の実施形態では、半導体構造は、第1スペーサと第2スペーサとの間に存在する構造界面を含み得る。
別の実施形態では、半導体構造は、頂部および底部を有する頂部要素を備え得、頂部は複数のフィン突出部を含み、各フィン突出部は、鉛直方向を向く複数のチャネルの各々の下に配置され、底部は、頂部のフィン突出部の各々を接続する水平層を含む。これにより、頂部チャネルと底部チャネルとの間のスペーサ形成を可能にし得る。
別の実施形態では、半導体構造は、第1トランジスタの一部である頂部チャネルを含み得、底部チャネルは第2トランジスタの一部である。これにより、半導体デバイスがスタックゲート構造として作用することを可能にし得る。
別の実施形態では、半導体構造は、相補電界効果トランジスタを形成する第1トランジスタおよび第2トランジスタを含み得る。これにより、半導体デバイスがスタックゲート構造として作用することを可能にし得る。
発明の一実施形態は、半導体構造および製造方法を含み得る。半導体構造はゲート構造を含み得る。半導体構造は頂部チャネルを含み得る。頂部チャネルは、少なくとも1つの鉛直方向を向いたチャネルを含む。少なくとも1つの鉛直方向を向いたチャネルの底面は絶縁体層と接触する。少なくとも1つの鉛直方向を向いたチャネルの頂面および鉛直面はゲート構造に接触する。半導体構造は底部チャネルを含み得、底部チャネルは、水平方向を向く複数のチャネルを含み、ゲートは底部チャネルを取り囲む。これにより、構造支持を頂部チャネルに提供し得る。
別の実施形態では、半導体構造は、底部チャネルの各表面上に配置されるゲートの誘電体を備え得、ゲートの誘電体は、頂面上に配置され、少なくとも1つの鉛直方向を向くチャネルの鉛直面は、ゲート構造に接触し、ゲート誘電体は、少なくとも1つの鉛直方向を向くチャネルに接触していない絶縁体層の表面上に配置される。
別の実施形態では、半導体構造は、第1トランジスタの一部である頂部チャネルを含み得、底部チャネルは第2トランジスタの一部である。これにより、半導体デバイスがスタックゲート構造として作用することを可能にし得る。
別の実施形態では、半導体構造は、相補電界効果トランジスタを形成する第1トランジスタおよび第2トランジスタを含み得る。これにより、半導体デバイスがスタックゲート構造として作用することを可能にし得る。
図の要素は必ずしも縮尺通りではなく、発明の具体的なパラメータを描写する意図はない。説明を明確かつ容易にするべく、要素の寸法は誇張され得る。正確な寸法については、詳細な説明を参照されたい。図面は、発明の典型的な実施形態のみを描写することを意図し、したがって、発明の範囲を限定するものとみなされるべきでない。図面において、同様の参照番号は同様の要素を表す。
ここでは、例示的な実施形態が示される添付図面を参照して、例示的な実施形態がより十分に説明される。しかしながら、本開示は、多くの異なる形式で具現化され得、ここに記載される例示的な実施形態に対する限定とみなされるべきでない。むしろ、これらの例示的な実施形態は、本開示が網羅的および完全であり、当業者に本開示の範囲を伝えるように提供される。明細書において、周知の特徴および技術の詳細は、表示される実施形態を不必要に不明瞭にすることを回避するように、省略され得る。
後の説明の目的で、「上側」、「下側」、「右」、「左」、「鉛直」、「水平」、「頂部」、および「底部」などの用語、ならびに、それらの派生は、図面の図における向きである、開示される構造および方法に関連する。「上」、「覆う」、「の上に」、「の頂部の」、「の上に位置する」、または、「の頂部に位置する」などの用語は、第1構造などの第1要素が第2構造などの第2要素に存在することを意味し、ここで、界面構造などの仲介する要素は、第1要素と第2要素との間に存在し得る。「直接接触」という用語は、第1構造などの第1要素と、第2構造などの第2要素とが、これら2つの要素の界面においていかなる中間の導電層、絶縁層または半導体層もなしに接続されていることを意味する。
本発明の実施形態の提示を曖昧にしないように、以下の詳細な説明では、当技術分野において知られているいくつかの処理段階または動作が、提示および説明の目的のために共に組み合わされ得、いくつかの場合において、詳細に説明されないことがあり得る。別の例において、当技術分野において知られるいくつかの処理段階またはオペレーションが、全く説明されないことがある。以下の説明は、本発明の様々な実施形態の特有の特徴または要素にかなり焦点づけられることが、理解されるべきである。
デバイスの小型化の傾向に伴い、より多くのトランジスタがより小さいフットプリントに配置されることを可能にする新しい構造が必要となる。相補型電界効果トランジスタ(CFET)などのスタックデバイスを使用することにより、2つのトランジスタが同様のフットプリントを占めることを可能にし、また、そのようなデバイスのコンタクト(およびその間の配線)の数を低減し得る。しかしながら、コンポーネントのサイズが制限されることに起因して、小型化から生じる構造の困難性があり、また、コンポーネント間の所望の空間を維持する困難性がある。鉛直方向を向くチャネルをスタックデバイスの頂部ゲートに使用し、水平方向を向くチャネルをスタックデバイスの底部ゲートに使用することにより、そのような構造上の制限が低減され得る。
図1から図17Eに描写される第1の実施形態において、3つの段階でスペーサが効果的に形成されることを可能にする方法および構造が導入され、これにより、チャネル間の距離およびスペーサの厚さが最小化されるとき、半導体チャネル間の空間の適切な充填を可能にする。
図18から図30Bにおいて描写される第2の実施形態において、絶縁体層上に鉛直チャネルが直接形成される方法および構造が導入され、それにより、頂部ゲートを底部ゲートから隔離し、また、頂部ゲート上の鉛直方向を向くチャネルに対して更なる構造支持を提供する。
図1を参照すると、ゲート領域10およびフィン領域20の上面図が描写され、図2から図17Eにおいて描写される基板上の半導体構造のための基準として使用される。ゲート領域10の断面の直線xは、各段階中のゲート領域10に配置される構造を示すために使用され得る。フィン領域20の断面の直線yは、各段階中の各トランジスタデバイスに沿って配置される構造を示すために使用され得る。ゲート領域10の隣に配置されるスペーサの、図9Dに示される断面の直線zは、各段階中のゲート領域10に隣接して配置される構造を示すために使用され得る。
図2を参照すると、基板100、埋め込み酸化物層110、犠牲ナノシート130、ナノシート材料120、中間バッファ層140、頂部半導体層150、およびハードマスク160を有する層状構造を有する構造が描写される。
例示的な実施形態によれば、基板100は、埋め込み酸化物層110を有する、ケイ素(Si)、ゲルマニウム(Ge)、もしくはIII‐V族またはその組み合わせなどの半導体の半導体ウェハであり、セミコンダクタ・オン・インシュレータ(SOI)ウェハを作成する。代替的に、基板100は、埋め込み酸化物層110を有しないバルク半導体ウェハであり得る。SOIウェハは、埋め込み酸化物層110によって下の基板100から隔てられた犠牲ナノシート130を含む。埋め込み絶縁体が酸化物であるとき、本明細書において、埋め込み酸化物またはBOXと称される。基板100では、トランジスタ、ダイオード、コンデンサ、抵抗器、インターコネクト、配線などの構造(示されない)が予め構築され得る。
本明細書において使用される「ナノシート」という用語は、ナノスケール寸法を有するシートまたは層を指す。更に、「ナノシート」という用語は、ナノワイヤなどの他のナノスケール構造を包含することを意味する。例えば、「ナノシート」とは、より大きい幅を有するナノワイヤを指し得る、もしくは、「ナノワイヤ」は、より小さい幅を有するナノシートを指し得る、またはその組み合わせを指し得、その逆もまた同様である。
一般に、埋め込み酸化物層110の上に配置された材料スタックは、犠牲ナノシート130によって隔てられたナノシート材料120、および、中間バッファ層140によって下側ナノシートから隔てられた上側半導体層150を含む。「犠牲」とは、層またはその一部が、デバイスの製造中に除去されることを意味する。単に例として、材料スタックにおけるナノシート120、130、140および150の各々は、エピタキシャル成長プロセスを使用して、基板100上に、互いの頂部に堆積される。例示的な実施形態によれば、材料スタックにおけるナノシート120および130の各々は、約10ナノメートル(nm)から約25nmおよびその間の範囲の厚さを有する。例示的な実施形態によれば、材料スタックにおける中間バッファ層140の各々は、約20ナノメートル(nm)から約60nmおよびその間の範囲の厚さを有する。例示的な実施形態によれば、材料スタックにおける中間バッファ層140の各々は、約25ナノメートル(nm)から約100nmの厚さおよびその間の範囲を有する。
材料スタックは、ナノシート材料120および犠牲ナノシート130の交互の層を含む。例えば、例示的な実施形態によれば、ナノシート材料120はSiであり、犠牲ナノシート130はSiGeである。しかしながら、これは単に一例であり、他の構成が本明細書において想定される。追加的に、第1ナノシート材料120には2つのナノシートがあるが、追加のナノシートが想定される。例えば、代替的な実施形態において、第1ナノシート材料はSiGeであり、一方、第2ナノシート材料はSiである。下で詳細に説明されるように、これらのナノシート材料は、存在するスタックナノシートデバイスのチャネル、および、チャネル間の犠牲材料を形成するために使用される。犠牲材料の除去により、スタックからチャネルが解放され、ゲートオールアラウンド構成でチャネルを完全に取り囲むゲートの形成を可能にする。したがって、第1および第2ナノシート材料が互いに関してエッチング選択性を有することが好ましい。したがって、1つがチャネルとして機能するとき、他の犠牲材料は選択的に除去され、チャネルをスタックから解放することができる。単に例として、SiおよびSiGeは、そのようなエッチング選択性を提供する。
同様に、上側半導体層150および中間バッファ層140は選択性のために選択され得る。例えば、上側半導体層150がSiであるとき、中間バッファ層140はSiGeである。同様に、これも単に一例であり、他の構成も可能である。例えば、代わりに第1ナノシート材料はSiGeであり得、一方で第2ナノシート材料はSiである。追加的に、中間バッファ層140については2つのナノシートがあるが、追加のナノシートが想定される。更に、示される材料スタック105のサイズは単に一例であり、本明細書において、下側ナノシートもしくは上側ナノシートまたはその組み合わせの数が、図に示されるものと異なる実施形態が想定される。
例示的な実施形態によれば、犠牲ナノシート130および140は両方とも、高いゲルマニウム(Ge)含有量を有するSiGeから形成される。例えば、1つの例示的な実施形態において、高いGe含有量は、約50%Ge~約100%Ge(すなわち、純粋なGe)およびその間の範囲である。例えば、1つの非限定的な例において、犠牲ナノシート130および140は、SiGe60(約60%のGe含有量を有する)から形成される。より高いGe含有量の使用により、SiGeは、ナノシート材料120に対して選択的に犠牲ナノシート130および140がエッチングされることを可能にし、上で提供される上側半導体層150は、SiもしくはSiGeまたはその組み合わせから形成され得る。しかしながら、下側/上側ナノシート材料として使用されるSiGeは、低いGe含有量を有することに留意されたい。例えば、1つの例示的な実施形態において、低いGe含有量は約20%Ge~約50%Geおよびその間の範囲である。例えば、1つの非限定的な例において、ナノシート材料120および上側半導体層150がSiGe30(約30%のGe含有量を有する)から形成される。
次に、パターニングされたナノシートハードマスク160が材料スタック上に形成される。ナノシートハードマスク160についての好適な材料は、窒化ケイ素(SixNy)、酸窒化ケイ素(SiON)、もしくは炭窒化ケイ素(SiCN)またはその組み合わせなどの窒化物材料、もしくは、酸化ケイ素(SiOx)などの酸化物材料、またはその組み合わせを含むが、それらに限定されるものではない。
(Xカット)図3を参照すると、FINハードマスク165により覆われたフィン155が頂部半導体層150から形成されること、および、中間バッファ層140をエッチングして、エッチングされた中間バッファ層145が形成されることが描写される。約8~約20nmの各フィンの間に幅W1を有するフィン155が形成される。追加的に、フィン155を形成する間に、約5~約55nmの深さD1の中間バッファ層140に対するエッチングが実行される。これにより、約5~約20nmの厚さT1を有する第1部分(すなわち、非エッチング部分)およびエッチング深さD1に等しい厚さを有する各フィンの下の第2部分を有する中間バッファ層が残る。後続の段階において除去される任意の材料をコンフォーマル堆積が置き換え得るように、エッチング寸法W1、T1、およびD1の各々が選択され得る。例えば、W1、T1およびD1は、後続のコンフォーマル堆積段階の厚さより小さくなるよう選択され得、これにより、層の一部を除去することによって残された空隙をコンフォーマル堆積が完全に充填することを可能にし得る。フィン155は、側壁イメージ転写技法を使用して形成され、ハードマスク160上に形成され、中間バッファ層140へエッチングされ得る。
図4を参照すると、フィン155の間に犠牲ライナ170を堆積させることが描写されている。犠牲ライナは、露出された表面に沿ってコンフォーマルに堆積され、等方的にエッチングされ、フィン155間に犠牲ライナ170のみが残り得る。犠牲ライナ170は、中間バッファ層145およびフィン155に関して除去されることが可能な材料であり得る。例示的な実施形態において、フィン155がSiであり中間バッファ層145がSiGe30であるとき、犠牲ライナ170の高Ge含有量は、約50%Ge~約100%Ge(すなわち、純粋なGe)およびその間の範囲であり得る。例えば、1つの非限定的な例において、犠牲ライナ170は(約60%のGe含有量を有する)SiGe60から形成される。
図5を参照すると、フィン155に隣接して犠牲スペーサライナ180が形成されることが描写される。犠牲スペーサライナ180は、中間バッファ層145ならびに犠牲ナノシート130および140に関して選択的にエッチングされることが可能な任意の材料であり得る。コンフォーマル堆積およびそれに続く反応性イオンエッチング(RIE)により犠牲スペーサライナ180が形成され得る。
図6を参照すると、犠牲層135、底部半導体層125、中間バッファ層147を形成する、底部ナノシートのパターニングが描写される。パターニングは、犠牲スペーサライナ180をマスクとして使用して、RIEを実行することにより行われ得る。
図7を参照すると、犠牲スペーサライナ180の除去が描写されている。犠牲スペーサライナ180およびFINハードマスク165の除去は、犠牲層135、底部半導体層125、中間バッファ層147、フィン155、および犠牲ライナ170から犠牲スペーサライナ180を選択的に除去することが可能な任意のエッチングプロセスを使用して実行され得る。
(Xカット)図8Aおよび(Yカット)図8Bを参照すると、ダミーゲート200およびダミーゲートハードマスク210の形成が描写されている。次に、スタックナノシートデバイスのチャネル領域の上のナノシートデバイススタック105上にダミーゲート200が形成される。ダミーゲート200を形成するために、犠牲ゲート材料がまず、図7の構造の上にブランケット堆積される。好適な犠牲ゲート材料は、ポリシリコン(ポリSi)もしくはアモルファスシリコン(a-Si)またはその組み合わせが続く、SiO2の薄層を含むが、これらに限定されるものではない。CVD、ALDまたはPVDなどのプロセスが、犠牲ゲート材料を堆積するために利用され得る。
ダミーゲート200は、スタックナノシートデバイスの最終ゲートのためのプレースホルダとして機能する。すなわち、ダミーゲート200は、プロセスにおいて後に除去され、スタックナノシートデバイスの最終ゲートとして機能する金属ゲートスタックと置き換えられる。したがって、スタックナノシートデバイスのこれらの最終ゲートはまた、本明細書において、「置換金属ゲート」または単純に「RMG」とも称される。RMGプロセスの使用は、後続の処理段階中に潜在的に有害な条件に金属ゲートスタック材料を露出することを防止するので、有利である。例えば、RMGゲートスタックにおいて使用される高Κ誘電体は、高温への露出によって損傷を受け得る。したがって、これらのゲートスタック材料は、プロセスの最後の近くのみに配置される。
次に、ダミーゲートハードマスク210が犠牲ゲート材料上に形成され、ダミーゲート200の各々のフットプリントおよび場所をマークする。ダミーゲートハードマスク210の好適な材料は、SiN、SiON、もしくはSiCNまたはその組み合わせなどの窒化ハードマスク材料、もしくは、SiOxなどの酸化物ハードマスク材料またはその組み合わせを含むが、これらに限定されるものではない。次に、図8Aおよび図8Bに示される個別のダミーゲート200内に犠牲ゲート材料をパターニングするために、ダミーゲートハードマスク210を使用するエッチングが使用される。RIEなどの指向性(異方性)エッチングプロセスが犠牲ゲートエッチングのために利用され得る。
図9A~図9Dを参照すると、スペーサ220を形成し、底部ナノシートをパターニングし、犠牲層138、下側ナノシート128、中間バッファ層148およびフィン157を形成することが描写されている。コンフォーマル堆積および、それに続く反応性イオンエッチング(RIE)によって、スペーサ220が形成され得る。RIEが埋め込み酸化物層110に対して実行され、デバイスの各々についてチャネル領域を形成し得る。図9Cは、スペーサを通じてZ軸に沿った断面を描写する。スペーサ220はFIN/ナノシートスタックを包む。
図10A~図10Dを参照すると、ゲートスペーサ領域における犠牲ライナ170(例えばSiGe60)の一部を除去することにより、デバイスのゲート領域において犠牲ライナ175を残しながら、図10Cに描写される空隙172を形成することが描写されている。犠牲ライナ170の除去の深さは、約5~約10nmであるべきであり、これにより、後続の段階においてスペーサ材料のコンフォーマル堆積が空隙を充填することを可能にする。
図11A~図11Dを参照すると、フィン157間に頂部スペーサ230を堆積することが描写されている。頂部スペーサ230を形成するための材料のコンフォーマル堆積の厚さは、前の段階において作成された空隙172を充填するのに十分であるべきである。誘電体スペーサ230の好適な材料は、SiOx、SiC、もしくはSiCO、またはその組み合わせを含むが、これらに限定されるものではない。頂部スペーサ230を空隙172内に堆積させ、それに続いて、等方性エッチングにより前の空隙172におけるピンチオフ領域を除くすべてのコンフォーマル堆積層を除去するために、CVD、ALD、またはPVDなどのプロセスが利用され得る。頂部スペーサ230は、前に形成されたスペーサ220から区別する構造界面を有し得る。そのような界面は、別個の段階におけるスペーサの堆積の結果であり得る。追加的に、頂部スペーサ230は、スペーサ220とは異なる厚さを有し得、これは、空隙172を作成するための等方性エッチングプロセスの不正確性の結果であり得る。そのようなプロセスは、ゲート領域と実質的に同一平面上にないゲート領域を有するスペーサの界面をもたらし得る(前にパターニングされた異方性エッチングゲート領域のコンフォーマル堆積の結果である)。
図12A~図12Dを参照すると、CFETの底部FETの犠牲層138(例えば、SiGe30)およびバッファ層148(例えば、SiGe30)のプルバックが実行され、犠牲層139およびバッファ層149が作成される。ナノシートスタックの側壁に沿って露出した下側ナノシート128を凹設するために選択的エッチングが実行される。この凹設エッチングは、次にスペーサ材料で充填される側壁に沿ったポケットを形成する。犠牲層138およびバッファ層148がSiGeである実施形態において、凹設エッチングのためにSiGe選択的非指向性(等方性)エッチングプロセスを使用できる。
図13A~図13Dを参照すると、CFETの底部FETについての底部スペーサ240の形成が実行される。底部スペーサ240の好適な材料は、SiOx、SiC、もしくはSiCO、またはその組み合わせを含むが、これらに限定されるものではない。犠牲層139に沿って誘電体スペーサ230を堆積し、それに続いて、等方性エッチングを行い、領域149および139においてピンチオフされた領域を除くすべてのスペーサライナ240を除去するために、CVD、ALD、またはPVDなどのプロセスが利用され得る。底部スペーサ240は、前に形成されたスペーサ220および頂部スペーサ230と区別する構造界面を有し得る。そのような界面は、別個の段階におけるスペーサの堆積の結果であり得る。追加的に、底部スペーサ240は、スペーサ220および頂部スペーサ230と異なる厚さを有し得、これは、犠牲層138およびバッファ層148の選択的エッチングプロセスの不正確性の結果であり得る。そのようなプロセスは、ゲート領域と実質的に同一平面上にないゲート領域を有するスペーサの界面をもたらし得る(前にパターニングされた異方性エッチングゲート領域のコンフォーマル堆積の結果である)。
図14A~図14Dを参照すると、底部ソース/ドレーン250、ソース/ドレーン隔離層255、および頂部ソース/ドレーン260の形成およびパターニングが実行される。次に底部ソース/ドレーン250が下側ナノシート128の反対側のトレンチに形成される。例えば、「相補電界効果トランジスタに基づく回路」と題する、Paul et al.に発行された米国特許第10、418、449号を参照されたい。その内容は、本明細書において十分に記載されるものとして参照により組み込まれる。
例示的な実施形態によれば、下側ソース/ドレーンは各々、エピタキシャルSi、エピタキシャルSiGeなどの、in-situドープ(すなわち、成長中)またはex-situドープ(例えば、イオン注入を介して)されたエピタキシャル材料から形成される。好適なn型ドーパントは、リン(P)もしくはヒ素(As)またはその組み合わせを含むが、これらに限定されるものではない。好適なp型ドーパントは、ホウ素(B)を含むが、これに限定されるものではない。側壁に沿って配置された内部スペーサ240により、底部ソース/ドレーン250および頂部ソース/ドレーン260のエピタキシャル成長は、それぞれ、下側ナノシート128およびフィン155の(露出)端をテンプレートとする。上に提供されるように、下側ナノシートは、第1極性のデバイス、すなわち、PFETまたはNFETを形成し得、下側デバイスがPFETである場合、スタックにおける上側ナノシートは、第2/反対極性のデバイス、すなわち、NFETを形成し得、または、その逆もまた同様である。例えば、1つの例示的な非限定的な実施形態において、下側ナノシートはPFETを形成し、これにより、底部ソース/ドレーン250はp型ドーパントを含み、上側ナノシートはNFETを形成し、これにより、頂部ソース/ドレーン260はn型ドーパントを含む。
すなわち、例示的な実施形態によれば、第1の(nまたはp型)ドープされたエピタキシャル材料が、チャネルの反対側のトレンチにおいて成長し、次に、底部ソース/ドレーン250を形成するよう凹設される。RIEなどの指向性(異方性)エッチングプロセスが、底部ソース/ドレーン250を凹設するために使用され得る。
スペーサ材料が底部ソース/ドレーン250の上のトレンチに堆積され、次に、凹設されてソース/ドレーン隔離層255を形成し得る。ソース/ドレーン隔離層255の好適な材料は、SiN、SiOx、SiC、もしくはSiCO、またはその組み合わせを含むが、これらに限定されるものではない。スペーサ材料を堆積するためにCVD、ALDまたはPVDなどのプロセスが利用され得る。
第2の(nまたはp型)ドープされたエピタキシャル材料は、ナノシートスタック30の反対側、および、ソース/ドレーン隔離層255の上のナノシートスタック31の一方の側のトレンチにおいて成長し、次に、凹設された頂部ソース/ドレーン260を形成する。RIEなどの指向性(異方性)エッチングプロセスが、頂部ソース/ドレーン260を凹設するために使用され得る。
図15A~図15Dを参照すると、ILD270の形成に続き、CMPによってダミーゲートハードマスク210を除去し、ダミーゲート200を露出する。好適なILD材料は、例えば、2.7未満の誘電体定数Κを有する、酸化ケイ素(SiOx)などの酸化物低Κ材料、もしくは酸化物超低Κ層間誘電体(ULK-ILD)、またはその組み合わせの材料を含むが、これらに限定されるものではない。比較すると、二酸化ケイ素(SiO2)は、3.9の誘電体定数Κ値を有する。好適な超低Κ誘電体材料は、多孔質有機シリカガラス(pSiCOH)を含むが、これに限定されるものではない。犠牲ゲート200の周りにILD270を堆積するために、CVD、ALDまたはPVDなどのプロセスが利用され得る。堆積に続き、ダミーゲートハードマスク210の下の高さまで、化学機械研磨(CMP)などのプロセスを使用してILD270が平坦化され得、それにより、ダミーゲート200を露出する。
図16A~図16Dを参照すると、ダミーゲート200、バッファ層149、および犠牲層139の除去が生じ得る。周囲の構造から材料を実質的に除去することなくダミーゲート200を選択的に除去することを可能にする、当技術分野において知られる任意の好適なエッチングプロセスによってダミーゲート200が除去され得る。例示的な実施形態において、ダミーゲート200は、例えば、a-Siを選択的に除去することが可能なウェットエッチングプロセスによって除去され得る。ゲートトレンチを通じて現在アクセス可能であるバッファ層149および犠牲層139は次に、選択的に除去される。これらのナノシートの除去により、下側ナノシート128およびフィン157を解放する。すなわち、現在、デバイスのチャネル領域において、下側ナノシート128とフィン157との間にギャップが存在する。この例において、下側ナノシート128およびフィン157は、スタックデバイスのチャネルを形成するために使用される。下側ナノシート128およびフィン157におけるゲートトレンチおよびギャップは、置換金属ゲート(RMG)、すなわち、ゲート誘電体および少なくとも1つの仕事関数金属を含むものが、ゲートオールアラウンド構成におけるナノシートチャネルの各々の一部を十分に取り囲むように形成されることを可能にする。
図17A~図17Dを参照すると、RMG300およびコンタクト310の形成が生じ得る。RMG300はゲート誘電体、仕事関数金属、および金属コンタクトを含み得る。コンフォーマルなゲート誘電体がデバイスのチャネル領域におけるゲートトレンチおよびギャップの各々の中に堆積され得、それらをライニングする。例示的な実施形態によれば、ゲート誘電体は高Κ材料である。本明細書において使用される「高Κ」という用語は、二酸化ケイ素より遥かに高い相対誘電体定数Κを有する材料を指す(例えば、酸化ハフニウム(HfO2)の場合は誘電体定数Κ=25、SiO2の場合は4)。好適な高Κゲート誘電体は、HfO2もしくは酸化ランタン(La2O3)、またはその組み合わせを含むが、これらに限定されるものではない。ゲート誘電体を堆積するために、CVD、ALDまたはPVDなどのプロセスは利用され得る。例示的な実施形態によれば、ゲート誘電体は、約1ナノメートル(nm)~約5nmの厚さおよびその間の範囲である。ゲート誘電体の堆積に続き、高信頼性アニールが実行され得る。一実施形態において、高信頼性アニールは、約1ナノ秒~約30秒およびその間の範囲の期間にわたり、約500℃~約1200℃の温度およびその間の範囲で実行される。好ましくは、高信頼性アニールは、窒素含有空気などの不活性ガスの存在下で実行される。
更に図17A~図17Dを参照すると、第1仕事関数金属が、ゲート誘電体上のゲートトレンチおよびギャップ内に堆積され得る。第1仕事関数金属は、ゲートの選択された極性に従い得る。プロセスのこの時点において、第1仕事関数金属は下側ナノシート128およびフィン157上に配置される。しかしながら、下で詳細に説明されるように、この第1仕事関数金属は後にフィン157から除去され、反対の極性の第2仕事関数金属で置き換えられる。すなわち、第1仕事関数金属がp型金属である場合、第2仕事関数金属はn型金属であり得、またはその逆もまた同様である。更に別の実施形態において、第1仕事関数金属および第2仕事関数金属は同一の極性であり得る(両方ともn型または両方ともp型)。
好適なn型仕事関数金属は、チタンアルミナイド(TiAl)、窒化チタンアルミニウム(TiAlN)、炭化チタンアルミニウム(TiAlC)、タンタルアルミナイド(TaAl)、窒化タンタルアルミニウム(TaAlN)、もしくは炭化タンタルアルミニウム(TaAlC)、またはその組み合わせなど、窒化チタン(TiN)、窒化タンタル(TaN)、もしくはアルミニウム(Al)またはその組み合わせを含有する合金を含むが、これらに限定されるものではない。好適なp型仕事関数金属は、TiN、TaN、もしくはタングステン(W)またはその組み合わせを含むが、これらに限定されるものではない。TiNおよびTaNは、p型仕事関数金属として使用されるとき、比較的厚い(例えば、約2nmより大きい)。しかしながら、非常に薄いTiNまたはTaN層(例えば、約2nm未満)も、n型仕事関数スタックにおけるAl含有合金の下で使用され、ゲートリーク電流などの電気特性を改善し得る。したがって、上で与えられる例示的なn型およびp型仕事関数金属において、いくらかの重複がある。
第1仕事関数金属を堆積するために、CVD、ALDまたはPVDなどのプロセスが利用され得る。堆積後、CMPなどのプロセスを使用して金属オーバーバーデンが除去され得る。更に、本例は下側ナノシート131と133との間のギャップをピンチオフする第1仕事関数金属を示すが、複数の金属もしくは複数の層の金属またはその組み合わせから構成される第1仕事関数金属もしくは第2仕事関数金属またはその組み合わせなど、他の仕事関数金属構成も本明細書において想定されることに留意されたい。
第1仕事関数金属をフィン157から除去するために、第1仕事関数金属およびゲート誘電体はまず、ゲートトレンチにおいて凹設される。それを行うために、OPL材料などの平坦化材料がデバイス構造の上およびゲートトレンチ内に堆積され、次に凹設される。平坦化材料を堆積するために、スピンコーティングまたはスプレーキャスティングなどのキャスティングプロセスが利用され得る。RIEなどの指向性(異方性)エッチングプロセスが、平坦化材料を凹設するために使用され得る。第1仕事関数金属およびゲート誘電体は次に、(凹設された)平坦化材料まで(例えば、RIEなどの異方性エッチングプロセスを使用して)凹設される。平坦化材料が除去される。単に例として、アッシングなどのプロセスを使用してOPL平坦化材料が除去され得る。
第1仕事関数金属は次にフィン157から除去される。フィン157から第1仕事関数金属を除去するために凹設エッチングが使用される。下側ナノシート128に配置された第1仕事関数金属をカバー/マスクするためにブロックマスクが使用される。
例示的な実施形態によれば、第1仕事関数金属の凹設エッチングは、非指向性(等方性)金属選択的エッチングプロセスを使用して実行される。例えば、ゲート誘電体に対して選択的に仕事関数金属を凹設するために、SC1ウェット洗浄(例えば、フッ化水素酸(HF)および過酸化水素(H2O2);水酸化アンモニウム(NH4OH)混合物)が利用され得る。
第1仕事関数金属をフィン157から除去することにより、フィン157におけるゲートトレンチおよびギャップを再び開く。第2仕事関数金属は、ゲート誘電体上のゲートトレンチおよびギャップに堆積され得る。第2仕事関数金属は、所望のデバイス特性に基づいて、好適なn型またはp型材料として選択され得る。好適なn型仕事関数金属およびp型仕事関数金属が上で提供された。
第2仕事関数金属を堆積するために、CVD、ALDまたはPVDなどのプロセスが利用され得る。堆積後、CMPなどのプロセスを使用して金属オーバーバーデンが除去され得る。更に、本例はフィン157の間のギャップをピンチオフする第2仕事関数金属を示すが、複数の金属もしくは複数の層の金属またはその組み合わせから構成される第1仕事関数金属もしくは第2仕事関数金属またはその組み合わせなど、他の仕事関数金属構成も本明細書において想定されることに留意されたい。図26Aおよび図26Bに示されるように、堆積後、第2仕事関数金属はゲートトレンチにおいて凹設される。この方式で、すなわち、OPLなどの平坦化材料を使用して仕事関数金属を凹設するためのプロセスが上で説明された。
低抵抗性ゲート充填金属は第2仕事関数金属の上のゲートトレンチ内に堆積され凹設され得る。好適な低抵抗性ゲート充填金属は、TiNもしくはWまたはその組み合わせを含むが、これらに限定されるものではない。低抵抗性ゲート充填金属をゲートトレンチ内に堆積するために、CVD、ALDまたはPVDなどのプロセスが利用され得る。
ダマシンプロセス、側壁イメージプロセス、または、所望のコンタクトの上にトレンチを精密に形成可能な任意の他のプロセスを使用してコンタクト310が形成され得る。トレンチ形成に続いて、銅などの導電性材料、および、接着/伝導を補助し得る任意のシード材料またはライナでトレンチを充填し得、それにより、コンタクト310を形成する。
図18を参照すると、フィン領域1001およびゲート領域1002の上面図が描写され、図19~図30Bの各領域のxおよびy断面が示される。ゲート領域10の断面の直線xは、各段階中のゲート領域10に配置される構造を示すために使用され得る。フィン領域20の断面の直線yは、各段階中の各トランジスタデバイスに沿って配置される構造を示すために使用され得る。
図19を参照すると、第2の例示的実施形態による層状構造が描写されている。層状構造は、基板1100、第1犠牲層1110、底部半導体ナノシート1120、犠牲ナノシート1130、酸化物層1140、および頂部半導体層1150を含む。層状構造は、酸化物ボックス層1140および頂部半導体層1150を有するSOI構造を、ベース基板1100、第1犠牲層1110、底部半導体ナノシート1120および犠牲ナノシート1130を含む層状構造に接合することによって形成され得る。
例示的な実施形態によれば、第1基板1010のベース基板1100は、ケイ素(Si)、ゲルマニウム(Ge)もしくはIII‐V族またはその組み合わせの半導体ウェハなどのバルク半導体ウェハであり得る。第1犠牲層1110、底部半導体ナノシート1120および犠牲ナノシート1130は、下に記載される段階に従って、エピタキシャル堆積され、第1基板1010を形成し得る。
例示的な実施形態によれば、基板1020は、酸化物層1140を有する、ケイ素(Si)、ゲルマニウム(Ge)、もしくはIII‐V族またはその組み合わせの半導体ウェハなどの半導体であり、Si基板1150を酸化することによって形成する。
本明細書において使用される「ナノシート」という用語は、ナノスケール寸法を有するシートまたは層を指す。更に、「ナノシート」という用語は、ナノワイヤなどの他のナノスケール構造を包含することを意味する。例えば、「ナノシート」とは、より大きい幅を有するナノワイヤを指し得る、もしくは、「ナノワイヤ」は、より小さい幅を有するナノシートを指し得る、またはその組み合わせであり、その逆もまた同様である。
一般に、ベース基板の上に配置された材料スタックは、第1犠牲ナノシート1110上に配置される犠牲ナノシート1130によって隔てられる底部半導体ナノシート1120を含む。「犠牲」とは、層またはその一部が、デバイスの製造中に除去されることを意味する。単に例として、材料スタックにおけるナノシート1110、1120および1130の各々は、エピタキシャル成長プロセスを使用して、ベース基板1100の上で、他の頂部の上に堆積される。例示的な実施形態によれば、材料スタックにおけるナノシート1110、1120および1130の各々は、約10ナノメートル(nm)から約25nmおよびその間の範囲の厚さを有する。材料スタックを堆積して第1基板1010を形成した後に、第1基板1010は第2基板1020に接合され、1150の頂部は分割され除去される。
下側ナノシートは、底部半導体ナノシート1120および犠牲ナノシート1130の交互の層を含む。例えば、例示的な実施形態によれば、底部半導体ナノシート1120はSiであり、犠牲ナノシート1130はSiGeである。しかしながら、これは単に一例であり、他の構成が本明細書において想定される。追加的に、底部半導体ナノシート1120では2つのナノシートがあるが、追加のナノシートが想定される。例えば、代替的な実施形態において、第1ナノシート材料はSiGeであり、一方、第2ナノシート材料はSiである。下で詳細に説明されるように、これらのナノシート材料は、存在するスタックナノシートデバイスのチャネル、および、チャネル間の犠牲材料を形成するために使用される。犠牲材料の除去により、スタックからチャネルが解放され、ゲートオールアラウンド構成でチャネルを完全に取り囲むゲートの形成を可能にする。したがって、第1および第2ナノシート材料が互いに関してエッチング選択性を有することが好ましい。したがって、1つがチャネルとして機能するとき、他の犠牲材料は選択的に除去され、チャネルをスタックから解放することができる。単に例として、SiおよびSiGeは、そのようなエッチング選択性を提供する。追加的に、第1犠牲ナノシート1110は、底部半導体ナノシート1120および犠牲ナノシート1130に関する選択性のために選択され得る。
例示的な実施形態によれば、高ゲルマニウム(Ge)含有量を有するSiGeから犠牲ナノシート1110が形成される。例えば、1つの例示的な実施形態において、高いGe含有量は、約50%Ge~約100%Ge(すなわち、純粋なGe)およびその間の範囲である。例えば、1つの非限定的な例において、犠牲ナノシート1110はSiGe60(約60%のGe含有量を有する)である。より高いGe含有量の使用により、SiGeは、ナノシート1120に対して選択的に犠牲ナノシート1110がエッチングされることを可能にし、上で提供されるように、犠牲層1130がSiもしくはSiGe30またはその組み合わせから形成され得る。しかしながら、犠牲材料1130として使用されるSiGeは低Ge含有量を有することに留意されたい。例えば、1つの例示的な実施形態において、低いGe含有量は約20%Ge~約50%Geおよびその間の範囲である。例えば、1つの非限定的な例において、底部半導体ナノシート1120および上側半導体層1150がSiから形成される。
(Xカット)図20Aおよび(Yカット)図20Bを参照すると、頂部半導体層1150および頂部半導体層1150からの鉛直チャネル1152の表面上のハードマスク1170の形成が生じ得る。次に、ハードマスク1170が材料スタック上に形成される。ハードマスク1170についての好適な材料は、窒化ケイ素(SixNy)、酸窒化ケイ素(SiON)、もしくは炭窒化ケイ素(SiCN)またはその組み合わせなどの窒化物材料、もしくは、酸化ケイ素(SiOx)などの酸化物材料、またはその組み合わせを含むが、それらに限定されるものではない。鉛直チャネル1152は、側壁イメージ転写技法を使用してパターニングされ、ハードマスク1170上に形成され、酸化物層1140へエッチングされ得る。
図21Aおよび図21Bを参照すると、ハードマスクパターン1160の形成および非パターニング材料の除去による、ナノシートデバイスのフットプリントの作成、ならびに、STI1105の形成が生じ得る。非パターニング材料の除去に続き、第1犠牲層1115、底部半導体ナノシート1125、第2犠牲層1135および酸化物層1145が残り得る。次にハードマスクパターン1160が材料スタック上に形成される。ハードマスクパターン1160についての好適な材料は、窒化ケイ素(SixNy)、酸窒化ケイ素(SiON)、もしくは炭窒化ケイ素(SiCN)またはその組み合わせなどの窒化物材料、もしくは、酸化ケイ素(SiOx)などの酸化物材料、またはその組み合わせを含むが、それらに限定されるものではない。ハードマスクパターンは、デバイスの幅を定義し得、パターンはベース基板1100に転写され得る。
次に、シャロートレンチアイソレーション(STI)領域1105がベース基板1000に形成される。例示的な実施形態によれば、STI領域1105が酸化ケイ素(SiOx)などの酸化物材料(本明細書において、「STI酸化物」とも称される)から形成され得る。図において明示的に示されないが、ライナ(例えば、熱酸化物または窒化ケイ素(SiN))が、STI酸化物の前に堆積され得る。
図22Aおよび図22Bを参照すると、ハードマスクパターン1160および1170は除去され得、ダミーゲート1180、ハードマスク1190および頂部スペーサ1200が形成され得る。追加的に、第1犠牲層1115は除去されて隔離層1195に置き換えられ得る。ダミーゲート1180を形成するために、犠牲ゲート材料がまず、図21Aおよび図21Bの構造の上にブランケット堆積される。好適な犠牲ゲート材料は、ポリシリコン(ポリSi)もしくはアモルファスシリコン(a-Si)またはその組み合わせが続く、SiO2の薄層を含むが、これらに限定されるものではない。CVD、ALDまたはPVDなどのプロセスが、犠牲ゲート材料を堆積するために利用され得る。
ダミーゲート1180は、スタックナノシートデバイスの最終ゲートのためのプレースホルダとして機能する。すなわち、ダミーゲート1180は、プロセスにおいて後に除去され、スタックナノシートデバイスの最終ゲートとして機能する金属ゲートスタックと置き換えられる。したがって、スタックナノシートデバイスのこれらの最終ゲートはまた、本明細書において、「置換金属ゲート」または単純に「RMG」とも称される。RMGプロセスの使用は、後続の処理段階中に潜在的に有害な条件に金属ゲートスタック材料を露出することを防止するので、有利である。例えば、RMGゲートスタックにおいて使用される高Κ誘電体は、高温への露出によって損傷を受け得る。したがって、これらのゲートスタック材料は、プロセスの最後の近くのみに配置される。
次にハードマスク1190が犠牲ゲート材料上に形成され、ダミーゲート1180の各々のフットプリントおよび場所をマークする。ハードマスク1190の好適な材料は、SiN、SiON、もしくはSiCNまたはその組み合わせなどの窒化ハードマスク材料、もしくは、SiOxなどの酸化物ハードマスク材料またはその組み合わせを含むが、これらに限定されるものではない。次に、図22Aおよび図22Bに示される個別のダミーゲート1180内に犠牲ゲート材料をパターニングするために、ハードマスク1190を使用するエッチングが使用される。RIEなどの指向性(異方性)エッチングプロセスが犠牲ゲートエッチングのために利用され得る。ゲートエッチングの後、取り囲む層に対して選択的な第1犠牲層1115を除去する任意の好適な等方性エッチングによって第1犠牲層1115が除去され、スタック1010の下にキャビティを形成する。
頂部スペーサ1200は、コンフォーマル堆積、および、それに続く反応性イオンエッチング(RIE)によって形成され得る。頂部スペーサ1200は任意の好適な誘電体材料から形成され得る。頂部スペーサのコンフォーマル堆積はまた、第1犠牲層1115を除去することによって作成される上記キャビティを充填し、底部誘電体隔離1195を形成する。
図23Aおよび図23Bを参照すると、鉛直チャネル1152が頂部チャネル1155(図22Aおよび図22B)へ形成され得、犠牲頂部スペーサ1210が形成され得る。頂部スペーサ1200は、頂部デバイス1152のフットプリントを画定するために使用され得る。鉛直チャネル1152の形成は、エッチングのためのマスクとして作用する頂部スペーサ1200を用いて、RIEなどの異方性エッチングを使用して実行され得る。鉛直チャネル1152の形成に続いて、材料のコンフォーマル堆積、および、それに続くRIEエッチングによって犠牲頂部スペーサ1210が形成され得る。犠牲頂部スペーサ1210は、構造から選択的に除去されることが可能な任意の材料から形成され得る。
図24Aおよび図24Bを参照すると、底部FETのチャネル領域は、犠牲頂部スペーサ1210のパターンに基づいて形成され得る。底部FETのチャネル領域の形成に続いて、底部チャネル1127、第2犠牲層1137、および酸化物層1147が生じ得る。犠牲頂部スペーサ1210は、底部半導体ナノシート1125のフットプリントを定義するために使用され得る。
図25Aおよび図25Bを参照すると、第2犠牲層1137がプルバックされて第2犠牲層1138を形成し得、底部スペーサ1220が形成され得る。追加的に、底部ソース/ドレーン1230およびソース/ドレーン隔離層1240が形成され得る。ナノシートスタックの側壁に沿って露出された第2犠牲層1137を凹設するために選択的エッチングが実行される。この凹設エッチングは、次にスペーサ材料で充填される側壁に沿ったポケットを形成する。第2犠牲層1138がSiGe30である実施形態において、SiGe選択的非指向性(等方性)エッチングプロセスが凹設エッチングのために使用され得る。CFETの底部FETのための底部スペーサ1220の形成が実行される。底部スペーサ1220の好適な材料は、SiOx、SiC、もしくはSiCO、またはその組み合わせを含むが、これらに限定されるものではない。第2犠牲層1138に沿って底部スペーサ1220を堆積し、それに続いて、凹設においてピンチオフされる領域を除くすべての底部スペーサ1220の等方性エッチングを行うために、CVD、ALDまたはPVDなどのプロセスが利用され得る。
例示的な実施形態によれば、下側ソース/ドレーンは各々、エピタキシャルSi、エピタキシャルSiGeなどの、in-situドープ(すなわち、成長中)またはex-situドープ(例えば、イオン注入を介して)されたエピタキシャル材料から形成される。好適なn型ドーパントは、リン(P)もしくはヒ素(As)またはその組み合わせを含むが、これらに限定されるものではない。好適なp型ドーパントは、ホウ素(B)を含むが、これに限定されるものではない。鉛直チャネル1152の側壁に沿って配置される犠牲スペーサ1210により、底部ソース/ドレーン1230のエピタキシャル成長は、底部チャネル1127の(露出)端をテンプレートとする。上に提供されるように、下側ナノシートは、第1極性のデバイス、すなわち、PFETまたはNFETを形成し得、下側デバイスがPFETである場合、スタックにおける上側ナノシートは、第2/反対極性のデバイス、すなわち、NFETを形成し得、または、その逆もまた同様である。例えば、1つの例示的な非限定的な実施形態において、下側ナノシートはPFETを形成し、これにより、底部ソース/ドレーン1230はp型ドーパントを含み、上側ナノシートはNFETを形成し、これにより、頂部ソース/ドレーン1250はn型ドーパントを含む。
すなわち、例示的な実施形態によれば、第1の(nまたはp型)ドープされたエピタキシャル材料が、チャネルの反対側のトレンチにおいて成長し、次に、底部ソース/ドレーン1230を形成するよう凹設される。RIEなどの指向性(異方性)エッチングプロセスが、底部ソース/ドレーン1230を凹設するために使用され得る。
スペーサ材料は、底部ソース/ドレーン1230の上のトレンチ内へ堆積され、次に凹設されて底部スペーサ1220を形成し得る。底部スペーサ1220の好適な材料は、SiN、SiOx、SiC、もしくはSiCO、またはその組み合わせを含むが、これらに限定されるものではない。スペーサ材料を堆積するために、CVD、ALDまたはPVDなどのプロセスが利用され得る。
図26Aおよび図26Bを参照すると、犠牲スペーサ1210は除去され得、頂部ソース/ドレーン1250は形成され得る。犠牲スペーサ1210は、構造の他の材料に関して材料を選択的に除去することが可能な任意のプロセスを使用して除去され得、それにより、鉛直チャネル1152を露出する。第2の(nまたはp型)ドープされたエピタキシャル材料が、ソース/ドレーン隔離層255の上の鉛直チャネルの反対側のトレンチにおいて成長し、次に凹設され、頂部ソース/ドレーン260を形成する。RIEなどの指向性(異方性)エッチングプロセスが、頂部ソース/ドレーン260を凹設するために使用され得る。
図27Aおよび図27Bを参照すると、ILD1260の形成ならびに、ハードマスク1190、ダミーゲート1180、および第2犠牲層1138の除去が生じ得る。好適なILD材料は、例えば、2.7未満の誘電体定数Κを有する、酸化ケイ素(SiOx)などの酸化物低Κ材料、もしくは酸化物超低Κ層間誘電体(ULK-ILD)材料、またはその組み合わせを含むが、これらに限定されるものではない。比較すると、二酸化ケイ素(SiO2)は、3.9の誘電体定数Κ値を有する。好適な超低Κ誘電体材料は、多孔質有機シリカガラス(pSiCOH)を含むが、これに限定されるものではない。犠牲ゲート200の周りにILD1260を堆積するために、CVD、ALDまたはPVDなどのプロセスが利用され得る。堆積に続いて、ハードマスク1190の下の高さまでの化学機械研磨(CMP)などのプロセスを使用してILD1260が平坦化され得、それによりダミーゲート1180を露出する。
ダミーゲート1180および犠牲層1138の除去が生じ得る。周囲の構造から材料を実質的に除去することなくダミーゲート1180を選択的に除去することを可能にする、当技術分野において知られる任意の好適なエッチングプロセスによってダミーゲート1180が除去され得る。例示的な実施形態において、ダミーゲート1180は、例えば、ケイ素を選択的に除去することが可能な等方性ウェットエッチングプロセスによって除去され得る。ゲートトレンチを通じて現在アクセス可能な犠牲層1138は次に選択的に除去される。これらのナノシートの除去により底部チャネル1127を解放する。すなわち、デバイスのチャネル領域における底部チャネル1127の間に現在ギャップが存在する。この例において、底部チャネル1127は、スタックデバイスのチャネルを形成するために使用される。底部チャネル1127におけるゲートトレンチおよびギャップは、置換金属ゲート(RMG)、すなわち、ゲート誘電体および少なくとも1つの仕事関数金属を含むものが、ゲートオールアラウンド構成におけるナノシートチャネルの各々の一部を十分に取り囲むように形成されることを可能にする。
図28Aおよび図28Bを参照すると、ゲート誘電体1270の堆積が生じ得る。コンフォーマルなゲート誘電体1270がデバイスのチャネル領域におけるゲートトレンチおよびギャップの各々の中に堆積され得、それらをライニングする。例示的な実施形態によれば、ゲート誘電体1270は高Κ材料である。本明細書において使用される「高Κ」という用語は、二酸化ケイ素より遥かに高い相対誘電体定数Κを有する材料を指す(例えば、酸化ハフニウム(HfO2)の場合は誘電体定数Κ=25、SiO2の場合は4)。好適な高Κゲート誘電体は、HfO2もしくは酸化ランタン(La2O3)、またはその組み合わせを含むが、これらに限定されるものではない。ゲート誘電体を堆積するために、CVD、ALDまたはPVDなどのプロセスは利用され得る。例示的な実施形態によれば、ゲート誘電体1270は、約1ナノメートル(nm)~約5nmの厚さおよびその間の範囲である。ゲート誘電体1270の堆積に続き、高信頼性アニールが実行され得る。一実施形態において、高信頼性アニールは、約1ナノ秒~約30秒およびその間の範囲の期間にわたり、約500℃~約1200℃の温度およびその間の範囲で実行される。好ましくは、高信頼性アニールは、窒素含有空気などの不活性ガスの存在下で実行される。
図29Aおよび図29Bを参照すると、仕事関数金属1280の堆積および還元が生じ得る。仕事関数金属1280の還元は、底部チャネル1127を取り囲む仕事関数金属のみにおいて生じ得る。仕事関数金属1280は、ゲート誘電体上のゲートトレンチおよびギャップ内へ堆積され得る。仕事関数金属1280は、ゲートの選択された極性に従い得る。プロセスのこの時点において、仕事関数金属1280は底部チャネル1127および鉛直チャネル1152上に配置される。しかしながら、下で詳細に説明されるように、この仕事関数金属1280は、後に鉛直チャネル1152から除去される。
好適なn型仕事関数金属は、チタンアルミナイド(TiAl)、窒化チタンアルミニウム(TiAlN)、炭化チタンアルミニウム(TiAlC)、タンタルアルミナイド(TaAl)、窒化タンタルアルミニウム(TaAlN)、もしくは炭化タンタルアルミニウム(TaAlC)、またはその組み合わせなど、窒化チタン(TiN)、窒化タンタル(TaN)、もしくはアルミニウム(Al)またはその組み合わせを含有する合金を含むが、これらに限定されるものではない。好適なp型仕事関数金属は、TiN、TaN、もしくはタングステン(W)またはその組み合わせを含むが、これらに限定されるものではない。TiNおよびTaNは、p型仕事関数金属として使用されるとき、比較的厚い(例えば、約2nmより大きい)。しかしながら、非常に薄いTiNまたはTaN層(例えば、約2nm未満)も、n型仕事関数スタックにおけるAl含有合金の下で使用され、ゲートリーク電流などの電気特性を改善し得る。したがって、上で与えられる例示的なn型およびp型仕事関数金属において、いくらかの重複がある。
仕事関数金属1280を堆積するために、CVD、ALDまたはPVDなどのプロセスが利用され得る。堆積に続いて、CMPなどのプロセスを使用して、金属オーバーバーデンが除去され得る。更に、本例は下側ナノシート131と133との間のギャップをピンチオフする仕事関数金属1280を示すが、複数の金属もしくは複数の層の金属またはその組み合わせから構成される第1仕事関数金属もしくは第2仕事関数金属またはその組み合わせなど、他の仕事関数金属構成も本明細書において想定されることに留意されたい。
仕事関数金属1280を鉛直チャネル1152から除去するべく、仕事関数金属1280およびゲート誘電体がまずゲートトレンチにおいて凹設される。それを行うために、OPL材料などの平坦化材料がデバイス構造の上およびゲートトレンチ内に堆積され、次に凹設される。平坦化材料を堆積するために、スピンコーティングまたはスプレーキャスティングなどのキャスティングプロセスが利用され得る。RIEなどの指向性(異方性)エッチングプロセスが、平坦化材料を凹設するために使用され得る。仕事関数金属1280およびゲート誘電体は次に、(凹設された)平坦化材料まで(例えば、RIEなどの異方性エッチングプロセスを使用して)凹設される。平坦化材料が除去される。単に例として、アッシングなどのプロセスを使用してOPL平坦化材料が除去され得る。
次に仕事関数金属1280が鉛直チャネル1152から除去される。仕事関数金属1280を鉛直チャネル1152から除去するために凹設エッチングが使用される。下側ナノシート128上に配置された仕事関数金属1280をカバー/マスクするためにブロックマスクが使用される。
例示的な実施形態によれば、仕事関数金属1280の凹設エッチングは、非指向性(等方性)金属選択的エッチングプロセスを使用して実行される。例えば、ゲート誘電体に対して選択的に仕事関数金属を凹設するために、SC1ウェット洗浄(例えば、フッ化水素酸(HF)および過酸化水素(H2O2);水酸化アンモニウム(NH4OH)混合物)が利用され得る。
図30Aおよび図30Bを参照すると低抵抗性ゲート充填金属が続く第2WFM金属(1290は第2WFMおよびゲート充填金属の組み合わせである)がゲートに堆積され得る。低抵抗性ゲート充填金属がゲートトレンチ内に堆積され得る。好適な低抵抗性ゲート充填金属は、W、Co、Alを含むが、これらに限定されるものではない。ゲートトレンチ内に低抵抗性ゲート充填金属を堆積するためにCVD、ALDまたはPVDなどのプロセスが利用され得る。第2WFMは、TiN、TiC、TiAl、TiAlC、TaNなどであり得る。
本発明の様々な実施形態の説明は、例示の目的で提示されてきたが、網羅的であること、または開示された実施形態に限定することを意図するものではない。説明された実施形態の範囲及び趣旨から逸脱することなく、多くの修正及び変形が、当業者には明らかであろう。本明細書において使用される用語は、実施形態の原理、実際の適用、または、市場において見られる技術に対する技術的改善をもっとも良く説明するために、または、当業者が本明細書に開示される実施形態を理解することを可能にするために選択された。したがって、本発明は、説明及び図示された厳密な形態及び詳細に限定されず、添付された特許請求の範囲の範囲内に入ることが意図される。
Claims (22)
- 頂部チャネルおよび底部チャネルであって、前記頂部チャネルは、鉛直方向を向く複数のチャネルを含み、前記底部チャネルは、水平方向を向く複数のチャネルを含む、頂部チャネルおよび底部チャネルと、
前記頂部チャネルおよび前記底部チャネルを取り囲むゲートと、
前記ゲートの各側に配置されたスペーサであって、第1スペーサは、前記鉛直方向を向く複数のチャネルの間に配置される誘電体材料を含み、第2スペーサは、前記水平方向を向く複数のチャネルの間に配置される誘電体材料を含む、スペーサと
を備える半導体構造。 - 前記第1スペーサの厚さは前記第2スペーサの厚さと異なる、請求項1に記載の半導体構造。
- 前記第2スペーサは更に頂部要素を含み、前記頂部要素は、前記頂部チャネルの底面および前記底部チャネルの頂面に接触する誘電体材料を含む、請求項1に記載の半導体構造。
- 構造界面が前記第1スペーサと前記第2スペーサとの間に存在する、請求項3に記載の半導体構造。
- 前記頂部要素は頂部および底部を有し、前記頂部は複数のフィン突出部を含み、各フィン突出部は、前記鉛直方向を向く複数のチャネルの各々の下に配置され、前記底部は、前記頂部の前記複数のフィン突出部の各々を接続する水平層を含む、請求項3に記載の半導体構造。
- 前記頂部チャネルは第1トランジスタの一部であり、前記底部チャネルは第2トランジスタの一部である、請求項1から5のいずれか一項に記載の半導体構造。
- 前記第1トランジスタおよび前記第2トランジスタは相補電界効果トランジスタを形成する、請求項6に記載の半導体構造。
- ゲート構造と、
頂部チャネルであって、前記頂部チャネルは少なくとも1つの鉛直方向を向くチャネルを含み、前記少なくとも1つの鉛直方向を向くチャネルの底面は絶縁体層に接触し、前記少なくとも1つの鉛直方向を向くチャネルの頂面および鉛直面は前記ゲート構造に接触する、頂部チャネルと、
底部チャネルであって、前記底部チャネルは、水平方向を向く複数のチャネルを含み、前記ゲート構造は前記底部チャネルを取り囲む、底部チャネルと
を備える半導体構造。 - 前記ゲート構造の誘電体は、前記底部チャネルの各表面上に配置され、前記ゲート構造の前記誘電体は、前記頂面上に配置され、前記少なくとも1つの鉛直方向を向くチャネルの前記鉛直面は、前記ゲート構造に接触し、前記ゲート構造の前記誘電体は、前記少なくとも1つの鉛直方向を向くチャネルに接触していない前記絶縁体層の表面上に配置される、請求項8に記載の半導体構造。
- 前記頂部チャネルは第1トランジスタの一部であり、前記底部チャネルは第2トランジスタの一部である、請求項8または9に記載の半導体構造。
- 前記第1トランジスタおよび前記第2トランジスタは相補電界効果トランジスタを形成する、請求項10に記載の半導体構造。
- 半導体構造を形成する方法であって、
層状ナノシートスタックの上に配置された半導体層において頂部チャネルを形成する段階であって、前記頂部チャネルは、鉛直方向を向く複数のチャネルを含む、段階と、
前記鉛直方向を向く複数のチャネルの間に犠牲ライナを堆積する段階と、
前記頂部チャネルの上にダミーゲートを形成する段階と、
前記ダミーゲートの反対側にゲートスペーサを形成する段階と、
前記ゲートスペーサの下に配置された前記犠牲ライナの一部を除去してスペーサ空隙を作成する段階と、
前記スペーサ空隙において頂部スペーサを堆積する段階と
を備える方法。 - 前記頂部スペーサの厚さは前記ゲートスペーサの厚さと異なる、請求項12に記載の方法。
- 底部スペーサを形成する段階であって、前記底部スペーサは、水平方向を向く複数のチャネルの間に配置された誘電体材料と、前記頂部チャネルの底面および底部チャネルの頂面に接触する誘電体材料を含む頂部要素とを含む、段階を更に備える、請求項12に記載の方法。
- 構造界面が前記頂部スペーサと前記ゲートスペーサとの間に存在する、請求項14に記載の方法。
- 前記頂部要素は頂部および底部を有し、前記頂部は複数のフィン突出部を含み、各フィン突出部は、鉛直方向を向く複数のチャネルの各々の下に配置され、前記底部は、前記頂部の前記複数のフィン突出部の各々を接続する水平層を含む、請求項14に記載の方法。
- 前記頂部チャネルは第1トランジスタの一部であり、底部チャネルは第2トランジスタの一部である、請求項12から16のいずれか一項に記載の方法。
- 前記第1トランジスタおよび前記第2トランジスタは相補電界効果トランジスタを形成する、請求項17に記載の方法。
- 半導体構造を形成する方法であって、
層状ナノシートスタックの上に配置された半導体層において頂部チャネルを形成する段階であって、前記頂部チャネルは、鉛直方向を向く複数のチャネルを含み、前記層状ナノシートスタックは、複数の半導体ナノシートの間に配置された複数の犠牲ナノシートを含む、段階と、
前記頂部チャネルの上にダミーゲートを形成する段階と、
前記ダミーゲートの反対側にゲートスペーサを形成する段階と、
前記ダミーゲートおよび犠牲ナノシートを除去してゲート空隙を作成する段階と、
前記ゲート空隙に誘電体を堆積する段階であって、前記誘電体を堆積することにより、前記誘電体は、前記複数の半導体ナノシートを取り囲み、前記誘電体を堆積することにより、前記誘電体は、前記鉛直方向を向く複数のチャネルの頂面および鉛直面上に配置される、段階と
を備える方法。 - 前記ゲート空隙の前記誘電体は、底部チャネルの各表面上に配置され、前記ゲート空隙の前記誘電体は、前記頂面上に配置され、少なくとも1つの前記鉛直方向を向くチャネルの前記鉛直面は、ゲート構造に接触し、前記ゲート空隙の前記誘電体は、前記少なくとも1つの鉛直方向を向くチャネルに接触していない絶縁体層の表面上に配置される、請求項19に記載の方法。
- 前記頂部チャネルは第1トランジスタの一部であり、底部チャネルは第2トランジスタの一部である、請求項19または20に記載の方法。
- 前記第1トランジスタおよび前記第2トランジスタは相補電界効果トランジスタを形成する、請求項21に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/946,856 | 2020-07-09 | ||
US16/946,856 US11282838B2 (en) | 2020-07-09 | 2020-07-09 | Stacked gate structures |
PCT/EP2021/067830 WO2022008295A1 (en) | 2020-07-09 | 2021-06-29 | Stacked gate structures |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2023532974A true JP2023532974A (ja) | 2023-08-01 |
Family
ID=76796981
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023500098A Pending JP2023532974A (ja) | 2020-07-09 | 2021-06-29 | スタックゲート構造 |
Country Status (5)
Country | Link |
---|---|
US (1) | US11282838B2 (ja) |
EP (1) | EP4179571A1 (ja) |
JP (1) | JP2023532974A (ja) |
CN (1) | CN115803871A (ja) |
WO (1) | WO2022008295A1 (ja) |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11610977B2 (en) * | 2020-07-28 | 2023-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming nano-sheet-based devices having inner spacer structures with different widths |
US11502167B2 (en) | 2020-10-02 | 2022-11-15 | Samsung Electronics Co., Ltd. | Semiconductor device having stepped multi-stack transistor structure |
US11670677B2 (en) * | 2020-10-02 | 2023-06-06 | Samsung Electronics Co., Ltd. | Crossing multi-stack nanosheet structure and method of manufacturing the same |
US11355640B1 (en) | 2020-11-16 | 2022-06-07 | Samsung Electronics Co., Ltd. | Hybrid multi-stack semiconductor device including self-aligned channel structure and method of manufacturing the same |
US11658116B2 (en) * | 2021-03-02 | 2023-05-23 | International Business Machines Corporation | Interconnects on multiple sides of a semiconductor structure |
US12051697B2 (en) * | 2021-04-19 | 2024-07-30 | Samsung Electronics Co., Ltd. | Integrated circuit devices including stacked gate structures with different dimensions |
US11843001B2 (en) | 2021-05-14 | 2023-12-12 | Samsung Electronics Co., Ltd. | Devices including stacked nanosheet transistors |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5771484A (en) | 1996-02-28 | 1998-06-23 | Sun Microsystems, Inc. | Automated positive control traffic system for weather |
US10586868B2 (en) | 2013-12-19 | 2020-03-10 | Intel Corporation | Non-planar semiconductor device having hybrid geometry-based active region |
US9356027B1 (en) | 2015-05-11 | 2016-05-31 | International Business Machines Corporation | Dual work function integration for stacked FinFET |
US10790281B2 (en) | 2015-12-03 | 2020-09-29 | Intel Corporation | Stacked channel structures for MOSFETs |
US9691851B1 (en) | 2016-06-10 | 2017-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9837414B1 (en) | 2016-10-31 | 2017-12-05 | International Business Machines Corporation | Stacked complementary FETs featuring vertically stacked horizontal nanowires |
US10546925B2 (en) | 2017-11-02 | 2020-01-28 | International Business Machines Corporation | Vertically stacked nFET and pFET with dual work function |
US10236217B1 (en) | 2017-11-02 | 2019-03-19 | International Business Machines Corporation | Stacked field-effect transistors (FETs) with shared and non-shared gates |
US10192819B1 (en) | 2017-11-16 | 2019-01-29 | Globalfoundries Inc. | Integrated circuit structure incorporating stacked field effect transistors |
US10685887B2 (en) | 2017-12-04 | 2020-06-16 | Tokyo Electron Limited | Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device |
US10418449B2 (en) | 2018-01-10 | 2019-09-17 | Globalfoundries Inc. | Circuits based on complementary field-effect transistors |
US10192867B1 (en) | 2018-02-05 | 2019-01-29 | Globalfoundries Inc. | Complementary FETs with wrap around contacts and method of forming same |
US10790271B2 (en) | 2018-04-17 | 2020-09-29 | International Business Machines Corporation | Perpendicular stacked field-effect transistor device |
US11201152B2 (en) * | 2018-04-20 | 2021-12-14 | Globalfoundries Inc. | Method, apparatus, and system for fin-over-nanosheet complementary field-effect-transistor |
US11374004B2 (en) | 2018-06-29 | 2022-06-28 | Intel Corporation | Pedestal fin structure for stacked transistor integration |
US10332881B1 (en) | 2018-08-17 | 2019-06-25 | Qualcomm Incorporated | Integrating a gate-all-around (GAA) field-effect transistor(s) (FET(S)) and a finFET(s) on a common substrate of a semiconductor die |
US11367722B2 (en) * | 2018-09-21 | 2022-06-21 | Intel Corporation | Stacked nanowire transistor structure with different channel geometries for stress |
US11239359B2 (en) * | 2018-09-29 | 2022-02-01 | International Business Machines Corporation | Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer |
-
2020
- 2020-07-09 US US16/946,856 patent/US11282838B2/en active Active
-
2021
- 2021-06-29 JP JP2023500098A patent/JP2023532974A/ja active Pending
- 2021-06-29 EP EP21737635.9A patent/EP4179571A1/en active Pending
- 2021-06-29 WO PCT/EP2021/067830 patent/WO2022008295A1/en unknown
- 2021-06-29 CN CN202180048193.6A patent/CN115803871A/zh active Pending
Also Published As
Publication number | Publication date |
---|---|
WO2022008295A1 (en) | 2022-01-13 |
EP4179571A1 (en) | 2023-05-17 |
US20220013521A1 (en) | 2022-01-13 |
US11282838B2 (en) | 2022-03-22 |
CN115803871A (zh) | 2023-03-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11177258B2 (en) | Stacked nanosheet CFET with gate all around structure | |
JP2023532974A (ja) | スタックゲート構造 | |
CN112750908B (zh) | 在芯轴上具有包括二维材料的沟道区的场效应晶体管 | |
US8790991B2 (en) | Method and structure for shallow trench isolation to mitigate active shorts | |
US9748348B2 (en) | Fully-depleted SOI MOSFET with U-shaped channel | |
KR102374905B1 (ko) | 트랜지스터 게이트들 및 형성 방법 | |
TWI755831B (zh) | 半導體裝置及其形成方法 | |
TW202131389A (zh) | 半導體結構及其形成方法 | |
US10998414B2 (en) | Metal gate structure with multi-layer composition | |
TWI826836B (zh) | 半導體裝置與其形成方法 | |
TWI780649B (zh) | 半導體裝置及其形成方法 | |
US11888064B2 (en) | Semiconductor device and method | |
TWI829000B (zh) | 半導體裝置及其形成方法 | |
TW202322399A (zh) | 半導體裝置及其製造方法 | |
TWI819349B (zh) | 半導體裝置及其製造方法 | |
US11056574B2 (en) | Stacked semiconductor device and method of forming same | |
TW202118067A (zh) | 半導體裝置 | |
US11557518B2 (en) | Gapfill structure and manufacturing methods thereof | |
TWI844162B (zh) | 半導體裝置及其形成方法 | |
TWI821132B (zh) | 具有經增加接觸面積之自對準背側接觸點 | |
US20230008893A1 (en) | Transistor Isolation Regions and Methods of Forming the Same | |
US20230317469A1 (en) | Semiconductor Device and Methods of Forming the Same | |
TW202143392A (zh) | 半導體裝置及其形成方法 | |
TW202320228A (zh) | 半導體裝置及其製造方法 | |
US20180145131A1 (en) | Semiconductor Device and Method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20231114 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20240711 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20240827 |