JP2023168307A - 異なるタイプのメモリ装置の形成方法 - Google Patents

異なるタイプのメモリ装置の形成方法 Download PDF

Info

Publication number
JP2023168307A
JP2023168307A JP2023078901A JP2023078901A JP2023168307A JP 2023168307 A JP2023168307 A JP 2023168307A JP 2023078901 A JP2023078901 A JP 2023078901A JP 2023078901 A JP2023078901 A JP 2023078901A JP 2023168307 A JP2023168307 A JP 2023168307A
Authority
JP
Japan
Prior art keywords
layer
bottom electrode
width
dielectric layer
tunnel junction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023078901A
Other languages
English (en)
Inventor
王郁仁
Yu-Jen Wang
シェンファン ファン
Sheng-Huang Huang
莊學理
Harry-Haklay Chuang
ハンチョ ワン
Hung Cho Wang
王清煌
Ching-Huang Wang
クオフェン ファン
Kuo-Feng Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2023168307A publication Critical patent/JP2023168307A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/10Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having two electrodes, e.g. diodes or MIM elements
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】半導体装置を提供する。【解決手段】半導体装置は、第1の誘電体層に位置する第1の導電性フィーチャ及び第2の導電性フィーチャと、第1の誘電体層の上方に位置するバッファ層と、バッファ層の上方に位置する第2の誘電体層と、バッファ層及び第2の誘電体層を貫通して延びる第1の底部貫通孔と、バッファ層及び第2の誘電体層を貫通して延びる第2の底部貫通孔と、第1の底部貫通孔に配置された第1の底部電極と、第2の底部貫通孔に配置された第2の底部電極と、第1の底部電極の上方に位置し、第1の幅を有する第1の磁気トンネル接合(MTJ)スタックと、第2の底部電極の上方に位置し、第1のMTJスタックと同じ厚さを有するが、第1の幅より大きい第2の幅を有する第2のMTJスタックと、を含む。【選択図】図1

Description

本開示は、半導体装置に関し、特に、半導体装置の製造方法に関する。
半導体集積回路(integrated circuit;IC)産業は、指数関数的成長を経験してきた。IC材料及び設計での技術的進歩により、前世代よりも小さく複雑な回路を持つICが既に数世代生み出されている。ICの進化過程において、機能密度(即ち、チップ面積当たりの内部相互接続装置の数)は一般的に増加したが、幾何学的寸法(即ち、製造プロセスを使用して形成可能な最小の素子(又は配線))は減少した。このようなスケールダウンのプロセスは、一般的に、生産効率を高めること及び関連コストの削減によって利益を与える。このようなスケールダウンにより、また処理及びIC製造の複雑さも増加する。
米国特許出願公開第2020/0020375号明細書 米国特許出願公開第2020/0136027号明細書 米国特許出願公開第2021/0202574号明細書 米国特許出願公開第2022/0069199号明細書
現代の電子装置には、データを記憶するための揮発性又は不揮発性の電子メモリが含まれている。揮発性メモリ装置は、通電される時にデータを記憶するが、不揮発性メモリ装置は、通電されていない状況で記憶するデータを保持することができる。磁気抵抗ランダムアクセスメモリ(Magneto-resistive random-access memory;MRAM)は、次世代の不揮発性メモリ技術の有望な候補となる。MRAM装置は、様々な設計要件を満たすために、様々な形態であってよい。単一のチップに異なるMRAM装置を集積して製造する場合、異なる構成にするという課題を提示することができる。したがって、従来のMRAM集積方式は、一般的に、所望の目的を達成するのに十分であるが、全ての態様では完全に満足できるわけではない。
幾つかの実施形態において、本開示は、半導体装置であって、第1の誘電体層に配置された第1の導電性フィーチャ及び第2の導電性フィーチャと、第1の誘電体層の上方に配置されたバッファ層と、バッファ層の上方に配置された第2の誘電体層と、第1の方向に沿って第1の導電性フィーチャに結合するように、バッファ層及び第2の誘電体層を貫通して延びる第1の底部貫通孔と、第1の方向に沿って第2の導電性フィーチャに結合するように、バッファ層及び第2の誘電体層を貫通して延びる第2の底部貫通孔と、第1の底部貫通孔に配置された第1の底部電極と、第2の底部貫通孔に配置された第2の底部電極と、第1の底部電極の上方に位置する第1の磁気トンネル接合(magnetic tunnel junction;MTJ)スタックと、第2の底部電極の上方に位置する第2のMTJスタックと、を含み、第1のMTJスタック及び第2のMTJスタックは、第1の方向に沿って同じ厚さを有し、第1のMTJスタックは、第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ第2のMTJスタックは、第2の方向に沿って第2の幅を有し、第2の幅は、第1の幅より大きい半導体装置に関する。
幾つかの実施形態において、本開示は、半導体装置であって、第1のメモリ構造及び第2のメモリ構造を備え、第1のメモリ構造は、第1の底部電極と、第1の底部電極の上方に位置する第1の頂部電極と、第1の方向に沿って第1の底部電極と第1の頂部電極との間に介在している第1の磁気トンネル接合(magnetic tunnel junction;MTJ)スタックと、を含み、第2のメモリ構造は、第2の底部電極と、第2の底部電極の上方に位置する第2の頂部電極と、第1の方向に沿って第1の底部電極と第1の頂部電極との間に介在している第2のMTJスタックと、を含み、第1のMTJスタックは、第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ第2のMTJスタックは、第2の方向に沿って第2の幅を有し、第2の幅は、第1の幅より大きい半導体装置に関する。
幾つかの実施形態において、本開示は、方法であって、第1の誘電体層に配置される第1の導電性フィーチャ及び第2の導電性フィーチャと、第1の誘電体層の上方に位置する第2の誘電体層と、第1の方向に沿って第1の導電性フィーチャに結合するように、第2の誘電体層を貫通して延びる第1の底部貫通孔と、第1の方向に沿って第2の導電性フィーチャに結合するように、第2の誘電体層を貫通して延びる第2の底部貫通孔と、を含むワークを受ける工程を含み、底部電極層を、第1の底部貫通孔、第2の底部貫通孔、及び第2の誘電体層の上方に堆積する工程と、磁気トンネル接合(magnetic tunnel junction;MTJ)スタックを、底部電極層の上方に堆積する工程と、頂部電極層をMTJスタックの上方に堆積する工程と、ハードマスク層を頂部電極層の上方に堆積する工程と、第1の底部貫通孔の上方に第1のハードマスクパターンを直接形成し、且つ第2の底部貫通孔の上方に第2のハードマスクパターンを直接形成するようにハードマスク層をパターン化する工程と、第1の底部貫通孔の上方に第1のメモリ構造を直接形成し、且つ第2の底部貫通孔の上方に第2のメモリ構造を直接形成するように、第1のハードマスクパターン及び第2のハードマスクパターンをエッチングマスクとして、頂部電極層、MTJスタック、及び底部電極層をエッチングする工程と、を更に含み、第1のハードマスクパターンは、第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ第2のハードマスクパターンは、第2の方向に沿って第2の幅を有し、第2の幅は、第1の幅より大きい方法に関する。
本開示は、実質的なパフォーマンスの妥協なしに、同じIC上に異なるタイプのMRAM装置を同時に製造することを可能にするシングルIC MRAM集積化方式を提供する。
本開示は、以下の詳細な説明を添付図面と共に検討する場合に最適に理解される。注意すべきなのは、業界の標準仕様では、様々な特徴が比例して描画されず、説明のみを目的としていることである。実際には、様々な特徴の寸法は、明確にするために、任意に増減されてもよい。
本開示の1つ又は複数の態様による、異なるメモリ構造を有する半導体構造を形成するための方法を示すフローチャートである。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、単一の集積回路(integrated circuit;IC)装置の異なる領域に実施された異なるメモリ構造を模式的に説明する。 本開示の1つ又は複数の態様による、異なるメモリ構造を有する半導体構造を形成するための方法を示すフローチャートである。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、図1の方法による製造プロセスにおけるワークの部分断面図を示す。 本開示の1つ又は複数の態様による、単一の集積回路(integrated circuit;IC)装置の異なる領域に実施された異なるメモリ構造を模式的に説明する。
以下の開示内容は、提供された目的物の異なる特徴を実施するための多くの異なる実施例又は実例を提供する。以下、本開示を簡略化するために、素子及び配置の特定の例について説明する。勿論、これらは、例に過ぎず、制限的なものではない。例えば、以下の説明では、第1のフィーチャを第2のフィーチャの上方又は上に形成することは、第1のフィーチャと第2のフィーチャを直接接触するように形成する実施例を含んでよく、また、第1のフィーチャと第2のフィーチャを直接接触しないように追加のフィーチャを第1のフィーチャと第2のフィーチャとの間に形成してもよい実施例を含んでよい。なお、本開示は、様々な例では、符号及び/又は文字を繰り返してよい。この繰り返しは、簡単且つ明瞭にするためであり、それ自身は、説明される各種の実施例及び/又は配置の間の関係を指示しない。
また、本明細書において、記述しやすくするために、「下方」、「…の下」、「下」、「…の上」、「上」などの空間相対用語を使用してよく、これらによって、図示するように1つの素子又は特徴ともう1つ(複数)の素子又は特徴との関係を記述する。空間相対用語は、装置の使用時又は操作時における図面に描かれている配向以外の異なる配向を網羅することを意図する。他の形で機器を配向させてもよく(90度回転又は他の配向)、同様に、それに応じて本明細書において使用される空間相対記述語を解釈してよい。
なお、数又は数の範囲については、「約」、「おおよそ」、及び類似のもので表す場合、製造期間に固有する変化を考慮すると、当業者が理解するように、用語は合理的な範囲の数を網羅することを意図する。例えば、数又は数の範囲は、その数に関連する特性を持つ特徴の製造に関連する既知の製造許容差に基づいて、その数の+/-10%内等の合理的な範囲を網羅する。例えば、厚さ「約5nm」の材料層は4.25nm~5.75nmの寸法範囲を網羅してよく、当業者であれば、堆積材料層に関連する製造許容差が+/-15%であることは知られている。なお、本開示は、様々な実例では、符号及び/又は文字を繰り返してもよい。この繰り返しは、簡単且つ明瞭にするためのものであり、説明される各種の実施例及び/又は配置の間の関係を指示しない。
磁気抵抗ランダムアクセスメモリ(magneto-resistive random-access memory;MRAM)装置のビットユニットは、2つの電極(一般的には、底部電極及び頂部電極である)の間に垂直に配置される磁気トンネル接合(magnetic tunnel junction;MTJ)スタックを含む。MTJスタックは、トンネルバリア層によりフリー層から分離されたピニング層を含む。ピニング層の磁気方向は静的(即ち、一定)であり、フリー層の磁気方向は、ピニング層の磁気方向に対して並列構成と逆並列構成との間に切り替えることができる。並列構成は、データを第1のビット値(たとえば、論理「0」)としてデジタル的に格納するための低抵抗状態を提供する。逆並列構成は、データを第2のビット値(たとえば、論理「1」)としてデジタル的に格納するための高抵抗状態を提供する。2つの構成の切り替えは、MTJスタックの2つの磁気状態を提供する。MTJスタックの磁性状態は、適切な振幅及び極性の書き込み電流を印加することにより設定され、又は読み出し電流を印加して、電圧を読み出し回路に印加することにより読み出される。ビットセルの抵抗状態に基づいて、電圧は高くても低くてもよい。
幾つかの実施形態において、MRAMのビットユニットは、基板工程(front-end-of-line;FEOL)レベルに配置される駆動トランジスタにより制御される。駆動トランジスタは、ソースフィーチャ、ドレインフィーチャ、ソースフィーチャとドレインフィーチャとの間のアクティブ領域、及びアクティブ領域の上方に位置するゲート構造を含む。ビットユニットが駆動トランジスタの上方の前側内部接続構造に配置されている場合、頂部電極は、ビット線(bit line;BL)に結合され、底部電極は、一連の島状金属フィーチャ及びコンタクトビアを介して、駆動トランジスタのドレインフィーチャのうちの1つに結合される。ソース線(source line;SL)は、駆動トランジスタのソースフィーチャに電気的に結合される。駆動トランジスタのゲート構造は、ワード線(word line;WL)に結合される。イネーブル電圧を印加することによりワード線(word line;WL)を選択する場合、駆動トランジスタはオンになる。ビットユニットは、ビット線(bit line;BL)とソース線(source line;SL)との間に結合される。
MRAM装置は、様々な設計要件に応じて、様々な特徴を有する。例えば、フラッシュ型(還流)MRAMは、優れた熱安定性を有し、且つその中に記憶されたデータは還流(加熱)プロセスを経ても失われる可能性が低い。還流型MRAMは、応答時間が100ナノ秒(nano-second;ns)未満であってよい。RAM系MRAMは、短期的な不揮発性を有し、且つ比較的小さな電流で高速に読み出し又は書き込みを行うことができる。RAM系MRAMは、20ns未満など、応答時間が短い。不揮発性MRAM(non-volatile MRAM;NvMRAM)装置は、還流型MRAMとRAM系MRAMとの中間の特徴を持つ。不揮発性MRAM装置は、優れた記憶保持性を有するが、比較的高い温度で動作する必要がない。NvMRAMは、応答時間が50ns未満であってよい。一回のみ書込可能(one-time-programmable;OTP)なMRAM装置は、書き込み電圧によって一回だけ書き込まれるように設計されている。書き込み電圧は、OTP MRAMのMTJスタックにおける誘電体層を不可逆的に破壊するほど、十分に高い。したがって、OTP MRAMに記憶されたデータを変更することができない。異なるMRAM装置は、構成も異なる。例えば、幾つかの実施形態において、還流型MRAMは、熱安定性を向上させるために、より厚いフリー層を有してよい。RAM系MRAMは、高速応答を実現するために、より薄いフリー層を有してよい。しかしながら、同じ基板に異なる厚さのフリー層を形成するには、フォトリソグラフィ工程を追加する必要があり、且つ追加コストが発生する可能性がある。妥当なコスト及び歩留まりで、単一のICに異なるMRAM装置を形成する必要がある。
本開示は、実質的なパフォーマンスの妥協なしに、同じIC上に異なるタイプのMRAM装置を同時に製造することを可能にするシングルIC MRAM集積化方式を提供する。妥当なコストで同時に製造することを可能にするために、シングルIC MRAM集積化方式の各々のMRAM装置内のフリー層を、均一な厚さとする。異なるMTJ臨界寸法(critical dimension;CD)を実施することにより、異なる熱安定性及び応答時間の要件を満たす。MRAM装置の切り替えは電流密度に依存するため、異なる臨界寸法のMTJスタックを実施すると電流密度を変更することができ、且つそれによって応答時間が変更される。幾つかの実施例において、還流型MRAMのMTJ CDは、NvMRAMのMTJ CDよりも大きく、且つOTP MRAMのMTJ CDは、RAM系MRAM又はNvMRAMのMTJ CDよりも大きい。あるシングルIC MRAM集積化方式において、還流型MRAM、NvMRAM及びOTP MRAMは、1つのICに集積される。別のシングルIC MRAM集積化方式において、RAM系MRAM、OTP MRAM及びNvMRAMは、1つのICに集積される。
以下、添付図面を参照しながら、本開示の各態様について詳細に説明する。ここで、図1は、本開示の実施例による、IC装置構造を形成する方法100を示すフローチャートである。以下、図2~図8に合わせて方法100を説明し、図2~図8は、方法100の各実施例による異なる製造段階でのワーク200の部分断面図である。図10は、本開示の実施例によるIC装置構造を形成する方法500を示すフローチャートである。以下、図11~図17に合わせて方法500を説明し、図11~図17は、方法500の各実施例による異なる製造段階でのワーク200の部分断面図である。方法100及び500は、例に過ぎず、本開示を方法100又は500において明確とした内容に制限しようとするものではない。方法100又は方法500の前、間、及び後に追加の工程を提供することができ、且つ方法の追加の実施例について、幾つかの前述した工程を置換、除去、又は移行することもできる。単純化するために、本明細書では全ての工程について説明しているわけではない。ワーク200は、製造プロセスが終了すると半導体構造200又は半導体装置200として製造されるため、ワーク200は、コンテキストのニーズに応じて、半導体構造200又は半導体装置200と呼ばれてもよい。
図1及び図2を参照し、方法100は、ワーク200を受けるブロック102を含む。ワーク200は、基板201及び基板201の上方に位置する内部接続構造203を含む。内部接続構造203の約5~19個の金属層(又は金属化層)のうちのいずれかに、メモリ装置又はストレージ構造を形成するように、方法100を実施することができる。内部接続構造203は、裏側内部接続構造であってもよく、前側内部接続構造であってもよい。以下に更に説明するように、基板201は、半導体材料で形成され、且つ基板工程(front-end-of-line;FEOL)を経たものである。このようなFEOLプロセスは、様々な機能に対応するように様々なトランジスタを形成することができる。例えば、これらの様々なトランジスタは、メモリ装置用の中央処理ユニット(central processing unit;CPU)、グラフィック処理ユニット(graphics process unit;GPU)、アクセストランジスタを形成することができる。トランジスタは、プレーナ型トランジスタであってもよく、マルチゲート型トランジスタであってもよい。マルチゲート装置は通常、チャネル領域の複数側の上方に配置される、ゲート構造を有する装置又はその部分を指す。フィン状電界効果トランジスタ(Fin-like field effect transistor;FinFET)及びマルチブリッジチャネル(multi-bridge-channel;MBC)トランジスタは、マルチゲート装置の実例であり、これらのマルチゲート装置は、高効率且つ低リーク応用の一般的で有望な候補となっている。FinFETは、複数側にあるゲートに囲まれた隆起チャネル(例えば、ゲートは、基板から延びる半導体材料の「フィン」の頂部及び側壁を囲む)を有する。MBCトランジスタは、チャネル領域の一部又は全部を囲むように延びて、両側又はそれ以上のチャネル領域にアクセス可能なゲート構造を有する。そのゲート構造がチャネル領域を囲むため、MBCトランジスタは、サラウンディングゲートトランジスタ(surrounding gate transistor;SGT)又はゲートオールアラウンド(gate-all-around;GAA)トランジスタと呼ばれてもよい。MBCトランジスタのチャネル領域は、ナノワイヤ、ナノシート、又は他のナノ構造で形成されることができるため、MBCトランジスタは、ナノワイヤトランジスタ又はナノシートトランジスタと呼ばれてもよい。
幾つかの実施例において、基板201はシリコン(Si)を含む。代替的又は追加的に、基板201は、ゲルマニウム(Ge)等の別の元素半導体、炭化ケイ素、ヒ化ガリウム、リン化ガリウム、リン化インジウム、ヒ化インジウム及び/又はアンチモン化インジウム等の化合物半導体、シリコンゲルマニウム(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及び/又はGaInAsP等の合金半導体、又はそれらの組み合わせを含む。幾つかの実施形態において、基板201は、一種又は複数種のIII-V族材料、一種又は複数種のII-IV族材料、又はそれらの組み合わせを含む。幾つかの実施形態において、基板201は、例えばシリコンオンインシュレータ(silicon-on-insulator;SOI)基板、シリコンゲルマニウムオンインシュレータ(silicon germanium-on-insulator;SGOI)基板、又はゲルマニウムオンインシュレータ(germanium-on-insulator;GeOI)基板等の半導体オンインシュレータ基板である。半導体オンインシュレータ基板は、酸素注入分離(separation by implantation of oxygen;SIMOX)、ウェハ接合、及び/又は他の適切な方法で製造することができる。方法100は、基板201にFEOLプロセスを行ったワーク200に対して行われるため、基板201は、図2において破線のみで示され、且つ図3~図8において省略される。
内部接続構造203は、幾つかの金属層を含むが、メモリ装置/ストレージ構造は、第4の金属層(M4)、第5の金属層(M5)、又は第6の金属層(M6)等の金属層のうちのいずれかに形成することができる。内部接続構造203は、前側内部接続構造又は裏側内部接続構造であってよいため、本開示のメモリ装置/ストレージ構造は、第4の前側金属層、第5の前側金属層、第6の前側金属層、第4の裏側金属層、第5の裏側金属層、第6の裏側金属層に形成することができる。図2を参照し、第1の誘電体層202における導電性フィーチャ204は、メモリ装置の下方の金属層を表す。第1の誘電体層202は、例えばオルトケイ酸テトラエチル(tetraethyl orthosilicate;TEOS)酸化物の材料、非ドープケイ酸塩ガラス又はボロリンケイ酸塩ガラス(borophosphosilicate glass;BPSG)、溶融ケイ石ガラス(fused silica glass;FSG)、リンケイ酸塩ガラス(phosphosilicate glass;PSG)、ボロドープケイ酸ガラス(boron doped silicon glass;BSG)、及び/又は他の適切な誘電体材料のドープケイ酸シリコンを含んでよい。導電性フィーチャ204は金属線であってもよく、且つルテニウム(Ru)、銅(Cu)、ニッケル(Ni)、コバルト(Co)、又はタングステン(W)を含んでよい。一実施例において、導電性フィーチャ204は銅(Cu)を含む。導電性フィーチャ204は、金属線又はコンタクトビアを含んでよい。
図2を依然として参照し、ワーク200は、第1の領域10、第2の領域20、第3の領域30及び第4の領域40を含む。これらの領域は、互いに隣接していてもよく、互いに離間していてもよい。これらの異なる領域は、メモリ装置に対して異なる構成を有してもよい。幾つかの実施例において、第1の領域10は、RAM系MRAMを形成するための領域であってもよく、第2の領域20は、NvMRAMを形成するための領域であってもよく、第3の領域30は、OTP MRAMを形成するための領域であってもよく、且つ第4の領域40は、任意のMRAM構造を含まない領域であってもよい。
図1及び図2を参照し、方法100は、バッファ層206及び第2の誘電体層208をワーク200の上方に堆積するブロック104を含む。バッファ層206は、導電性フィーチャ204のエレクトロマイグレーションを抑制するように、炭化ケイ素(SiC)又はシリコンオキシカーバイド(SiOC)を含んでよい。第2の誘電体層208は、酸化シリコンを含んでよい。一実施例において、第2の誘電体層208は、シリコンリッチ酸化物(silicon-rich oxide;SRO)を含んでよく、且つ第1の誘電体層202とは異なる。本明細書に使用されるように、シリコンリッチ酸化物におけるシリコン含有量は、二酸化ケイ素におけるケイ素の化学量論比より小さい。本開示の実施例において、第1の誘電体層202におけるシリコン含有量は、第2の誘電体層208におけるシリコン含有量より大きい。化学気相堆積法(chemical vapor deposition;CVD)を用いてバッファ層206を堆積することができる。低圧CVD法(low-pressure CVD;LPCVD)又はCVD法を用いて第2の誘電体層208を堆積することができる。
図1及び図2を参照し、方法100は、第1の底部貫通孔210-1、第2の底部貫通孔210-2、及び第3の底部貫通孔210-3をそれぞれ第1の領域10、第2の領域20及び第3の領域30の上方に形成するブロック106を含む。図2に明確に示されていないが、ブロック106の操作は、貫通孔開口を形成することにより、導電性フィーチャ204を露出させ、且つ貫通孔開口内に第1の底部貫通孔210-1、第2の底部貫通孔210-2、及び第3の底部貫通孔210-3を形成することを含んでよい。貫通孔開口を形成することは、フォトリソグラフィープロセスとエッチングプロセスの組み合わせを含む。実例のプロセスにおいて、ハードマスク層を第2の誘電体層208の上方に堆積する。フォトレジスト層を、ハードマスク層の上方に堆積する。次に、フォトレジスト層を、フォトマスクを透過するか又はフォトマスクから反射されたパターン化放射に露光し、露光後の焼成プロセスにおいてフォトレジスト層を焼成し、現像剤溶液においてフォトレジスト層を現像し、次に、フォトレジスト層を洗浄することにより、パターン化フォトレジスト層を形成する。次に、パターン化フォトレジスト層をエッチングマスクとして、ハードマスク層をエッチングすることにより、パターン化ハードマスク層を形成する。次に、パターン化ハードマスク層をエッチングマスクとして、第2の誘電体層208及びバッファ層206をエッチングする。第2の誘電体層208及びバッファ層206のエッチングは、アルゴン(Ar)、フッ素含有エッチャント(例えばSF、NF、CH、CHF、C及び/又はC)、酸素含有エッチャント、塩素含有エッチャント(例えばCl、CHCl、CCl及び/又はBCl)、臭素含有エッチャント(例えばHBr及び/又はCHBr)、ヨウ素含有エッチャント、又はそれらの組み合わせを使用したドライエッチングプロセスを含んでよい。
貫通孔開口を形成した後、貫通孔開口を充填するように金属充填層をワーク200の上方に堆積する。金属充填層は、窒化チタン(TiN)、ルテニウム(Ru)、銅(Cu)、ニッケル(Ni)、コバルト(Co)、又はタングステン(W)を含んでよい。一実施例において、金属充填層は窒化チタン(TiN)を含んでよい。物理蒸着法(physical vapor deposition;PVD)、CVD法、無電解めっき法、電解めっき法、又は適切な方法を用いて金属充填層を堆積することができる。金属充填層を堆積した後、第2の誘電体層208を露出させるために、化学機械研磨(chemical mechanical polishing;CMP)プロセスで、ワーク200を余分な金属充填層を除去するように平坦化する。この時、第1の領域10に第1の底部貫通孔210-1を形成することにより、第1の領域10における導電性フィーチャ204に電気的及び物理的に結合し、第2の領域20に第2の底部貫通孔210-2を形成することにより、第2の領域20における導電性フィーチャ204に電気的及び物理的に結合し、且つ第3の領域30に第3の底部貫通孔210-3を形成することにより、第3の領域30における導電性フィーチャ204に電気的及び物理的に結合する。注意すべきなのは、第4の領域40の上方に底部貫通孔が形成されていないことである。
図1及び図2を参照し、方法100は、底部電極層212をワーク200の上方に堆積し、第2の誘電体層208、第1の底部貫通孔210-1、第2の底部貫通孔210-2、及び第3の底部貫通孔210-3の上方に堆積するブロック108を含む。底部電極層212は、単層であってもよく、多層であってもよい。底部電極層212は単層である場合、窒化チタン(TiN)又は窒化タンタル(TaN)を含んでよい。底部電極層212は多層である場合、窒化タンタル(TaN)層及び窒化チタン(TiN)層を含んでよい。PVD法又はCVD法を用いて底部電極層212を堆積することができる。底部電極層212全体をワーク200の上方に堆積することは、第1の底部貫通孔210-1、第2の底部貫通孔210-2、第3の底部貫通孔210-3、及び第2の誘電体層208の上面の上方に堆積することを含む。
図1及び図2を参照し、方法100は、磁気トンネル接合(magnetic tunnel junction;MTJ)スタック1000を底部電極層212の上方に堆積するブロック110を含む。第1のMTJスタック1000は、底部電極層212の上方に位置するピニング層214と、ピニング層214の上方に位置するトンネルバリア層216と、トンネルバリア層216の上方に位置するフリー層218と、フリー層218の上方に位置するメンテナンス層220と、メンテナンス層220の上方に配置されるカバー層222と、を含む。ピニング層214は、コバルト鉄(CoFe)、コバルト鉄ホウ素(CoFeB)、又はコバルト白金(Co-Pt)合金等の強磁性材料を含んでよい。幾つかの代替実施例において、ピニング層214は、CoFeTa、NiFe、Co、CoFe、CoPt、Ni、Co及びFeの合金、白金マンガン(PtMn)、イリジウムマンガン(IrMn)、ロジウムマンガン(RhMn)、鉄マンガン(FeMn)、又はOsMnを含んでよい。トンネルバリア層216は、酸化マグネシウム(MgO)、酸化チタン(TiO)、酸化アルミニウムチタン(AlTiO)、酸化マグネシウム亜鉛(MgZnO)、酸化アルミニウム(AlO)、酸化亜鉛(ZnO)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、又は酸化マグネシウムタンタル(MgTaO)からなる群から選択される金属酸化物で形成してよい。1つの実施例において、トンネルバリア層216は酸化マンガンで形成される。フリー層218は、強磁性材料で形成され、且つコバルト鉄ボロン(CoFeB)を含んでよい。メンテナンス層220は、酸化マグネシウム(MgO)、酸化チタン(TiO)、酸化アルミニウムチタン(AlTiO)、酸化マグネシウム亜鉛(MgZnO)、酸化アルミニウム(AlO)、酸化亜鉛(ZnO)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、又は酸化マグネシウムタンタル(MgTaO)からなる群から選択される金属酸化物で形成してよい。一実施例において、メンテナンス層220は酸化マンガンを含んでよい。カバー層222は、場合によってオプションであり、且つモリブデン(Mo)又はルテニウム(Ru)を含んでよい。ピニング層214、トンネルバリア層216、フリー層218、メンテナンス層220、及びカバー層222は、それぞれPVD法、CVD法、めっき法、無電解めっき法、又は適切な方法で堆積してよい。図に明確に示されていない幾つかの実施例において、カバー層222を省略することができ、且つ第1のMTJスタック1000は、ピニング層214、トンネルバリア層216、メンテナンス層220、及びフリー層218のみを含んでよい。以下、第2のMTJスタック2000については、代替方法500と共に説明する。第2のMTJスタック2000は、第1のMTJスタック1000のスタック順とは逆のスタック順を有する。方法100は、第1のMTJスタック1000ではなく、第2のMTJスタック2000を実施するために用いることもできることは理解すべきである。
図1及び図2を参照し、方法100は、頂部電極層224を第1のMTJスタック1000の上方に堆積するブロック112を含む。頂部電極層224は、単層であってもよく、多層であってもよい。頂部電極層224は単層である場合、窒化チタン(TiN)又は窒化タンタル(TaN)を含んでよい。頂部電極層224は多層である場合、窒化タンタル層及び窒化チタン層を含んでよい。PVD法又はCVD法を用いて頂部電極層224を堆積することができる。頂部電極層224全体をワーク200の上方に堆積することは、第1のMTJスタック1000の上方に堆積することを含む。
図1、図2及び図3を参照し、方法100は、第1の領域10の上方に第1のストレージ部250を形成し、第2の領域20の上方に第2のストレージ部255を形成し、且つ第3の領域30の上方に第3のストレージ部260を形成するように、頂部電極層224、第1のMTJスタック1000及び底部電極層212をパターン化するブロック114を含む。ブロック114において、第1のストレージ部250、第2のストレージ部255、及び第3のストレージ部260を形成するように、フォトリソグラフィプロセス及びエッチングプロセスを実行する。実例のプロセスにおいて、ハードマスク層226をワーク200の上方に堆積することは、頂部電極層224の上方に堆積することを含む。幾つかの実施例において、ハードマスク層226は、酸化シリコン、窒化シリコン、又はそれらの組み合わせを含んでよい。一実施例において、ハードマスク層226は、酸化シリコン(例えばオルトケイ酸テトラエチル(tetraethylorthosilicate;TEOS)酸化物)で形成され、且つスピンコーティング法又は流動性CVD法(flowable CVD;FCVD)を用いて堆積してよい。次に、フォトレジスト層をハードマスク層226の上方に堆積する。次に、フォトレジスト層を、フォトマスクを透過するか又はフォトマスクから反射されたパターン化放射に露光し、露光後の焼成プロセスにおいてフォトレジスト層を焼成し、現像剤溶液においてフォトレジスト層を現像し、次に、フォトレジスト層を洗浄することにより、パターン化フォトレジスト層を形成する。図2に示すように、次に、パターン化フォトレジスト層をエッチングマスクとして、ハードマスク層226をエッチングすることにより、第1の領域10の上方に第1のハードマスクパターン226-1を形成し、第2の領域20の上方に第2のハードマスクパターン226-2を形成し、且つ第3の領域30の上方に第3のハードマスクパターン226-3を形成する。次に、これらのパターン化ハードマスクパターンを、エッチングマスクとして、頂部電極層224、第1のMTJスタック1000、底部電極層212、及び第2の誘電体層208をエッチングする。ブロック114におけるエッチングは、イオンビームエッチング(Ion beam etching;IBE)300を含んでよい。MTJスタックのパターン化について、IBEは、化学的不純物をMTJスタックに導入しにくいため、反応性イオンエッチング(reactive ion etching;RIE)よりも好ましい。不純物は、ストレージ部の性能を劣化させる。IBE300は、例えばRF又はDC電力で生成されたAr、Kr、Xe及びNe等、一種又は複数種の不活性ガスイオンを使用することができる。IBEは一般的にワーク200の回転を含むことは理解すべきである。
様々な装置特徴を有するメモリ装置を形成するために、ストレージ部は様々な寸法を有する。観察によれば、同じMTJスタックを与える場合、大きなフリー層を有するストレージ部は、小さなフリー層を有するストレージ部よりも優れた熱安定性を示す。また、小さなフリー層を有するストレージ部は、大きなフリー層を有するストレージ部よりも短い応答時間を提供する。垂直方向に沿って観察する際にストレージ部が円形の形状を有する幾つかの実施例において、ストレージ部の臨界寸法(critical dimension;CD)とは、フリー層の直径を指すことができる。図2に示される幾つかの実施例において、異なるストレージ部の直径(又は寸法)を実現するために、異なる寸法のハードマスクパターンを実施する。図2に示すように、第1のハードマスクパターン226-1は第1の寸法d1を有し、第2のハードマスクパターン226-2は第2の寸法d2を有し、且つ第3のハードマスクパターン226-3は第3の寸法d3を有する。第1の寸法d1は、第2の寸法d2と同様であってもよい。第3の寸法d3は、第1の寸法d1又は第2の寸法d2のいずれよりも大きい。
図3に示すように、第1のストレージ部250、第2のストレージ部255、及び第3のストレージ部260のそれぞれが小さい頂部電極寸法及び大きい底部部材寸法を有するように、IBE300により錐形側壁を形成することができる。第1のストレージ部250は、頂部電極層224で形成された第1の頂部電極224-1を含む。第2のストレージ部255は、頂部電極層224で形成された第2の頂部電極224-2を含む。第3のストレージ部260は、頂部電極層224で形成された第3の頂部電極224-3を含む。幾つかの実施例において、第1のストレージ部250は、第1のMTJ寸法D1を特徴とすることができ、該第1のMTJ寸法D1は、実質的に第1のストレージ部250におけるフリー層218の寸法(又は直径)に類似することができる。第2のストレージ部255は、第2のMTJ寸法D2を特徴とすることができ、該第2のMTJ寸法D2は、実質的に第2のストレージ部255におけるフリー層218の寸法(又は直径)に類似することができる。第3のストレージ部260は、第3のMTJ寸法D3を特徴とすることができ、該第3のMTJ寸法D3は、実質的に第3のストレージ部260におけるフリー層218の寸法(又は直径)に類似することができる。幾つかの実施形態において、第1のMTJ寸法D1は、第2のMTJ寸法D2に類似することができる。第3のMTJ寸法D3は、第1のMTJ寸法D1又は第2のMTJ寸法D2のいずれよりも大きくてもよい。幾つかの場合、第1のMTJ寸法D1は、約20nmと約50nmとの間であってもよく、第2のMTJ寸法D2は、約20nmと約55nmとの間であってもよく、且つ第3のMTJ寸法D3は、約60nmと約85nmとの間であってもよい。
図1、図4、図5及び図6を参照し、方法100は、パッシベーション構造を、第1のストレージ部250、第2のストレージ部255、及び第3のストレージ部260の上方に形成するブロック116を含む。パッシベーション構造は、ストレージ部の側壁に沿って配置されたスペーサ層228、スペーサ層228の上方に位置するエッチストップ層(etch stop layer;ESL)230、及びESL230の上方に位置する第3の誘電体層232を含んでよい。図に明確に示されていないが、ブロック116における操作は、スペーサ層228をブランケット堆積し、スペーサ層228をエッチバックすることにより、頂部に面する表面に位置するスペーサ層228(図4に示す)を除去し、ESL230をスペーサ層228の上方に堆積し、第3の誘電体層232を堆積することを含んでよい。幾つかの実施例において、スペーサ層228は、窒化ケイ素、酸化ケイ素、又は適切な材料を含んでよく、且つCVD法又はALD法を用いて堆積してよい。一実施例において、スペーサ層228は窒化シリコンを含んでよい。ESL230は、スペーサ層228よりも耐エッチング性が高い酸化アルミニウム又は金属酸化物を含んでよい。ESL230は、CVD法又はALD法で堆積してよい。第3の誘電体層232は、例えばオルトケイ酸テトラエチル(tetraethylorthosilicate;TEOS)酸化物の材料、非ドープケイ酸塩ガラス又はボロリンケイ酸塩ガラス(borophosphosilicate glass;BPSG)、溶融ケイ石ガラス(fused silica glass;FSG)、リンケイ酸塩ガラス(phosphosilicate glass;PSG)、ボロドープケイ酸ガラス(boron doped silicon glass;BSG)、及び/又は他の適切な誘電体材料のドープケイ酸シリコンを含んでよい。幾つかの場合、第3の誘電体層232は、スピンコーティング法又はFCVD法を用いて堆積してよい。図6に示すように、スペーサ層228は、第1の領域10、第2の領域20、及び第3の領域30の上方に位置する第2の誘電体層208、底部電極212の側壁、ピニング層214、トンネルバリア層216、フリー層218、メンテナンス層220、及びストレージ部のカバー層222と物理的に接触する。ESL230は、第2の誘電体層208、スペーサ層228、及び頂部電極と物理的に接触する。図5に示されている幾つかの実施例において、ESL230は、第4の領域40の上方に形成されず、それは、第4の領域40の上方にESL230が存在すると、第4の領域40における導電性フィーチャ204との良好な電気的接続を阻害するからである。
図1、図7及び図8を参照し、方法100は、他のプロセスを実行するブロック118を含む。このような他のプロセスは、第1のコンタクト開口235-1、第2のコンタクト開口235-2、第3のコンタクト開口235-3、第4のコンタクト開口235-4、及び第5のコンタクト開口235-5(図7に示す)を形成し、且つ他のコンタクトフィーチャ(図8に示す)を形成するように金属充填層をコンタクト開口に堆積することを含んでよい。フォトリソグラフィプロセス及びエッチングプロセスは、コンタクト開口を形成するために用いることができる。実例のプロセスにおいて、第5のコンタクト開口235-5は、第4の領域40の上方に形成され、第1の領域10、第2の領域20及び第3の領域30は、フォトレジスト層、底部反射防止塗布(bottom antireflective coating;BARC)層等のパターン化膜により保護される。パターン化膜を除去した後、図7に示すように、フォトリソグラフィプロセス及びエッチングプロセスを用いて第3の誘電体層232、ESL230、第2の誘電体層208、及びバッファ層206を貫通し、第1のコンタクト開口235-1、第2のコンタクト開口235-2及び第3のコンタクト開口235-3、及び第5のコンタクト開口235-5を形成する。第4の領域40における導電性フィーチャ204は、第4のコンタクト開口235-4に露出している。第1のコンタクト開口235-1は、第1の頂部電極224-1に露出している。第2のコンタクト開口235-2は、第2の頂部電極224-2に露出している。第3のコンタクト開口235-3は、第3の頂部電極224-3に露出している。第4の領域40にストレージ部が存在しないため、第4のコンタクト開口235-4及び第5のコンタクト開口235-5は、共同して第4の領域40において貫通開口を形成する。ブロック118におけるエッチングは、アルゴン(Ar)、フッ素含有エッチャント(例えばSF、NF、CH、CHF、C及び/又はC)、酸素含有エッチャント、塩素含有エッチャント(例えばCl、CHCl、CCl及び/又はBCl)、臭素含有エッチャント(例えばHBr及び/又はCHBr)、ヨウ素含有エッチャント、又はそれらの組み合わせを使用したドライエッチングプロセスを含んでよい。
次に、図8を参照し、金属充填層を、次にPVD法、CVD法、電気めっき法又は無電解めっき法を用いて、コンタクト開口の上方に堆積する。金属充填層は、ルテニウム(Ru)、銅(Cu)、ニッケル(Ni)、コバルト(Co)、又はタングステン(W)を含んでよい。一実施例において、金属充填層は銅(Cu)を含んでよい。金属充填層を堆積した後、第3の誘電体層232の上方に位置する余分な金属充填層を除去するために、CMPプロセスのような平坦化プロセスを実行する。CMPプロセスの後、第1の頂部コンタクトビア236-1を、第1の頂部電極224-1に電気的に結合するために、第1のストレージ部250の上方に形成し、第2の頂部コンタクトビア236-2を、第2の頂部電極224-2に電気的に結合するために、第2のストレージ部255の上方に形成し、第3の頂部コンタクトビア236-3を、第3の頂部電極224-3に電気的に結合するために、第3のストレージ部260の上方に形成し、且つ第4の頂部コンタクトビア236-4を、第4の領域40における導電性フィーチャ204に結合するために、第3の誘電体層232、第2の誘電体層208及びバッファ層206を貫通して延びるように形成する。明確に示されていないが、金属充填層を堆積する前に、コンタクト開口の上方にバリア層を堆積してよい。バリア層は、窒化チタン(TiN)又は窒化タンタル(TaN)を含んでよい。また、方法100は、図8に示されているワーク200の上方に他の金属層を形成し続けてよい。
図9は、プロセッサ領域402、RAM系MRAM領域404、NvMRAM領域406、及びOTP MRAM領域408を含む第1の集積回路(integrated circuit;IC)チップ400の模式的平面図である。プロセッサ領域402は、中央処理ユニット(central processing unit;CPU)を形成するようにFEOLレベルにあるコアトランジスタを含んでよい。RAM系MRAM領域404は、第1の領域10に対応してよく、且つBEOLレベルにある第1のストレージ部250を含んでよい。NvMRAM領域406は、第2の領域に対応してよく、且つBEOLレベルにある第2のストレージ部255を含んでよい。OTP MRAM領域408は、第3の領域30に対応してよく、且つBEOLレベルにある第3のストレージ部260を含んでよい。上述したように、RAM系MRAM領域404、NvMRAM領域406、及びOTP MRAM領域408におけるストレージ部は、同じ第1のMTJスタック1000を含んでよく、且つ同時に形成してよい。第1のICチップ400は、短い応答時間を有するため、人工知能(artificial intelligence;AI)に適用する。MRAMの熱安定性は、AI適用にとってあまり注目されていない。第1のICチップ400において短い応答時間が求められるため、第1のMTJスタック1000におけるフリー層218は、スペクトルの薄い側に位置してよい。幾つかの場合、第1のMTJスタック1000におけるフリー層218は、約1.5nmと約3.0nmとの間であってもよい。
上述したように、ワーク200の第1の領域10、第2の領域20、及び第3の領域30の上方に第1のストレージ部250、第2のストレージ部255、及び第3のストレージ部260を形成するように、方法100を実行することができる。第1のストレージ部250、第2のストレージ部255、及び第3のストレージ部260のそれぞれは、同じ第1のMTJスタック1000で形成される。本開示は、ワーク200の第5の領域50、第2の領域20、及び第3の領域30の上方に、第4のストレージ部265、第2のストレージ部255、及び第3のストレージ部260を形成する方法500を更に提供する。第4のストレージ部265、第2のストレージ部255、及び第3のストレージ部260のそれぞれは、同じ第2のMTJスタック2000で形成され、該第2のMTJスタック2000は第1のMTJスタック1000と異なる。以下、図11~図17におけるワーク200の部分断面図を合わせて、方法500について説明する。方法500及び方法100は、類似の操作を共有してよく、且つ簡潔のために、重複する性質に対する説明を意図的に省略するか又は削減してよい。
図10及び図11を参照し、方法500は、ワーク200を受けるブロック502を含む。ブロック502における操作は、ブロック102における操作と同様である。したがって、ブロック502における操作の詳細な説明を省略した。
図10及び図11を参照し、方法500は、バッファ層206及び第2の誘電体層208をワーク200の上方に堆積するブロック504を含む。ブロック504における操作は、ブロック104における操作と同様である。したがって、ブロック504における操作の詳細な説明を省略した。
図10及び図11を参照し、方法500は、第4の底部貫通孔210-4、第2の底部貫通孔210-2、及び第3の底部貫通孔210-3をそれぞれ第5の領域50、第2の領域20及び第3の領域30の上方に形成するブロック506を含む。ブロック506における操作は、ブロック106における操作と同様である。したがって、ブロック506における操作の詳細な説明を省略した。方法500に関連するワーク200は、第1の領域10ではなく、第5の領域50を含むことに注意すべきである。この命名法を採用して、異なるストレージ部である第4のストレージ部265を第5の領域50の上方に形成することを示す。同様に、第4の底部貫通孔210-4は、第4のストレージ部265との関連を示すために用いられ、該第4の底部貫通孔210-4が、第1の底部貫通孔210-1、第2の底部貫通孔210-2、又は第3の底部貫通孔210-3と同じ寸法を共用しても同じである。
図10及び図11を参照し、方法500は、底部電極層212を、第2の誘電体層208、第4の底部貫通孔210-4、第2の底部貫通孔210-2、及び第3の底部貫通孔210-3の上方に堆積するように、ワーク200の上方に堆積するブロック508を含む。ブロック508における操作は、ブロック108における操作と同様である。したがって、ブロック508における操作の詳細な説明を省略した。
図10及び図11を参照し、方法500は、第2の磁気トンネル接合(magnetic tunnel junction;MTJ)スタック2000を底部電極層212の上方に堆積するブロック510を含む。第2のMTJスタック2000は、第1のMTJスタック1000のスタック順とは逆のスタック順を有する。図11に示すように、第2のMTJスタック2000は、底部電極層212の上方に位置するカバー層222、カバー層222の上方に位置するメンテナンス層220、メンテナンス層220の上方に位置するフリー層218、フリー層218の上方に位置するトンネルバリア層216、及びトンネルバリア層216の上方に位置するピニング層214を含む。ピニング層214は、コバルト鉄(CoFe)、コバルト鉄ホウ素(CoFeB)、又はコバルト白金(Co-Pt)合金等の強磁性材料を含んでよい。幾つかの代替実施例において、ピニング層214は、CoFeTa、NiFe、Co、CoFe、CoPt、Ni、Co及びFeの合金、白金マンガン(PtMn)、イリジウムマンガン(IrMn)、ロジウムマンガン(RhMn)、鉄マンガン(FeMn)、又はOsMnを含んでよい。トンネルバリア層216は、酸化マグネシウム(MgO)、酸化チタン(TiO)、酸化アルミニウムチタン(AlTiO)、酸化マグネシウム亜鉛(MgZnO)、酸化アルミニウム(AlO)、酸化亜鉛(ZnO)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、又は酸化マグネシウムタンタル(MgTaO)からなる群から選択される金属酸化物で形成することができる。一実施例において、トンネルバリア層216は酸化マンガンで形成される。フリー層218は、強磁性材料で形成され、且つコバルト鉄ボロン(CoFeB)を含んでよい。メンテナンス層220は、酸化マグネシウム(MgO)、酸化チタン(TiO)、酸化アルミニウムチタン(AlTiO)、酸化マグネシウム亜鉛(MgZnO)、酸化アルミニウム(AlO)、酸化亜鉛(ZnO)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、又は酸化マグネシウムタンタル(MgTaO)からなる群から選択される金属酸化物で形成してよい。一実施例において、メンテナンス層220は酸化マンガンを含んでよい。カバー層222は、場合によるオプションであってよく、且つモリブデン(Mo)又はルテニウム(Ru)を含んでよい。ピニング層214、トンネルバリア層216、フリー層218、メンテナンス層220、及びカバー層222のそれぞれは、PVD法、CVD法、めっき法、無電解めっき法、又は適切な方法で堆積してよい。図に明確に示されていない幾つかの実施例において、カバー層222を省略してよく、且つ第2のMTJスタック2000は、ピニング層214、トンネルバリア層216、メンテナンス層220、及びフリー層218のみを含んでよい。
図10及び図11を参照し、方法500は、頂部電極層224を第2のMTJスタック2000の上方に堆積するブロック512を含む。ブロック512における操作は、ブロック112における操作と同様である。したがって、ブロック512における操作の詳細な説明を省略した。
図10、図11及び図12を参照し、方法500は、第5の領域50の上方に第4のストレージ部265を形成し、第2の領域20の上方に第2のストレージ部255を形成し、且つ第3の領域30の上方に第3のストレージ部260を形成するように、頂部電極層224、第2のMTJスタック2000、及び底部電極層212をパターン化するブロック514を含む。ブロック514において、第4のストレージ部265、第2のストレージ部255、及び第3のストレージ部260を形成するように、フォトリソグラフィプロセス及びエッチングプロセスを実行する。実例のプロセスにおいて、ハードマスク層226をワーク200の上方に堆積することは、頂部電極層224の上方に堆積することを含む。幾つかの実施例において、ハードマスク層226は、酸化シリコン、窒化シリコン、又はそれらの組み合わせを含んでよい。一実施例において、ハードマスク層226は、酸化シリコン(例えばオルトケイ酸テトラエチル(tetraethylorthosilicate;TEOS)酸化物)で形成され、且つスピンコーティング法又は流動性CVD法(flowable CVD;FCVD)を用いて堆積してよい。次に、フォトレジスト層をハードマスク層の226上方に堆積する。次に、フォトレジスト層を、フォトマスクを透過するか又はフォトマスクから反射されたパターン化放射に露光し、露光後の焼成プロセスにおいてフォトレジスト層を焼成し、現像剤溶液においてフォトレジスト層を現像し、次に、フォトレジスト層を洗浄することにより、パターン化フォトレジスト層を形成する。図11に示すように、次に、パターン化フォトレジスト層をエッチングマスクとして、ハードマスク層226をエッチングすることにより、第1の領域10の上方に第4のハードマスクパターン226-4を形成し、第2の領域20の上方に第2のハードマスクパターン226-2を形成し、且つ第3の領域30の上方に第3のハードマスクパターン226-3を形成する。次に、これらのパターン化ハードマスクパターンを、エッチングマスクとして、頂部電極層224、第2のMTJスタック2000、底部電極層212、及び第2の誘電体層208をエッチングする。ブロック514におけるエッチングは、イオンビームエッチング(Ion beam etching;IBE)300を含んでよい。MTJスタックのパターン化について、IBEは、化学的不純物をMTJスタックに導入しにくいため、反応性イオンエッチング(reactive ion etching;RIE)よりも好ましい。不純物は、ストレージ部の性能を劣化させる。IBE300は、例えばRF又はDC電力で生成されたAr、Kr、Xe及びNe等の一種又は複数種の不活性ガスイオンを使用してよい。IBEは一般的にワーク200の回転を含むことは理解すべきである。
様々な装置性質を有するメモリ装置を形成するために、ストレージ部は様々な寸法を有する。観察によれば、同じMTJスタックを与える場合、大きなフリー層を有するストレージ部は、小さなフリー層を有するストレージ部よりも優れた熱安定性を示す。また、小さなフリー層を有するストレージ部は、大きなフリー層を有するストレージ部よりも短い応答時間を提供する。垂直方向に沿って観察する際にストレージ部が円形の形状を有する幾つかの実施例において、ストレージ部の臨界寸法(critical dimension;CD)とは、フリー層の直径を指すことができる。図11に示される幾つかの実施例において、異なるストレージ部の直径(又は寸法)を実現するように、異なる寸法のハードマスクパターンを実施する。図11に示すように、第4のハードマスクパターン226-4は第4の寸法d4を有し、第2のハードマスクパターン226-2は第2の寸法d2を有し、かつ第3のハードマスクパターン226-3は第3の寸法d3を有する。第4の寸法d4は、第2の寸法d2又は第3の寸法d3よりも大きい。第3の寸法d3は、第2の寸法d2よりも大きい。
図12に示すように、第4のストレージ部265、第2のストレージ部255、及び第3のストレージ部260のそれぞれに小さな頂部電極寸法及び大きな底部部材寸法を有するように、IBE300は錐形側壁を形成することができる。第4のストレージ部265は、頂部電極層224で形成された第4の頂部電極224-4を含む。第2のストレージ部255は、頂部電極層224で形成された第2の頂部電極224-2を含む。第3のストレージ部260は、頂部電極層224で形成された第3の頂部電極224-3を含む。幾つかの実施例において、第4のストレージ部265は、第4のMTJ寸法D4を特徴とすることができ、該第4のMTJ寸法D4は、実質的に第4のストレージ部265におけるフリー層218の寸法(又は直径)に類似することができる。第2のストレージ部255は、第2のMTJ寸法D2を特徴とすることができ、該第2のMTJ寸法D2は、実質的に第2のストレージ部255におけるフリー層218の寸法(又は直径)に類似することができる。第3のストレージ部260は、第3のMTJ寸法D3を特徴とすることができ、該第3のMTJ寸法D3は、実質的に第3のストレージ部260におけるフリー層218の寸法(又は直径)に類似することができる。幾つかの実施形態において、第4のMTJ寸法D4は、第2のMTJ寸法D2又は第3のMTJ寸法D3よりも大きい。第3のMTJ寸法D3は、第2のMTJ寸法D2よりも大きくてもよい。幾つかの場合、第4のMTJ寸法D4は、約75nmと約100nmとの間であってもよく、第2のMTJ寸法D2は、約20nmと約55nmとの間であってもよく、且つ第3のMTJ寸法D3は、約60nmと約85nmとの間であってもよい。
図10、図13、図14及び図15を参照し、方法500は、パッシベーション構造を、第4のストレージ部265、第2のストレージ部255、及び第3のストレージ部260の上方に形成するブロック516を含む。パッシベーション構造は、ストレージ部の側壁に沿って配置されたスペーサ層228、スペーサ層228の上方に位置するエッチストップ層(etch stop layer;ESL)230、及びESL230の上方に位置する第3の誘電体層232を含んでよい。図に明確に示されていないが、ブロック516における操作は、スペーサ層228をブランケット堆積し、スペーサ層228をエッチバックすることにより、頂部に面する表面に位置するスペーサ層228(図13に示す)を除去し、ESL230をスペーサ層228の上方に堆積し(図14に示す)、第3の誘電体層232を堆積する(図15に示す)ことを含んでよい。幾つかの実施例において、スペーサ層228は、窒化ケイ素、酸化ケイ素、又は適切な材料を含んでよく、且つCVD法又はALD法を用いて堆積してよい。一実施例において、スペーサ層228は窒化チタンを含んでよい。ESL230は、スペーサ層228よりも耐エッチング性が高い酸化アルミニウム又は金属酸化物を含んでよい。ESL230は、CVD法又はALD法で堆積してよい。第3の誘電体層232は、例えばオルトケイ酸テトラエチル(tetraethylorthosilicate;TEOS)酸化物の材料、非ドープケイ酸塩ガラス又はボロリンケイ酸塩ガラス(borophosphosilicate glass;BPSG)、溶融ケイ石ガラス(fused silica glass;FSG)、リンケイ酸塩ガラス(phosphosilicate glass;PSG)、ボロドープケイ酸ガラス(boron doped silicon glass;BSG)、及び/又は他の適切な誘電体材料のドープケイ酸シリコンを含んでよい。幾つかの場合、第3の誘電体層232は、スピンコーティング法又はFCVD法を用いて堆積してよい。図15に示すように、スペーサ層228は、第4の領域40、第2の領域20及び第3の領域30の上方に位置する第2の誘電体層208、底部電極212の側壁、ピニング層214、トンネルバリア層216、フリー層218、メンテナンス層220、及びストレージ部のカバー層222と物理的に接触する。ESL230は、第2の誘電体層208、スペーサ層228及び頂部電極と物理的に接触する。図14に示されている幾つかの実施例において、ESL230は、第4の領域40の上方に形成されず、それは、第4の領域40の上方にESL230が存在すると、第4の領域40における導電性フィーチャ204との良好な電気的接続を阻害するからである。
図10、図16及び図17を参照し、方法500は、他のプロセスを実行するブロック518を含む。このような他のプロセスは、第6のコンタクト開口235-6、第2のコンタクト開口235-2、第3のコンタクト開口235-3、第4のコンタクト開口235-4、及び第5のコンタクト開口235-5(図16に示す)を形成し、且つ他のコンタクト特徴(図17に示す)を形成するように金属充填層をコンタクト開口に堆積することを含んでよい。フォトリソグラフィプロセス及びエッチングプロセスは、コンタクト開口を形成するために用いることができる。実例のプロセスにおいて、第5のコンタクト開口235-5は、第4の領域40の上方に形成され、第5の領域50、第2の領域20、及び第3の領域30は、フォトレジスト層、底部反射防止塗布(bottom antireflective coating;BARC)層等のパターン化膜により保護される。パターン化膜を除去した後、図16に示すように、フォトリソグラフィプロセス及びエッチングプロセスを用いて第3の誘電体層232、ESL230、第2の誘電体層208、及びバッファ層206を貫通し、第6のコンタクト開口235-6、第2のコンタクト開口235-2及び第3のコンタクト開口235-3、及び第5のコンタクト開口235-5を形成する。第4の領域40の導電性フィーチャ204は、第4のコンタクト開口235-4に露出している。第6のコンタクト開口235-6は、第4の頂部電極224-4に露出している。第2のコンタクト開口235-2は、第2の頂部電極224-2に露出している。第3のコンタクト開口235-3は、第3の頂部電極224-3に露出している。第4の領域40にストレージ部が存在しないため、第4のコンタクト開口235-4及び第5のコンタクト開口235-5は、共同して第4の領域40において貫通開口を形成する。ブロック518におけるエッチングは、アルゴン(Ar)、フッ素含有エッチャント(例えばSF、NF、CH、CHF、C及び/又はC)、酸素含有エッチャント、塩素含有エッチャント(例えばCl、CHCl、CCl及び/又はBCl)、臭素含有エッチャント(例えばHBr及び/又はCHBr)、ヨウ素含有エッチャント、又はそれらの組み合わせを使用したドライエッチングプロセスを含んでよい。
次に、図17を参照し、金属充填層を、次にPVD法、CVD法、電気めっき法又は無電解めっき法を用いて、コンタクト開口の上方に堆積する。金属充填層は、ルテニウム(Ru)、銅(Cu)、ニッケル(Ni)、コバルト(Co)、又はタングステン(W)を含んでよい。一実施例において、金属充填層は銅(Cu)を含む。金属充填層を堆積した後、第3の誘電体層232の上方に位置する余分な金属充填層を除去するように、CMPプロセスのような平坦化プロセスを実行する。CMPプロセスの後、第5の頂部コンタクトビア236-5を、第4の頂部電極224-4に電気的に結合するために、第4のストレージ部265の上方に形成し、第2の頂部コンタクトビア236-2を、第2の頂部電極224-2に電気的に結合するために、第2のストレージ部255の上方に形成し、第3の頂部コンタクトビア236-3を、第3の頂部電極224-3に電気的に結合するために、第3のストレージ部260の上方に形成し、且つ第4の頂部コンタクトビア236-4を、第4の領域40における導電性フィーチャ204に結合するために、第3の誘電体層232、第2の誘電体層208及びバッファ層206を貫通して延びるように形成する。明確に示されていないが、金属充填層を堆積する前に、コンタクト開口の上方にバリア層を堆積してよい。バリア層は、窒化チタン(TiN)又は窒化タンタル(TaN)を含んでよい。また、方法500は、図17に示されているワーク200の上方に他の金属層を形成し続けてよい。
図18は、プロセッサ領域602、還流型MRAM領域610、NvMRAM領域606、及びOTP MRAM領域608を含む第2の集積回路(integrated circuit;IC)チップ600の模式的平面図である。プロセッサ領域602は、中央処理ユニット(central processing unit;CPU)を形成するようにFEOLレベルにあるコアトランジスタを含んでよい。還流型MRAM領域610は、第5の領域50に対応してよく、且つBEOLレベルにある第4のストレージ部265を含んでよい。NvMRAM領域606は、第2の領域20に対応してよく、且つBEOLレベルにある第2のストレージ部255を含んでよい。OTP MRAM領域608は、第3の領域30に対応してよく、且つBEOLレベルにある第3のストレージ部260を含んでよい。上述したように、還流型MRAM領域610、NvMRAM領域606、及びOTP MRAM領域608におけるストレージ部は、同じ第2のMTJスタック2000を含んでよく、且つ同時に形成してよい。第2のICチップ600は、熱安定性に優れ、且つ長時間にわたって記憶を保持するために用いられるため、マイクロコントローラユニット(micro-controller unit;MCU)に適用する。短い応答時間は、MCU適用にとってあまり注目されない。第2のICチップ600において熱安定性が求められるため、第2のMTJスタック2000におけるフリー層218は、スペクトルの厚い側に位置してよい。幾つかの場合、第2のMTJスタック2000におけるフリー層218は、約2.0nmと約3.5nmとの間であってもよい。
1つの例示的な態様において、本開示は、第1の誘電体層に配置された第1の導電性フィーチャ及び第2の導電性フィーチャと、第1の誘電体層の上方に配置されたバッファ層と、バッファ層の上方に配置された第2の誘電体層と、第1の方向に沿って第1の導電性フィーチャに結合するように、バッファ層及び第2の誘電体層を貫通して延びる第1の底部貫通孔と、第1の方向に沿って第2の導電性フィーチャに結合するように、バッファ層及び第2の誘電体層を貫通して延びる第2の底部貫通孔と、第1の底部貫通孔に配置された第1の底部電極と、第2の底部貫通孔に配置された第2の底部電極と、第1の底部電極の上方に位置する第1の磁気トンネル接合(magnetic tunnel junction;MTJ)スタックと、第2の底部電極の上方に位置する第2のMTJスタックと、を含み、第1のMTJスタック及び第2のMTJスタックは、第1の方向に沿って同じ厚さを有し、第1のMTJスタックは、第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ第2のMTJスタックは、第2の方向に沿って第2の幅を有し、第2の幅は、第1の幅より大きい半導体装置に関する。
幾つかの実施例において、第1のMTJスタックは、第1の底部電極の上方に位置するピニング層と、ピニング層の上方に位置するトンネルバリア層と、トンネルバリア層の上方に位置するフリー層と、を含む。幾つかの実施例において、ピニング層は、コバルト、鉄、ホウ素、又は白金を含み、トンネルバリア層は、酸化マグネシウムを含み、且つフリー層は、コバルト、鉄、又はホウ素を含む。幾つかの場合、第1のMTJスタックは、フリー層の上方に位置するメンテナンス層と、メンテナンス層の上方に位置するカバー層と、を更に含む。幾つかの実施形態において、メンテナンス層は酸化マグネシウムを含み、且つカバー層はモリブデン又はルテニウムを含む。幾つかの実施例において、第1の幅は、約20nmと約55nmとの間であり、且つ第2の幅は、約75nmと約100nmとの間である。幾つかの実施例において、バッファ層は炭化ケイ素を含む。幾つかの実施例において、第1の誘電体層はシリコンリッチなシリコン酸化物を含む。
他の例示的な態様において、本開示は、第1のメモリ構造及び第2のメモリ構造を備える半導体装置であって、第1のメモリ構造は、第1の底部電極と、第1の底部電極の上方に位置する第1の頂部電極と、第1の方向に沿って第1の底部電極と第1の頂部電極との間に介在している第1の磁気トンネル接合(magnetic tunnel junction;MTJ)スタックと、を含み、第2のメモリ構造は、第2の底部電極と、第2の底部電極の上方に位置する第2の頂部電極と、第1の方向に沿って第2の底部電極と第2の頂部電極との間に介在している第2のMTJスタックと、を含み、第1のMTJスタックは、第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ第2のMTJスタックは、第2の方向に沿って第2の幅を有し、第2の幅は、第1の幅より大きい半導体装置に関する。
幾つかの実施例において、第1のMTJスタック及び第2のMTJスタックは、第1の方向に沿って同じ厚さを有する。幾つかの実施形態において、第1の底部電極、第1の頂部電極、第2の底部電極、及び第2の頂部電極は、窒化チタン、窒化タンタル、又はそれらの組み合わせを含む。幾つかの実施例において、第1のMTJスタックは、第1の底部電極の上方に位置するカバー層と、カバー層の上方に位置するメンテナンス層と、メンテナンス層の上方に位置するフリー層と、フリー層の上方に位置するトンネルバリア層と、トンネルバリア層の上方に位置するピニング層と、を含む。幾つかの実施例において、カバー層はモリブデン又はルテニウムを含み、メンテナンス層は酸化マグネシウムを含み、フリー層はコバルト、鉄、又はホウ素を含み、トンネルバリア層は酸化マグネシウムを含み、且つピニング層はコバルト、鉄、ホウ素、又は白金を含む。幾つかの場合、半導体装置は、第3の底部電極及び第3の底部電極の上方に位置する第3の頂部電極を含む第3のメモリ構造を更に含む。半導体装置は、第1の方向に沿って第3の底部電極と第3の頂部電極との間に介在している第3のMTJスタックを含む。第3のMTJスタックは、第2の方向に沿って第3の幅を含む。第3の幅は、第1の幅より大きい。幾つかの実施例において、第1の幅は、約20nmと約55nmとの間であり、第2の幅は、約80nmと約100nmとの間であり、且つ第3の幅は、約75nmと約100nmとの間である。
更に別の例示的な態様において、本開示は、第1の誘電体層に配置された第1の導電性フィーチャ及び第2の導電性フィーチャと、第1の誘電体層の上方に位置する第2の誘電体層と、第1の方向に沿って第1の導電性フィーチャに結合するように、第2の誘電体層を貫通して延びる第1の底部貫通孔と、第1の方向に沿って第2の導電性フィーチャに結合するように、第2の誘電体層を貫通して延びる第2の底部貫通孔と、を含むワークを受ける工程を含み、底部電極層を、第1の底部貫通孔、第2の底部貫通孔及び第2の誘電体層の上方に堆積する工程と、磁気トンネル接合(magnetic tunnel junction;MTJ)スタックを、底部電極層の上方に堆積する工程と、頂部電極層をMTJスタックの上方に堆積する工程と、ハードマスク層を頂部電極層の上方に堆積する工程と、第1の底部貫通孔の上方に第1のハードマスクパターンを直接形成し、且つ第2の底部貫通孔の上方に第2のハードマスクパターンを直接形成するようにハードマスク層をパターン化する工程と、第1の底部貫通孔の上方に第1のメモリ構造を直接形成し、且つ第2の底部貫通孔の上方に第2のメモリ構造を直接形成するように第1のハードマスクパターン及び第2のハードマスクパターンをエッチングマスクとして、頂部電極層、MTJスタック、及び底部電極層をエッチングする工程と、を更に含み、第1のハードマスクパターンは、第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ第2のハードマスクパターンは、第2の方向に沿って第2の幅を有し、第2の幅は、第1の幅より大きい方法に関する。
幾つかの実施例において、エッチングは、イオンビームエッチング(ion beam etching;IBE)を用いることを含む。幾つかの場合、第1のメモリ構造は、底部電極層で形成された第1の底部電極を含み、第2のメモリ構造は、底部電極層で形成された第2の底部電極を含み、第1の底部電極は、第2の方向に沿って第3の幅を含み、且つ第2の底部電極は、第2の方向に沿って第4の幅を含む。第4の幅は、第3の幅より大きい。幾つかの実施例において、方法は、エッチングの後、スペーサ層を、第1のメモリ構造及び第2のメモリ構造の上方に堆積する工程と、スペーサ層をエッチバックする工程と、エッチバックの後、エッチストップ層を、スペーサ層、第1のメモリ構造、及び第2のメモリ構造の上方に堆積する工程と、第3の誘電体層をエッチストップ層の上方に堆積する工程と、を更に含んでよい。幾つかの実施例において、エッチストップ層は酸化アルミニウムを含む。
前述の内容は、当業者が本開示の各態様をより良く理解できるように、幾つかの実施例の特徴を概説した。当業者は、本明細書で導入される実施例を実施するための同一の目的を及び/又は同一の利点を達成するための他のプロセス及び構造を設計又は修正するための基礎として、本開示を容易に使用できることは理解すべきである。当業者は、このような等価構造は本開示の精神及び範囲から逸脱するものではなく、また、本開示の精神及び範囲から逸脱することなく、本明細書で様々な変更、置換、及び変更を行うことができることも認識すべきである。
10 第1の領域
20 第2の領域
30 第3の領域
40 第4の領域
50 第5の領域
100、500 方法
102、104、106、108、110、112、114、116、118、502、504、506、508、510、512、514、516、518 ブロック
200 ワーク
201 基板
202 第1の誘電体層
203 内部接続構造
204 導電性フィーチャ
206 バッファ層
208 第2の誘電体層
210-1 第1の底部貫通孔
210-2 第2の底部貫通孔
210-3 第3の底部貫通孔
210-4 第4の底部貫通孔
212 底部電極層
214 ピニング層
216 トンネルバリア層
218 フリー層
220 メンテナンス層
222 カバー層
224 頂部電極層
224-1 第1の頂部電極
224-2 第2の頂部電極
224-3 第3の頂部電極
224-4 第4の頂部電極
226 ハードマスク層
226-1 第1のハードマスクパターン
226-2 第2のハードマスクパターン
226-3 第3のハードマスクパターン
226-4 第4のハードマスクパターン
228 スペーサ層
230 エッチストップ層
232 第3の誘電体層
235-1 第1のコンタクト開口
235-2 第2のコンタクト開口
235-3 第3のコンタクト開口
235-4 第4のコンタクト開口
235-5 第5のコンタクト開口
235-6 第6のコンタクト開口
236-1 第1の頂部コンタクトビア
236-2 第2の頂部コンタクトビア
236-3 第3の頂部コンタクトビア
236-4 第4の頂部コンタクトビア
236-5 第5の頂部コンタクトビア
250 第1のストレージ部
255 第2のストレージ部
260 第3のストレージ部
265 第4のストレージ部
300 イオンビームエッチング
400 第1の集積回路チップ
402、602 プロセッサ領域
404 RAM系MRAM領域
406、606 NvMRAM領域
408、608 OTP MRAM領域
600 第2の集積回路チップ
610 還流型MRAM領域
1000 第1の磁気トンネル接合スタック
2000 第2のMTJスタック
d1 第1の寸法
d2 第2の寸法
d3 第3の寸法
d4 第4の寸法
D1 第1のMTJ寸法
D2 第2のMTJ寸法
D3 第3のMTJ寸法
D4 第4のMTJ寸法

Claims (10)

  1. 第1の誘電体層に配置された第1の導電性フィーチャ及び第2の導電性フィーチャと、
    前記第1の誘電体層の上方に配置されたバッファ層と、
    前記バッファ層の上方に配置された第2の誘電体層と、
    第1の方向に沿って前記第1の導電性フィーチャに結合するように、前記バッファ層及び前記第2の誘電体層を貫通して延びる第1の底部貫通孔と、
    第1の方向に沿って前記第2の導電性フィーチャに結合するように、前記バッファ層及び前記第2の誘電体層を貫通して延びる第2の底部貫通孔と、
    前記第1の底部貫通孔に配置された第1の底部電極と、
    前記第2の底部貫通孔に配置された第2の底部電極と、
    前記第1の底部電極の上方に位置する第1の磁気トンネル接合スタックと、
    前記第2の底部電極の上方に位置する第2の磁気トンネル接合スタックと、
    を含み、
    前記第1の磁気トンネル接合スタック及び前記第2の磁気トンネル接合スタックは、前記第1の方向に沿って同じ厚さを有し、
    前記第1の磁気トンネル接合スタックは、前記第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ前記第2の磁気トンネル接合スタックは、前記第2の方向に沿って第2の幅を有し、
    前記第2の幅は、前記第1の幅より大きい半導体装置。
  2. 前記第1の磁気トンネル接合スタックは、
    前記第1の底部電極の上方に位置するピニング層と、
    前記ピニング層の上方に位置するトンネルバリア層と、
    前記トンネルバリア層の上方に位置するフリー層と、
    を含む請求項1に記載の半導体装置。
  3. 前記ピニング層は、コバルト、鉄、ホウ素又は白金を含み、
    前記トンネルバリア層は、酸化マグネシウムを含み、
    前記フリー層は、コバルト、鉄、又はホウ素を含む請求項2に記載の半導体装置。
  4. 前記第1の磁気トンネル接合スタックは、
    前記フリー層の上方に位置するメンテナンス層と、
    前記メンテナンス層の上方に位置するカバー層と、を更に含む請求項2又は3に記載の半導体装置。
  5. 前記メンテナンス層は、酸化マグネシウムを含み、
    前記カバー層は、モリブデン又はルテニウムを含む請求項4に記載の半導体装置。
  6. 第1の底部電極と、前記第1の底部電極の上方に位置する第1の頂部電極と、第1の方向に沿って前記第1の底部電極と前記第1の頂部電極との間に介在している第1の磁気トンネル接合スタックとを含む第1のメモリ構造と、
    第2の底部電極と、前記第2の底部電極の上方に位置する第2の頂部電極と、前記第1の方向に沿って前記第2の底部電極と前記第2の頂部電極との間に介在している第2の磁気トンネル接合スタックとを含む第2のメモリ構造と、
    を備え、
    前記第1の磁気トンネル接合スタックは、前記第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ前記第2の磁気トンネル接合スタックは、前記第2の方向に沿って第2の幅を有し、
    前記第2の幅は、前記第1の幅より大きい半導体装置。
  7. 前記第1の底部電極、前記第1の頂部電極、前記第2の底部電極、及び前記第2の頂部電極は、窒化チタン、窒化タンタル、又はそれらの組み合わせを含む請求項6に記載の半導体装置。
  8. 第3の底部電極と、前記第3の底部電極の上方に位置する第3の頂部電極と、前記第1の方向に沿って前記第3の底部電極と前記第3の頂部電極との間に介在している第3の磁気トンネル接合スタックとを含む第3のメモリ構造を更に備え、
    前記第3の磁気トンネル接合スタックは、前記第2の方向に沿って第3の幅を有し、
    前記第3の幅は、前記第1の幅より大きい請求項6又は7に記載の半導体装置。
  9. 第1の誘電体層に配置された第1の導電性フィーチャ及び第2の導電性フィーチャと、前記第1の誘電体層の上方に位置する第2の誘電体層と、第1の方向に沿って前記第1の導電性フィーチャに結合するように、前記第2の誘電体層を貫通して延びる第1の底部貫通孔と、前記第1の方向に沿って前記第2の導電性フィーチャに結合するように、前記第2の誘電体層を貫通して延びる第2の底部貫通孔と、を含むワークを受ける工程と、
    底部電極層を前記第1の底部貫通孔、前記第2の底部貫通孔、及び前記第2の誘電体層の上方に堆積する工程と、
    磁気トンネル接合スタックを前記底部電極層の上方に堆積する工程と、
    頂部電極層を前記磁気トンネル接合スタックの上方に堆積する工程と、
    ハードマスク層を前記頂部電極層の上方に堆積する工程と、
    前記第1の底部貫通孔の上方に第1のハードマスクパターンを直接形成し、且つ前記第2の底部貫通孔の上方に第2のハードマスクパターンを直接形成するように前記ハードマスク層をパターン化する工程と、
    前記第1の底部貫通孔の上方に第1のメモリ構造を直接形成し、且つ前記第2の底部貫通孔の上方に第2のメモリ構造を直接形成するように、前記第1のハードマスクパターン及び前記第2のハードマスクパターンをエッチングマスクとして、前記頂部電極層、前記磁気トンネル接合スタック、及び前記底部電極層をエッチングする工程と、
    を備え、
    前記第1のハードマスクパターンは、前記第1の方向に垂直な第2の方向に沿って第1の幅を有し、且つ前記第2のハードマスクパターンは、前記第2の方向に沿って第2の幅を有し、
    前記第2の幅は、前記第1の幅より大きい半導体装置の製造方法。
  10. 前記エッチングの後、スペーサ層を前記第1のメモリ構造及び前記第2のメモリ構造の上方に堆積する工程と、
    前記スペーサ層をエッチバックする工程と、
    前記エッチバックの後、エッチストップ層を前記スペーサ層、前記第1のメモリ構造、及び前記第2のメモリ構造の上方に堆積する工程と、
    第3の誘電体層を前記エッチストップ層の上方に堆積する工程と、
    を更に含む請求項9に記載の半導体装置の製造方法。
JP2023078901A 2022-05-13 2023-05-11 異なるタイプのメモリ装置の形成方法 Pending JP2023168307A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263341840P 2022-05-13 2022-05-13
US63/341,840 2022-05-13
US17/880,186 2022-08-03
US17/880,186 US20230371275A1 (en) 2022-05-13 2022-08-03 Method of forming different types of memory devices

Publications (1)

Publication Number Publication Date
JP2023168307A true JP2023168307A (ja) 2023-11-24

Family

ID=88510445

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023078901A Pending JP2023168307A (ja) 2022-05-13 2023-05-11 異なるタイプのメモリ装置の形成方法

Country Status (5)

Country Link
US (1) US20230371275A1 (ja)
JP (1) JP2023168307A (ja)
KR (1) KR20230159272A (ja)
DE (1) DE102023107574A1 (ja)
TW (1) TW202401817A (ja)

Also Published As

Publication number Publication date
KR20230159272A (ko) 2023-11-21
TW202401817A (zh) 2024-01-01
DE102023107574A1 (de) 2023-11-16
US20230371275A1 (en) 2023-11-16

Similar Documents

Publication Publication Date Title
JP7046135B2 (ja) 磁気トンネル接合装置の製造技術と対応装置
TWI628817B (zh) 積體電路、磁阻式隨機存取記憶體單元及磁阻式隨機存取記憶體單元之形成方法
TWI774108B (zh) 半導體磁阻隨機存取記憶體元件及其製作方法
US9312476B2 (en) Magnetic memory
US11189791B2 (en) Integrated circuit and fabrication method thereof
US20230360686A1 (en) Magnetoresistive memory device and manufacturing method thereof
TW202145214A (zh) 記憶體裝置及其製造方法
US10879455B2 (en) Methods of fabricating magneto-resistive random-access memory (MRAM) devices to avoid damaging magnetic tunnel junction (MTJ) structure
US20230139618A1 (en) Semiconductor devices
JP2023168307A (ja) 異なるタイプのメモリ装置の形成方法
CN116685149A (zh) 半导体器件及其形成方法
US11937515B2 (en) MRAM structure for balanced loading
TWI793612B (zh) 磁穿隧接面記憶裝置及其形成方法、記憶裝置的形成方法
US11778923B2 (en) Memory device
TW202315113A (zh) 記憶體裝置及其製造方法
TW202335323A (zh) 記憶體、記憶體裝置及其製造方法
CN118019436A (zh) 磁性存储器器件保护膜及其制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230511

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240430