JP2023113748A - 高周波数応用例のためのヘテロ構造相互接続 - Google Patents

高周波数応用例のためのヘテロ構造相互接続 Download PDF

Info

Publication number
JP2023113748A
JP2023113748A JP2023087919A JP2023087919A JP2023113748A JP 2023113748 A JP2023113748 A JP 2023113748A JP 2023087919 A JP2023087919 A JP 2023087919A JP 2023087919 A JP2023087919 A JP 2023087919A JP 2023113748 A JP2023113748 A JP 2023113748A
Authority
JP
Japan
Prior art keywords
layer
forming
graphene
hbn
reactant gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023087919A
Other languages
English (en)
Inventor
ヴェヌゴパル アルチャナ
Venugopal Archana
スタッセン クック ベンジャミン
stassen cook Benjamin
コロンボ ルイジ
Luigi Colombo
レイド ドーリング ロバート
Reid Doering Robert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JP2023113748A publication Critical patent/JP2023113748A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53276Conductive materials containing carbon, e.g. fullerenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

【課題】集積回路における相互接続構造を提供する。
【解決手段】集積回路100は、相互接続124を含み、相互接続124は、金属層130、金属層130の頂部表面又は金属層130の底部表面の少なくとも一方の上のグラフェンの層132及び金属層130とは反対の、グラフェンの層132上の六方晶窒化ホウ素(hBN)の層134を含む。集積回路の誘電性材料116は、hBNの層134と接する。グラフェンの層132は、グラフェンの一つ又は複数の原子層で構成される。hBNの層134は、1~3原子層の厚みである。相互接続124は、下側hBN層126を備える。金属層130の底部表面上には、下側グラフェン層128を、頂部表面には上側hBN134を備え、金属層130の頂部表面に上側グラフェン層132を有する。
【選択図】図1

Description

本願は、一般に集積回路に関し、より詳細には集積回路における相互接続構造に関する。
集積回路は、ますますより小さな構成要素及び相互接続で製造されてきている。エッチングされたアルミニウム相互接続及び銅ダマシン相互接続を含む金属相互接続は、増大する電流密度を取り扱うのが難しい。また、相互接続の厚みを減少させることが望ましい場合があり、電流密度の問題を悪化させる。相互接続材料として、非常に高い平面内(in-plane)導電性を有するグラフェンが提案されている。しかしながら、数原子層の厚みより厚いグラフェン層は、劣化した導電性を示す傾向がある。グラフェンの薄い層を集積回路に統合することは、グラフェンに接する誘電性材料によるグラフェンの歪みに起因して問題となっている。
記載される例において、集積回路が相互接続を含み、相互接続は、金属層、金属層の頂部表面又は金属層の底部表面の少なくとも一方の上のグラフェンの層、及び金属層とは反対の、グラフェン層上の六方晶窒化ホウ素(hBN)の層を含む。集積回路の誘電性材料が、グラフェン層とは反対のhBNの層と接する。グラフェンの層は、グラフェンの一つ又は複数の原子層で構成される。hBNの層は1~3原子層の厚みである。
一実施例に従った、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む例示の集積回路の断面である。
一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。
一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。
一実施例に従った、下側グラフェン層及び上側グラフェン層を有するダマシン銅相互接続を含む例示の集積回路の断面である。
一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。 一実施例に従った形成の連続的段階の一段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。
一実施例に従った、各々が下側グラフェン層及び上側グラフェン層を有する複数のセグメントを含む金属相互接続を含むリッツワイヤを含む例示の集積回路の断面である。
ベルナルグラフェンの斜視図である。
図面は一定の縮尺で描いてはいない。幾つかの行為又は事象が異なる順で及び/又は他の行為又は事象と同時に起こり得るので、例示の実施例は、行為又は事象の図示された順によって限定されない。また、例示の実施例の方法論を実装するために、図示された全ての動作又は事象が必要とされるわけではない。
集積回路が、相互接続領域に配置される相互接続を含み、相互接続は、金属層、金属層の頂部表面又は金属層の底部表面の少なくとも一方の上のグラフェンの層、及び金属層とは反対の、グラフェンの層上のhBNの層を含む。グラフェンの層は、グラフェンにおける所望の電子移動度を維持するために、例えば、1~10原子層の厚みであり得る。グラフェンの層は、図7を参照して説明するベルナル(Bernal)グラフェンを含み得る。hBNの層は、1~3原子層の厚みである。集積回路の相互接続領域の誘電性材料がhBNの層に接する。金属層が、エッチングされたアルミニウム層を含む一例が本願において説明される。本願において、金属層がダマシン銅層を含む別の例が記載される。グラフェンの層は、例えばミリ波周波数又はテラヘルツ周波数での、高周波数での導電性を有利に改善し得る相互接続のための高度に導電性の表面層を提供する。金属相互接続は金属の表面に近接して流れる電流(「表皮効果」と呼ばれることもある現象)のために、高周波数でのインピーダンスが増大するという問題を抱えている。グラフェンの層は、金属層の頂部及び/又は底部表面において高度に導電性の層を提供し、したがって表皮効果を軽減する。相互接続は、RF回路又はその他の高周波数応用例において用いることができる。グラフェン層の導電性は、原子層の数を約10原子層まで増加させることによって改善される。10原子層を超えると、グラフェンの導電性は、グラファイトのものに類似して挙動することが観察されている。hBN層は、望ましくは、誘電性材料との接触によってグラフェン層を劣化から隔離するのに十分な厚みであるが、集積回路のコンタクト又はバイアによってグラフェンに適切な電気的接続を提供するのに十分な薄さであるべきである。1~3原子層のhBNを有することは、グラフェンの劣化とグラフェン層への電気的接続性との間の所望のバランスを提供することが示されている。
本説明のため、「横方向」という用語は、集積回路の頂部表面の平面に平行な方向を指し、「垂直」という用語は、集積回路の頂部表面の平面に垂直な方向を指す。
図1は、一実施例に従った、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む例示の集積回路の断面である。集積回路100は、基板102と、基板102の上に配置される相互接続領域104とを含む。基板102は、シリコン、ガリウム窒化物、又は同様のものなどの半導体材料106を含む。能動構成要素108が、半導体材料106内に配置されている。能動構成要素108は、図1において、半導体材料106のドープされたウェル110に配置される金属酸化物半導体(MOS)トランジスタ108として示されており、ゲートは半導体材料106の上方に延在している。能動構成要素108の他の表現もこの例の範囲内にある。能動構成要素108は、基板102内に配置されるフィールド酸化物112によって横方向に分離され得る。フィールド酸化物112は、図1において示されるように、シャロートレンチアイソレーション(STI)構造を有してもよく、又はシリコン構造の局所酸化(LOCOS)を有し得る。
この実施形態の相互接続領域104は、基板102及び能動部品108の直上に配置されるプレメタル誘電体(PMD)層114と、PMD層114の直上に配置される第1の金属内誘電体(IMD)層116と、第1のIMD層116の直上に配置される第1のレベル間誘電体(ILD)層118と、第1のILD層118の直上に配置される第2のIMD層120とを含む。
PMDキャップ層114は、例えば、シリコン窒化物のPMD層、二酸化シリコンのギャップ充填層、リン珪酸ガラス(PSG)又はホウ素リン珪酸ガラス(BPSG)のメイン層、及びシリコン窒化物のキャップ層を含む。コンタクト122がPMD層114を介して配置され、能動構成要素108への電気的接続を成す。コンタクト122は、例えば、PMD層114に接するチタンの第1のライナー、第1のライナー上のチタン窒化物の第2のライナー、及び第2ライナー上のタングステンの充填金属を含み得る。
第1のIMD層116は、低k誘電体材料、及びシリコン窒化物、シリコンカーバイド、又はシリコン窒化物カーバイドのキャップ層を含む、誘電性材料の一つ又は複数のサブ層を含み得る。第1の埋め込み層116内に第1レベルの相互接続124が配置される。第1レベルの相互接続124は、コンタクト122の頂部への電気的接続を成す。この例では、第1レベルの相互接続124は、PMD層114上に配置される下側hBN金属126と、下側hBN金属126上に直に配置される下側グラフェン層128と、下側グラフェン層128上に直に配置される金属層130と、金属層130上に直に配置される上側グラフェン層132と、上側グラフェン層132上に直に配置される上側hBN134とを含む。PMD層114の誘電性材料は、下側グラフェン層128とは反対の下側hBN層126に接する。第1の埋め込み層116の誘電性材料は、上側グラフェン層132とは反対の上側hBN層134に接する。この例の金属層130は、下側グラフェン層128上に配置されるアルミニウム層136と、アルミニウム層136上に配置される金属キャップ層138とを含む。下側hBN層126及び上側hBN層134は、それぞれ1~3原子層の厚みである。下側グラフェン層128及び上側グラフェン層132は、それぞれ、グラフェンの一つ又は複数の原子層で構成される。アルミニウム層136は、例えば、50ナノメートル~1マイクロメートルの厚みとされ得、数パーセントのシリコン、銅、及び/又はチタンを含み得る。金属キャップ層138は、例えば、3ナノメートル~20ナノメートルの厚みであり得、また、耐反射層を提供するために、例えばチタン窒化物を含み得、又は、例えば、銅、ニッケル、パラジウム、プラチナ、イリジウム、ロジウム、セリウム、オスミウム、モリブデン、金、又はグラフェンの触媒作用に適したその他の金属を含み得る。
第1のILD層118は、例えば、窒化シリコンのエッチストップ層、二酸化シリコン又はオルガノシリケートガラス(OSG)などの低k誘電体材料のメイン層、及びシリコン窒化物のキャップ層など、誘電体材料の一つ又は複数のサブ層を含み得る。第1レベルのビア140が、第1のILD層118、及び第1レベルの相互接続124の上の第1のIMD層116の任意の部分を介して延在して、第1レベルの相互接続124への電気的接続を成す。第1レベルのビア140は、上側グラフェン層132、金属キャップ層138、又はアルミニウム層136まで延在し得る。第1レベルのビア140は、例えば、第1のILD層118に接するチタン又はチタン窒化物を含むライナー、及びライナー上のタングステンの充填金属を含み得る。
第2のIMD層120は、低k誘電体材料及びキャップ層を含む、誘電性材料の一つ又は複数のサブ層を含み得る。第2レベルの相互接続142が、第2のIMD層120内に配置され、第1レベルのビア140の頂部への電気的接続を成す。第2レベルの相互接続142は、任意選択で、第1レベルの相互接続124と同様に、下側及び/又は上側グラフェン及びhBN層を有し得る。集積回路100は、付加的なILD層及びIMD層、ビア、及び相互接続を含み得る。付加的な相互接続は、場合により、第1レベルの相互接続124と同様に、下側及び/又は上側グラフェン及びhBN層を有していてもよい。
図2A~図2Hは、一実施例に従った形成の連続的段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。図2Aを参照すると、集積回路200が、半導体材料206を有する基板202を含む。MOSトランジスタ208として示される能動構成要素208が、半導体材料206内に形成される。能動構成要素208を横方向に分離するために、フィールド酸化物212が基板202に形成され得る。
集積回路200の相互接続領域のPMD層214が、基板202及び能動構成要素208の上に形成される。PMD層214は、一連のサブ層、例えば、低圧化学気相成長(LPCVD)プロセスを用いるシリコン窒化物のPMDライナー、プラズマエンハンスト化学気相成長(PECVD)プロセス又はオゾンを用いる高アスペクト比プロセス(HARP)を用いる二酸化シリコンベースの誘電体材料のメイン層を形成することによって形成され得る。PMD層214は、PECVDプロセスを用いてシリコン窒化物のキャップ層を形成する前に、例えば酸化物化学機械研磨(CMP)プロセスによって平坦化され得る。
コンタクト222が、PMD層214を介して形成され、能動構成要素208まで延在する。コンタクト222は、PMD層214を介してコンタクトホールをエッチングし、スパッタリング又はイオン化金属プラズマ(IMP)プロセスによって、PMD層214上及びコンタクトホール内に延在するチタンライナーを形成することによって形成され得る。反応性スパッタリング又は原子層堆積(ALD)によって、チタン窒化物ライナーがチタンライナー上に形成される。チタン窒化物ライナー上に、有機金属化学気相成長(MOCVD)プロセスによりタングステンの層が形成され、コンタクトホールを充填する。タングステン、チタン窒化物、及びチタンは、タングステンCMPプロセスによってPMD層214の頂部表面の上から除去され、コンタクト222を提供するために、コンタクトホール内にタングステン充填金属、チタン窒化物ライナー、及びチタンライナーを残す。
下側hBN層がPMD層214の上に形成される。この例では、下側hBN層はALDプロセスによって形成される。ALDプロセスの第1の工程を図2Aに示す。ホウ素反応ガスとして図2Aに示されるホウ素含有反応ガスが、集積回路200の上に流される。ホウ素含有反応ガスは、例えば、ホウ素三塩化物(BCl3)又はボラン(BH3)を含み得る。ホウ素含有反応ガスは、PMD層214の上及びコンタクト222の頂部の上にホウ素含有層244を形成する。続いて、ホウ素含有反応ガスの流れが停止され、ホウ素含有層244が、ALDプロセスの第2の工程のための適所に残される。
図2Bを参照すると、窒素反応ガスとして図2Bに示される窒素含有反応ガスが集積回路200の上に流される。窒素含有反応ガスは、例えば、アンモニアガス(NH3)を含み得る。窒素含有反応ガスからの窒素が、図2Aのホウ素含有ガス244と反応して、PMD層214の上及びコンタクト222の頂部の上に下側hBN層226の原子層を形成する。その後、窒素含有反応ガスの流れは停止される。図2A及び図2Bを参照して説明されるALDプロセスは、下側hBN層226の所望の厚みである1~3原子層を形成するために繰り返され得る。
図2Cを参照すると、下側グラフェン層228がトランスファプロセスによって下側hBN層226上に形成される。下側グラフェン層228は、まず、成長基板246上に形成され、これは、例えば、触媒表面層を有するシリコンウェハであり得る。下側グラフェン層228は、化学気相成長(CVD)プロセス又はPECVDプロセスによって、集積回路200が堪え得る、例えば800℃を超える高温で成長基板上に形成され得る。下側グラフェン層228は、続いて、例えば、下側グラフェン層228と成長基板246との間に応力を誘導することによって、集積回路200に転送され、下側グラフェン下側228を成長基板246から分離させる。下側グラフェン層228と下側hBN層226との間の結合は、熱及び圧力の組み合わせによって強化され得る。下側グラフェン層228は、グラフェンの一つ又は複数の原子層を含む。
図2Dを参照すると、アルミニウム層236及び金属キャップ層238を含む金属層230が、下側グラフェン層228上に形成される。アルミニウム層236は、例えば、少なくとも95パーセントのアルミニウム及び数パーセントのシリコン、銅、及び/又はチタンを含み得る。この例では、金属キャップ層238は、銅、ニッケル、パラジウム、プラチナ、イリジウム、ロジウム、セリウム、オスミウム、モリブデン、及び/又は金などの触媒を含む。アルミニウム層236は、スパッタプロセスによって形成され得る。金属キャップ層238は、スパッタプロセス又は蒸着プロセスによって形成され得る。金属キャップ層238を形成する前に、金属隔離層(図示せず)がアルミニウム層236上に形成され得、金属キャップ層238へのアルミニウムの拡散を減少させ、アルミニウム層236への触媒の拡散を減少させることができる。金属隔離層は、例えば、チタン窒化物又は窒化タンタルを含み得る。
エッチマスク248が、後に形成される第1レベルの相互接続のためのエリアを覆う金属層230の上に形成される。エッチマスク248は、フォトリソグラフィプロセスによって形成されたフォトレジストを含み得、任意選択で、底部反射防止コート(BARC)又は同様のものなどの反射防止層を含み得る。あるいは、エッチマスク248は、シリコン窒化物及び/又は非晶質炭素などのハードマスク材料を含み得る。
図2Eを参照すると、金属層230及び下側グラフェン層228、及び任意選択で下側hBN226は、ハロゲンラジカル及び酸素ラジカル250を用いる反応性イオンエッチング(RIE)プロセスによって、エッチマスク248により露出されたエリアにおいて除去される。RLEプロセスは、金属キャップ層238、アルミニウム層236、下側グラフェン層228、及び下側hBN層226におけるさまざまな材料を除去するために、ハロゲンラジカル及び酸素ラジカル250のタイプ及び濃度を変えることができる。RIEプロセスが終了した後、エッチマスク248が除去される。エッチマスク248内の有機材料及び非晶質炭素は、酸素プラズマプロセスによって除去され得る。エッチマスク248内のシリコン窒化物又はその他のハードマスク材料は、フッ素ラジカル及び酸素ラジカルを用いるプラズマプロセスにより除去され得る。
図2Fを参照すると、上側グラフェン層232が、グラフェンPECVDプロセスによって金属キャップ層238上に選択的に形成される。グラフェンPECVDのプロセスでは、集積回路200が、例えば200℃~400℃の温度まで加熱される。炭素反応ガスとして図2Fに示される炭素含有反応ガスが集積回路200の上に流され、RF電力として図2Fに示される無線周波数(RF)電力が炭素含有反応ガスに印加されて、集積回路200の上に炭素ラジカルが生成される。炭素含有反応ガスは、メタン、エタン、プロパン、及び/又はブタンなどの直鎖アルカン、エタノールなどのアルコール、及び/又は、シクロブタン又はベンゼンなどの環式炭化水素を含み得る。水素、アルゴン、及び/又は酸素などの付加的なガスが集積回路200の上に流され得る。金属キャップ層238における触媒は、炭素ラジカルに触媒作用を及ぼして反応し、金属キャップ層238上に選択的に上側グラフェン層232を形成する。上側グラフェン層232は、グラフェンの一つ又は複数の原子層を含む。グラフェンは、金属キャップ層238を越えて集積回路200上に形成されない。
図2Gを参照すると、上側hBN層234が、上側グラフェン層232上に形成され、金属層230及び下側グラフェン層228の横方向表面上にコンフォーマルに形成され、下側hBN226及び/又はPMD層214上に延在する。上側hBN層234は、この例ではホウ素窒化物PECVDプロセスによって形成される。ホウ素反応ガスとして図2Gに示されるホウ素含有反応ガス、及び窒素反応ガスとして示される窒素含有反応ガスが、集積回路200の上に同時に流される。ホウ素含有反応ガスは、例えば、ホウ素三塩化物又はボランを含み得る。窒素含有反応ガスは、例えば、アンモニアガスを含み得る。水素及び/又はアルゴンなどの付加的なガスが、ホウ素含有反応ガス及び窒素含有反応ガスと共に集積回路200の上に流され得る。RF電力として示されるRF電力が、ホウ素含有反応ガス及び窒素含有反応ガスに印加されて、集積回路200の上方にホウ素ラジカル及び窒素ラジカルを生成する。ホウ素ラジカル及び窒素ラジカルは、集積回路200上で反応して、上側hBN層234を形成する。上側hBN層234は、1~3原子層の厚みである。下側hBN226、下側グラフェン層228、金属層230、上側グラフェン層232、及び上側hBN層234の組み合わせが、集積回路200の第1レベルの相互接続224を提供する。
図2Hを参照すると、第1レベルの相互接続224及びPMD層214の上に第1のIMD層216が形成される。第1のIMD層216は、シリコン窒化物のエッチストップ層の形成によって形成され得、その後、OSG又は二酸化シリコンのメイン層の形成が続く。第1のIMD層216の種々の層は、別個のPECVDプロセスによって形成され得る。メイン層は、酸化物CMPプロセスによって平坦化され得、その後、シリコン窒化物のキャップ層の形成が続く。集積回路200の形成は、ILD層、付加的なIMD層、ビア、及び相互接続を形成することによって継続される。付加的な相互接続が、図2A~図2Gを参照して説明するものと同様のプロセスによって、第1レベルの相互接続224の上に形成され得る。
図3A~図3Hは、別の一実施例に従った、形成の連続的段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。図3Aを参照すると、集積回路300が、半導体材料306を備える基板302を含む。MOSトランジスタ308として示される能動構成要素308が、半導体材料306内に形成される。フィールド酸化物312が、能動構成要素308を横方向に分離するように基板302内に形成され得る。集積回路300の相互接続領域のPMD層314が、基板302及び能動構成要素308の上に形成される。コンタクト322が、能動構成要素308まで延在して、PMD層314を介して形成される。
下側hBN層326がPMD層314の上に形成される。この例では、下側hBN326層は、ホウ素反応ガスとして図3Aに示されるホウ素含有反応ガスと、例えば図2A及び図2Bを参照して説明されるような、窒素反応ガスとして示される窒素含有反応ガスとを用いるALDプロセスによって形成され得る。
図3Bを参照すると、静電堆積プロセス、インクジェットプロセス、又は同様のものなどアディティブプロセス352を用いて、場合によってはキャリア流体と組み合わされて、グラフェンフレーク354をディスペンスすることによって、hBN326上に下側グラフェン層328が形成される。アディティブプロセス352は、後に形成される第1レベルの相互接続のためのエリアにおいて下側グラフェン層328を形成し得、集積回路300の製造を簡素化する。下側グラフェン層328は、グラフェンの一つ又は複数の原子層を含む。hBNはグラフェンに非常に密に合致する格子間隔及び原子パターンを有するので、シート抵抗などの電気的特性の所望の値を有する、下側グラフェン層328内のグラフェンの連続的な層を生成するために、グラフェンフレークは下側hBN326の格子と整合し得る。
図3Cを参照すると、アルミニウム層336及び任意選択の金属キャップ層338を含む金属層330が、下側グラフェン層328上に形成される。この例では、金属キャップ層338は、反射防止層を提供するためのチタン窒化物と、アルミニウムを含有するための拡散障壁とを含み得る。アルミニウム層336は、スパッタプロセスによって形成され得る。金属キャップ層338は、スパッタプロセス又はALDプロセスによって形成され得る。
図3Dを参照すると、上側グラフェン層332が金属層330上に形成される。上側グラフェン層332は、図3Dに示すように、成長基板346からトランスファプロセスによって形成され得る。上側グラフェン層332は、グラフェンの一つ又は複数の原子層を含む。上側グラフェン層332を形成する他の方法も、この例の範囲内にある。
図3Eを参照すると、上側hBN層334が上側グラフェン層332上に形成される。上側hBN層334は1~3原子層の厚みである。上側hBN層334は、図3Eにおいてホウ素反応ガスとして示されるホウ素含有反応ガス、及び窒素反応ガスとして示される窒素含有反応ガス、及び一つ又は複数の他のガスを用いるPECVDプロセスによって形成され得る。ホウ素含有反応ガスは、ホウ素三塩化物及び/又はボランを含み得る。窒素含有反応ガスは、アンモニアガスを含み得る。他のガスには、アルゴン、水素、及び/又は酸素が含まれ得る。RF電力と示されるRF電力が、ホウ素含有反応ガス、窒素含有反応ガス、及び他のガスに印加されて、ホウ素ラジカル及び窒素ラジカルを形成する。ホウ素ラジカル及び窒素ラジカルは反応して上側hBN層334を形成する。上側hBN層334を形成する他のプロセスも、この例の範囲内にある。
図3Fを参照すると、任意選択の保護層356が上側hBN層334の上に形成され得る。保護層356は、例えば、オルトケイ酸テトラエチル(TEOS)を用いるPECVDプロセスによって形成される10ナノメートル~50ナノメートルの二酸化シリコンを含み得る。続いて、エッチマスク348が、上側hBN層334の上、及び存在する場合は保護層356の上に形成される。エッチマスク348は、後に形成される第1レベルの相互接続のためのエリアを覆う。エッチマスク348は、図2Dを参照して説明したものと同様のプロセスによって形成され得る。この例では、エッチマスク348は、下側グラフェン層328と整合される。この例では、保護層356の目的は、エッチマスク348を後の除去の間、上側hBN層334を保護することである。
図3Gを参照すると、保護層356、存在する場合、上側hBN層334、上側グラフェン層332、金属層330、下側グラフェン層328、及び任意選択で下側hBN層326が、ハロゲンラジカル及び酸素ラジカル350を用いるRLEプロセスによって、エッチマスク348により露出されたエリアにおいて除去される。ハロゲンラジカル及び酸素ラジカル350のタイプ及び濃度は、所望のエッチングプロファイルを有する変化する材料を除去するために必要に応じて変化させることができる。
図3Hを参照すると、図3Gを参照して説明されるRIEプロセスが完了した後、エッチマスク348が、例えば酸素ラジカル358を用いる酸素プラズマプロセスによって除去される。存在する場合、保護層356は、エッチマスク348の除去の間、酸素ラジカル358によるダメージから上側hBN層334を保護する。
下側hBN層326、下側グラフェン層328、金属層330、上側グラフェン層332、及び上側hBN層334の組み合わせが、集積回路300の第1レベルの相互接続324を提供する。集積回路300の形成は、第1レベル相互接続324間に第1のIMD層を形成することによって継続される。集積回路300の形成は、ILD層、付加的なIMD層、ビア、及び付加的な相互接続を後に形成することによって更に継続される。
図4は、一実施例に従った、下側グラフェン層及び上側グラフェン層を有するダマシン銅相互接続を含む例示の集積回路の断面である。集積回路400は、基板402と、基板402の上に配置される相互接続領域404とを含む。基板402は半導体材料406を含む。ドープされたウェル410に配置される図4に示される能動構成要素408が、半導体材料406内に配置される。能動構成要素408は、基板402内に配置されるフィールド酸化物412によって横方向に分離され得る。
この例の相互接続領域404は、基板402及び能動構成要素408の直上に配置されるPMD層414と、PMD層414の直上に配置される第1のIMD層416と、第1のIMD層416の直上に配置される第1のILD相互接続領域418とを含む。図4には示されていないが、集積回路400の付加的なIMD層及びILD層が、第1のILD層418の上方に延在する。コンタクト422が、PMD層414を介して配置され、能動構成要素408への電気的接続を成す。PMD層414及びコンタクト422は、図1を参照して説明されるものに類似する構造を有し得る。
第1のIMD層416は、シリコン窒化物のエッチストップ層、低k誘電体材料のメイン層、及び、シリコン窒化物、シリコンカーバイド、又はシリコンナイトライドカーバイドのキャップ層を含む、一つ又は複数のサブ層を含み得る。第1レベルの相互接続424は、第1のIMD層416内の相互接続トレンチに配置される。第1レベルの相互接続424は、コンタクト422の頂部への電気的接続を成す。この例では、第1レベルの相互接続424は、PMD層414上に配置され、第1のIMD層416の頂部表面まで相互接続トレンチの側壁を上方に延在する下側hBN層426と、下側hBN層426上に直接配置され、第1レベルの相互接続424の側部を上方に延在する下側グラフェン層428と、下側グラフェン層428上に直接配置される金属層430と、金属層430上に直接配置される上側グラフェン層432と、上側グラフェン層432上に直接配置され、第1レベルの相互接続424に近接する第1のIMD層416の上に延在する上側hBN上側434とを含む。この例の金属層430は、下側グラフェン層428上に配置され、第1レベルの相互接続424の側部を上方に延在するダマシンライナー438を含む。ダマシンライナー438は、窒化タンタル又はそれに類するもののような銅拡散障壁を含む。この例の金属層430は、ダマシンライナー438上に配置されるダマシン銅層436を更に含む。上側グラフェン層432は、ダマシン銅層436上に直接的に配置される。下側hBN層426及び上側hBN層434は、各々、1~3原子層の厚みである。下側グラフェン層428及び上側グラフェン層432は、各々、例えば1~10層のグラフェンなどの一つ又は複数の原子層を含む。PMD層414の誘電性材料は、下側グラフェン層428とは反対の、下側hBN層426に接する。
第1のILD層418は、図1を参照して説明するものに類似する構造を有し得る。第1のILD層418の誘電性材料は、上側グラフェン層432とは反対の、上側hBN層434に接する。
図5A~図5Gは、一実施例に従った形成の連続的段階を示す、下側グラフェン層及び上側グラフェン層を有するエッチングされたアルミニウム相互接続を含む集積回路の断面である。図5Aを参照すると、集積回路500が、半導体材料506を有する基板502を含む。MOSトランジスタ508として示される能動構成要素508が、半導体材料506内に形成される。フィールド酸化物512が、能動構成要素508を横方向に分離するように基板502に形成され得る。基板502及び能動構成要素508の上にPMD層514が形成される。コンタクト522がPMD層514を介して形成され、能動構成要素508への電気的接続を成す。
第1のIMD層516が、PMD層514及びコンタクト522の上に形成される。第1のIMD層516は、例えば一連のPECVDプロセスによって形成され得る。相互接続トレンチ560が、第1のIMD層516を介して形成されて、コンタクト522の頂部を露出させる。相互接続トレンチ560は、エッチマスクを用いるRIEプロセスにより形成され得る。
下側hBN層526が第1のIMD層516の上に形成され、相互接続トレンチ560内へ、及び、相互接続トレンチ560の底部でPMD層514上に延在する。下側hBN層526は、ALDプロセス、PECVDプロセス、又は別の方法によって形成され得る。下側hBN層526は、1~3原子層の厚みである。
図5Bを参照すると、下側グラフェン層528が下側hBN層上に形成される。下側グラフェン層528は、相互接続トレンチ560内に延在し、相互接続トレンチ560の底部で下側hBN層526に沿って継続的である。下側グラフェン層528は、例えば、PECVDプロセス又はアディティブプロセスによって形成され得、これは、相互接続トレンチ560のトポグラフィに起因して利点となり得る。
図5Cを参照すると、ダマシンライナー538が下側グラフェン層528上に形成される。ダマシンライナー538は、例えば、窒化タンタルを含み得る。ダマシンライナー538は、相互接続トレンチ560における継続的な被覆を提供するために、ALDプロセスによって形成され得る。
図5Dを参照すると、ダマシン銅層536がダマシンライナー538上に形成され、相互接続トレンチ560を充填する。ダマシン銅層536は、例えば、図5Dには示されていない銅のシード層をスパッタプロセスによってダマシンライナー538上に直接形成し、続いて電気めっきプロセスによってシード層上にダマシン銅層536の残部を形成することによって形成され得る。電気めっきプロセスは、電気めっき槽への添加剤であるブライトナー(brightener)、抑制剤、及びレベラー(leveler)の組み合わせを用いて、相互接続トレンチ560をダマシン銅層536で充填する一方で、相互接続トレンチ560に近接する第1のIMD層516の上の電気めっきされた銅の厚みを最小限にし得る。
図5Eを参照すると、ダマシン銅層536、ダマシンライナー538、下側グラフェン層528、及び下側hBN層526は、銅CMPパッド562によって図5Eにおいて概略的に示される銅CMPプロセスによって第1のIMD層516の上から除去される。ダマシン銅層536、ダマシンライナー538、下側グラフェン層528、及び下側hBN層526は、相互接続トレンチ560において適所に残される。
図5Fを参照すると、上側グラフェン層532がダマシン銅層536上に形成される。上側グラフェン層532は、グラフェンの一つ又は複数の原子層を含み、相互接続トレンチ560に近接する第1のIMD層516上には延在しない。上側グラフェン層532は、図2Fを参照して説明されるPECVDプロセスに類似する様式で、例えば、ダマシン銅層536がグラフェンの形成を選択的に触媒するPECVDプロセスによって形成され得る。あるいは、上側グラフェン層532は、例えば図3Bを参照して説明されるようなアディティブプロセスによって形成され得る。上側グラフェン層532を形成する他の方法も、この例の範囲内にある。
図5Gを参照すると、上側グラフェン層532を含む集積回路500の現存する頂部表面上に上側hBN層534が形成される。上側hBN層534は、ALDプロセス、PECVDプロセス、又はその他の方法によって形成され得る。下側hBN層526、下側グラフェン層528、ダマシンライナー538、ダマシン銅層536、上側グラフェン層532、及び上側hBN層534は、集積回路500の第1レベルの相互接続524を提供する。集積回路500の形成は、上側hBN層534上の、図5Gには示されていない第1のILD層の形成で継続する。第1のILD層の誘電性材料は、上側hBN層534に接する。
図6は、一実施例に従った、各々が下側グラフェン層及び上側グラフェン層を有する、複数のセグメントを含む金属相互接続を含むリッツワイヤを含む例示の集積回路の断面である。集積回路600は、基板602と、基板602の上に配置される相互接続領域604とを含む。基板602は、例えば図1を参照して説明されるような半導体材料及び能動構成要素を含む。相互接続領域604は、例えば図1を参照して説明されるような誘電体層のスタックをなどの誘電性材料664を含む。この例では、集積回路600は、直列接続された相互接続セグメント624の複数のストランドを含むリッツワイヤ666を含む。この例では、各相互接続層624は、金属層630、金属層630の底部表面上の下側グラフェン層628、金属層630とは反対の下側グラフェン層628上の下側hBN層626、金属層630の頂部表面上の上側グラフェン層632、及び金属層630とは反対の上側グラフェン層632上の上側グラフェン層632を有する。誘電性材料664は、下側グラフェン層628とは反対の下側hBN層626に接し、上側グラフェン層632とは反対の上側hBN層634に接する。この例の他のバージョンにおいて、相互接続セグメント624の幾つかは、上側グラフェン層632なしで、下側グラフェン層628及び下側hBN層626を有し得る。この例の他のバージョンにおいて、相互接続セグメント624の幾つかは、下側グラフェン層628なしで、上側グラフェン層632及び上側hBN層634を有し得る。リッツワイヤ666の相互接続セグメント624は、複数の相互接続レベルに配置され、この例では、リッツワイヤ666は、相互接続セグメント624の3つの相互接続レベルを含む。相互接続セグメント624自体の配置をより明確に示すために、各ストランドにおける順次の相互接続セグメント624間の接続は図6に示されていない。各ストランドは、そのストランド内の相互接続セグメント624の一部が、リッツワイヤ666の周囲に位置するように構成される。各ストランドにおける順次の相互接続セグメント624は、例えば、ビア及びその他の相互接続によって接続され得る。各ストランドは、各相互接続レベルにおける相互接続セグメント624の一部を含む。リッツワイヤ666は、同様の名目断面積を有するモノリシック導体と比較して、有利にも、相互接続セグメント624間の表皮効果の分布に起因して高周波数で一層低いインピーダンスを示し得る。各ストランドは、誘電性材料664によって他のストランドから分離され、「近接作用」と呼ばれることもある、誘導された局所電流を有利に低減する。
図7はベルナルグラフェンの斜視図である。本願において記載される実施例におけるグラフェンの層は、ベルナルグラフェンを含み得る。図7において第1の原子層と示されるグラフェンの第1の原子層が、図7において炭素原子と示される炭素原子を六角形構成で含む。図7において第2の原子層と示されるグラフェンの第2の原子層も、六角形構成の炭素原子を含む。第1の原子層内の炭素原子の半分は、第2の原子層内の炭素原子の直上に位置する。グラフェンの付加的な層が、すぐ下にあるグラフェン層に対して同様の整合を有する。グラフェンヘテロ層のグラフェン層にベルナルグラフェンを含めることは、他の構成を有するグラフェンの層と比較して、グラフェンヘテロ層の導電性を有利に改善し得る。
本発明の特許請求の範囲内で、説明した例示の実施例に改変が成され得、他の実施例が可能である。

Claims (20)

  1. 集積回路であって、
    半導体材料を含む基板、
    前記基板に配置される能動構成要素、
    前記基板の上に配置され、誘電性材料を含む相互接続領域、及び
    前記相互接続領域に配置される相互接続、
    を含み、
    前記相互接続が、
    金属層と、
    前記金属層の頂部表面又は前記金属層の底部表面の少なくとも一方の上に配置されるグラフェン層と、
    前記金属層とは反対の前記グラフェン層上に配置される六方晶窒化ホウ素(hBN)層と、
    を含み、
    前記hBN層が1~3原子層の厚みであり、前記誘電性材料が、前記グラフェン層とは反対の前記hBN層に接する、集積回路。
  2. 請求項1に記載の集積回路であって、
    前記グラフェン層が、前記金属層の前記底部表面に配置される下側グラフェン層であり、
    前記hBN層が、前記下側グラフェン層上に配置される下側hBN層であり、
    前記誘電性材料が第1の誘電性材料であり、
    前記相互接続領域が、前記第1の誘電性材料とは別個の第2の誘電性材料を含み、
    前記相互接続が更に、
    前記金属層の前記頂部表面上に配置され、グラフェンの少なくとも1原子層を有する上側グラフェン層と、
    前記金属層とは反対の前記上側グラフェン層上に配置され、1~3原子層である上側hBN層と、
    を含み、
    前記第2の誘電性材料が、前記上側グラフェン層とは反対の前記上側hBN層に接する、集積回路。
  3. 請求項1に記載の集積回路であって、
    前記グラフェン層がベルナルグラフェンを含む、集積回路。
  4. 請求項1に記載の集積回路であって、
    前記金属層が、主としてアルミニウムを含むアルミニウム層を含み、前記グラフェン層が、前記アルミニウム層の横方向表面上に延在しない、集積回路。
  5. 請求項1に記載の集積回路であって、
    前記金属層が、ダマシンライナー上に配置されるダマシン銅層を含む、集積回路。
  6. 集積回路を形成する方法であって、
    半導体材料を含む前記基板を提供すること、
    前記半導体材料内に能動構成要素を形成すること、
    前記基板の上に下側誘電体層を形成すること、及び
    相互接続を形成すること、
    を含み、
    前記相互接続を形成することが、
    前記下側誘電体層上に、1~3原子層の厚みの下側hBN層を形成することと、
    前記下側hBN層上に、グラフェンの少なくとも1原子層を有する下側グラフェン層を形成することと、
    前記下側グラフェン層上に金属層を形成することと、
    を含む、方法。
  7. 請求項6に記載の方法であって、
    前記下側hBN層を形成することが原子層堆積(ALD)プロセスを含み、前記方法が、
    前記下側誘電体層上にホウ素含有層を形成するため、ホウ素含有反応ガスを前記下側誘電体層上に流すこと、
    前記ホウ素含有反応ガスの流れを止めること、
    前記下側誘電体層の上に窒素含有反応ガスを流すことであって、前記窒素含有反応ガスからの窒素が、前記ホウ素含有層と反応して、前記下側hBN層のホウ素窒化物の原子層を形成する、前記窒素含有反応ガスを流すこと、及び
    前記窒素含有反応ガスの流れを止めること、
    を含む、方法。
  8. 請求項6に記載の方法であって、
    前記下側hBN層を形成することが、プラズマエンハンスト化学気相成長(PECVD)プロセスを含み、
    前記方法が、
    前記下側誘電体層の上にホウ素含有反応ガス及び窒素含有反応ガスを同時に流すこと、及び
    前記ホウ素含有反応ガス及び前記窒素含有反応ガスに無線周波数(RF)電力を印加すること、
    を含む、方法。
  9. 請求項6に記載の方法であって、
    前記下側グラフェン層を形成することがPECVDプロセスを含み、
    前記方法が、
    前記下側hBN層の上に炭素含有反応ガスを流すこと、及び、
    前記炭素含有反応ガスにRF電力を印加すること、
    を含む、方法。
  10. 請求項6に記載の方法であって、
    前記下側グラフェン層を形成することが、トランスファプロセスを含み、
    前記方法が、
    前記集積回路とは別の成長基板上に前記下側グラフェン層を形成すること、
    前記下側グラフェン層を前記成長基板から除去すること、及び
    前記下側グラフェン層を前記下側hBN層上に配置すること、
    を含む、方法。
  11. 請求項6に記載の方法であって、
    前記金属層を形成することが、
    主としてアルミニウムを含むアルミニウム層をスパッタプロセスによって前記下側グラフェン層の上に形成すること、
    前記相互接続のためのエリアを覆うエッチマスクをアルミニウム層上に形成すること、
    前記エッチマスクによって露出される前記アルミニウム層及び前記下側グラフェン層を除去すること、及び
    その後、前記エッチマスクを除去すること、
    を含む、方法。
  12. 請求項6に記載の方法であって、
    前記金属層を形成することが、
    前記下側グラフェン層上にダマシンライナーを形成することであって、前記下側グラフェン層及び前記下側hBN層が、前記下側誘電体層における相互接続トレンチに配置されること、
    前記ダマシンライナー上にダマシン銅層を形成すること、及び
    前記相互接続トレンチに近接する前記下側誘電体層の上から、前記ダマシン銅層、前記ダマシンライナー、前記下側グラフェン層、及び前記下側hBN層を除去すること、
    を含む、方法。
  13. 集積回路を形成する方法であって、
    半導体材料を含む基板を提供すること、
    前記半導体材料内に能動構成要素を形成すること、
    前記基板の上に下側誘電体層を形成すること、及び
    相互接続を形成すること、
    を含み、
    前記相互接続を形成することが、
    前記下側誘電体層の上に金属層を形成することと、
    グラフェンの少なくとも1原子層を有する上側グラフェン層を前記金属層上に形成することと、
    1~3原子層の厚みの上側hBN層を前記上側グラフェン層上に形成することと、
    前記上側hBN上に上側誘電体層を形成することと、
    を含む、方法。
  14. 請求項13に記載の方法であって、
    前記上側グラフェン層を形成することがPECVDプロセスを含み、
    前記方法が、
    前記金属層の上に炭素含有反応ガスを流すこと、及び、
    前記炭素含有反応ガスにRF電力を印加すること、
    を含む、方法。
  15. 請求項14に記載の方法であって、
    前記金属層が触媒を含み、前記上側グラフェン層が、前記金属層上に選択的に形成される、方法。
  16. 請求項13に記載の方法であって、
    前記上側グラフェン層を形成することがトランスファプロセスを含み、
    前記方法が、
    前記集積回路とは別の成長基板上に前記上側グラフェン層を形成すること、
    前記成長基板から前記上側グラフェン層を除去すること、及び
    前記金属層上に前記上側グラフェン層を配置すること、
    を含む、方法。
  17. 請求項13に記載の方法であって、
    前記上側hBN層を形成することがALDプロセスを含み、
    前記方法が、
    前記上側グラフェン層上にホウ素含有層を形成するため、前記上側グラフェン層の上にホウ素含有反応ガスを流すこと、
    前記ホウ素含有反応ガスの流れを止めること、
    前記上側グラフェン層の上に窒素含有反応ガスを流すことであって、前記窒素含有反応ガスからの窒素が前記ホウ素含有層と反応して、前記上側hBN層のホウ素窒化物の原子層を形成すること、及び
    前記窒素素含有反応ガスの流れを止めること、
    を含む、方法。
  18. 請求項13に記載の方法であって、
    前記下側hBN層を形成することがPECVDプロセスを含み、
    前記方法が、
    前記上側グラフェン層の上にホウ素含有反応ガス及び窒素含有反応ガスを同時に流すこと、及び、
    前記ホウ素含有反応ガス及び前記窒素含有反応ガスにRF電力を印加すること、
    を含む、方法。
  19. 請求項13に記載の方法であって、
    前記金属層を形成することが、
    主としてアルミニウムを含むアルミニウム層を、スパッタプロセスによって前記下側誘電体層の上に形成すること、
    前記相互接続のためのエリアを覆うエッチマスクを前記上側hBN層上に形成すること、
    前記エッチマスクによって露出された、前記上側hBN層、前記上側グラフェン層、及び前記アルミニウム層を除去すること、及び、
    その後前記エッチマスクを除去すること、
    を含む、方法。
  20. 請求項13に記載の方法であって、
    前記金属層を形成することが、
    前記下側誘電体層上にダマシンライナーを形成することであって、前記ダマシンライナーが、前記下側誘電体層内の前記相互接続トレンチ内に延在すること、
    前記ダマシンライナー上にダマシン銅層を形成すること、及び
    前記相互接続トレンチに近接する前記下側誘電体層の上から、前記ダマシン銅層及び前記ダマシンライナーを除去すること、
    を含み、
    前記相互接続トレンチに近接する前記下側誘電体層の上から前記ダマシン銅層及び前記ダマシンライナーを除去した後に前記上側グラフェン層が形成される、方法。
JP2023087919A 2017-02-21 2023-05-29 高周波数応用例のためのヘテロ構造相互接続 Pending JP2023113748A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/438,174 2017-02-21
US15/438,174 US9793214B1 (en) 2017-02-21 2017-02-21 Heterostructure interconnects for high frequency applications
PCT/US2018/019016 WO2018156626A1 (en) 2017-02-21 2018-02-21 Heterostructure interconnects for high frequency applications
JP2019566057A JP7368669B2 (ja) 2017-02-21 2018-02-21 高周波数応用例のためのヘテロ構造相互接続

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019566057A Division JP7368669B2 (ja) 2017-02-21 2018-02-21 高周波数応用例のためのヘテロ構造相互接続

Publications (1)

Publication Number Publication Date
JP2023113748A true JP2023113748A (ja) 2023-08-16

Family

ID=60022390

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019566057A Active JP7368669B2 (ja) 2017-02-21 2018-02-21 高周波数応用例のためのヘテロ構造相互接続
JP2023087919A Pending JP2023113748A (ja) 2017-02-21 2023-05-29 高周波数応用例のためのヘテロ構造相互接続

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019566057A Active JP7368669B2 (ja) 2017-02-21 2018-02-21 高周波数応用例のためのヘテロ構造相互接続

Country Status (5)

Country Link
US (1) US9793214B1 (ja)
EP (1) EP3586363A4 (ja)
JP (2) JP7368669B2 (ja)
CN (1) CN110337720B (ja)
WO (1) WO2018156626A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102412965B1 (ko) * 2014-12-30 2022-06-24 삼성전자주식회사 2차원 물질층을 포함하는 전자소자 및 잉크젯 프린팅을 이용한 전자소자의 제조방법
US20180254318A1 (en) * 2017-03-02 2018-09-06 William B Pohlman, III Graphene based in-plane micro-supercapacitors
US10164018B1 (en) * 2017-05-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect structure having graphene-capped metal interconnects
US10804201B2 (en) * 2017-12-28 2020-10-13 Texas Instruments Incorporated Dissimilar material interface having lattices
US10304967B1 (en) * 2018-03-02 2019-05-28 Texas Instruments Incorporated Integration of graphene and boron nitride hetero-structure device over semiconductor layer
US10490673B2 (en) 2018-03-02 2019-11-26 Texas Instruments Incorporated Integration of graphene and boron nitride hetero-structure device
TWI683471B (zh) * 2018-06-21 2020-01-21 國立高雄科技大學 低損耗高、低頻波導結構及其製作方法
US11136666B2 (en) 2018-08-30 2021-10-05 University Of Kentucky Research Foundation Ordered nanotubes on a two-dimensional substrate consisting of different material properties
US11232982B2 (en) * 2020-01-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition system and method using the same

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7619257B2 (en) 2006-02-16 2009-11-17 Alcatel-Lucent Usa Inc. Devices including graphene layers epitaxially grown on single crystal substrates
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
KR101490111B1 (ko) 2008-05-29 2015-02-06 삼성전자주식회사 에피택셜 그래핀을 포함하는 적층구조물, 상기적층구조물의 형성방법 및 상기 적층구조물을 포함하는전자 소자
US20100218801A1 (en) 2008-07-08 2010-09-02 Chien-Min Sung Graphene and Hexagonal Boron Nitride Planes and Associated Methods
US8835892B2 (en) * 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
JP5395542B2 (ja) * 2009-07-13 2014-01-22 株式会社東芝 半導体装置
US8158200B2 (en) * 2009-08-18 2012-04-17 University Of North Texas Methods of forming graphene/(multilayer) boron nitride for electronic device applications
KR101603771B1 (ko) * 2009-10-21 2016-03-16 삼성전자주식회사 2차원 시트 물질을 이용한 전자 소자 및 그 제조 방법
KR20110057989A (ko) 2009-11-25 2011-06-01 삼성전자주식회사 그래핀과 나노구조체의 복합 구조체 및 그 제조방법
US20110163298A1 (en) 2010-01-04 2011-07-07 Chien-Min Sung Graphene and Hexagonal Boron Nitride Devices
US20130048339A1 (en) 2010-03-08 2013-02-28 William Marsh Rice University Transparent electrodes based on graphene and grid hybrid structures
CN102254582B (zh) 2010-05-18 2013-05-15 国家纳米科学中心 一种石墨烯基导电材料及其制备方法
US9281385B2 (en) 2010-06-18 2016-03-08 Samsung Electronics Co., Ltd. Semiconducting graphene composition, and electrical device including the same
KR101680761B1 (ko) 2010-09-17 2016-11-30 삼성전자주식회사 그래핀-폴리머 층상 복합체 및 그의 제조방법
US8361853B2 (en) 2010-10-12 2013-01-29 International Business Machines Corporation Graphene nanoribbons, method of fabrication and their use in electronic devices
JP6285717B2 (ja) 2010-11-10 2018-02-28 ナショナル ユニバーシティ オブ シンガポール グラフェン層と永久双極子層を含む透明導体、透明導体を含む、太陽電池、有機発光ダイオード、タッチパネルまたはディスプレイ、および透明導体の製造方法
US9257509B2 (en) 2010-12-21 2016-02-09 The Trustees Of Columbia University In The City Of New York Electrical devices with graphene on boron nitride
CN103493203B (zh) * 2011-03-22 2016-12-28 曼彻斯特大学 晶体管器件以及用于制造晶体管器件的材料
GB201104824D0 (en) 2011-03-22 2011-05-04 Univ Manchester Structures and methods relating to graphene
KR101813173B1 (ko) 2011-03-30 2017-12-29 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
US8501531B2 (en) 2011-04-07 2013-08-06 The United States Of America, As Represented By The Secretary Of The Navy Method of forming graphene on a surface
KR101878732B1 (ko) 2011-06-24 2018-07-16 삼성전자주식회사 그래핀 기재 및 이를 채용한 투명전극과 트랜지스터
US8716863B2 (en) * 2011-07-13 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high performance interconnect
US9029228B2 (en) 2011-10-19 2015-05-12 SunEdision Semiconductor Limited (UEN201334164H) Direct and sequential formation of monolayers of boron nitride and graphene on substrates
CN103107077B (zh) * 2011-11-14 2016-09-14 中国科学院微电子研究所 石墨烯器件及其制造方法
EP2602821B1 (en) 2011-12-07 2014-02-12 Universität Augsburg Graphene-based nanodevices for terahertz electronics
US9314817B2 (en) 2012-02-29 2016-04-19 Georgia Tech Research Corporation Three-dimensional vertically aligned functionalized multilayer graphene
US9331198B2 (en) 2012-07-06 2016-05-03 University Of North Texas Controlled epitaxial boron nitride growth for graphene based transistors
ES2395949B1 (es) 2012-09-18 2013-12-26 Fundació Institut De Ciències Fotòniques Plataforma electrónica que comprende un cristal de tipo abo3 y grafeno, método para su fabricación y chip que comprende la misma
US9224532B2 (en) * 2012-10-11 2015-12-29 The Board Of Trustees Of The University Of Illinois Rolled-up inductor structure for a radiofrequency integrated circuit (RFIC)
US20140145332A1 (en) * 2012-11-26 2014-05-29 Globalfoundries Inc. Methods of forming graphene liners and/or cap layers on copper-based conductive structures
US9685559B2 (en) 2012-12-21 2017-06-20 The Regents Of The University Of California Vertically stacked heterostructures including graphene
KR102046099B1 (ko) 2012-12-31 2019-11-19 삼성전자주식회사 열전재료 및 이를 포함하는 열전소자
US9680038B2 (en) 2013-03-13 2017-06-13 The Regents Of The University Of Michigan Photodetectors based on double layer heterostructures
KR102026736B1 (ko) 2013-03-29 2019-11-04 삼성전자주식회사 이종 적층 구조의 절연시트, 그의 제조방법 및 이를 구비하는 전기소자
US9299473B2 (en) 2013-06-11 2016-03-29 Hamilton Sundstrand Corporation Composite electrically conductive structures
US9410243B2 (en) 2013-08-06 2016-08-09 Brookhaven Science Associates, Llc Method for forming monolayer graphene-boron nitride heterostructures
WO2015021479A1 (en) 2013-08-09 2015-02-12 The Trustees Of Columbia University In The City Of New York Systems and methods for assembling two-dimensional materials
US9318323B2 (en) 2013-10-18 2016-04-19 Globalfoundries Inc. Semiconductor devices with graphene nanoribbons
US20160284811A1 (en) 2013-11-04 2016-09-29 Massachusetts Institute Of Technology Electronics including graphene-based hybrid structures
KR102207923B1 (ko) 2014-01-28 2021-01-26 삼성전자주식회사 다층 그래핀 구조체의 형성 방법
US10006910B2 (en) * 2014-12-18 2018-06-26 Agilome, Inc. Chemically-sensitive field effect transistors, systems, and methods for manufacturing and using the same
US9484428B2 (en) * 2015-01-29 2016-11-01 Globalfoundries Inc. Non-planar exciton transistor (BiSFET) and methods for making
CN104726845B (zh) 2015-03-05 2018-05-01 中国科学院上海微系统与信息技术研究所 h-BN上石墨烯纳米带的制备方法
EP3104414B1 (en) * 2015-06-10 2020-05-06 Fundació Institut de Ciències Fotòniques Image sensor, optoelectronic system comprising said image sensor, and method for manufacturing said image sensor

Also Published As

Publication number Publication date
WO2018156626A1 (en) 2018-08-30
JP7368669B2 (ja) 2023-10-25
EP3586363A1 (en) 2020-01-01
EP3586363A4 (en) 2020-03-11
JP2020508587A (ja) 2020-03-19
US9793214B1 (en) 2017-10-17
CN110337720A (zh) 2019-10-15
CN110337720B (zh) 2024-03-08

Similar Documents

Publication Publication Date Title
JP7368669B2 (ja) 高周波数応用例のためのヘテロ構造相互接続
JP2022140451A (ja) 半導体デバイスの空隙スペーサを形成する方法および半導体デバイス
US9484257B2 (en) Semiconductor devices and methods of manufacture thereof
KR101027216B1 (ko) 다중레벨 상호접속 구조물에서 공기 갭을 형성하는 방법
US9613854B2 (en) Method and apparatus for back end of line semiconductor device processing
CN104733378B (zh) 半导体结构及其制造方法
TWI546919B (zh) 半導體元件及其製造方法
US10535603B2 (en) Method of forming interconnection structure
US9576894B2 (en) Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
US8993435B2 (en) Low-k Cu barriers in damascene interconnect structures
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
KR102585845B1 (ko) 알루미늄 질화물 배리어 층
US20210098292A1 (en) Metallic interconnect structure
US11373971B2 (en) Semiconductor device structure and methods of forming the same
US10923423B2 (en) Interconnect structure for semiconductor devices
CN104851835B (zh) 金属互连结构及其形成方法
JP2009188101A (ja) 半導体装置及びその製造方法
US11315829B2 (en) Amorphous layers for reducing copper diffusion and method forming same
TW202347449A (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230623

A625 Written request for application examination (by other person)

Free format text: JAPANESE INTERMEDIATE CODE: A625

Effective date: 20230623