JP2021135296A - 自己テスト回路を備える集積回路、自己テスト回路を備える集積回路の動作方法、マルチコアプロセッサ装置およびマルチコアプロセッサ装置の動作方法 - Google Patents

自己テスト回路を備える集積回路、自己テスト回路を備える集積回路の動作方法、マルチコアプロセッサ装置およびマルチコアプロセッサ装置の動作方法 Download PDF

Info

Publication number
JP2021135296A
JP2021135296A JP2021025290A JP2021025290A JP2021135296A JP 2021135296 A JP2021135296 A JP 2021135296A JP 2021025290 A JP2021025290 A JP 2021025290A JP 2021025290 A JP2021025290 A JP 2021025290A JP 2021135296 A JP2021135296 A JP 2021135296A
Authority
JP
Japan
Prior art keywords
storage element
output
test
input
logic circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021025290A
Other languages
English (en)
Other versions
JP7277496B2 (ja
Inventor
アーレンス ハイコ
Ahrens Heiko
アーレンス ハイコ
ローゼンブッシュ イェンス
Jens Rosenbusch
ローゼンブッシュ イェンス
ティレ ダニエル
Tille Daniel
ティレ ダニエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of JP2021135296A publication Critical patent/JP2021135296A/ja
Application granted granted Critical
Publication of JP7277496B2 publication Critical patent/JP7277496B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/30Accessing single arrays
    • G11C29/32Serial access; Scan testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31712Input or output aspects
    • G01R31/31713Input or output interfaces for test, e.g. test pins, buffers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31723Hardware for routing the test signal within the device under test to the circuits to be tested, e.g. multiplexer for multiple core testing, accessing internal nodes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31701Arrangements for setting the Unit Under Test [UUT] in a test mode
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318555Control logic
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

【課題】自己テスト回路を備える集積回路を提供する。【解決手段】論理回路106と、動作データを記憶する入力側記憶要素102_1と、出力側記憶要素102_2と、テストデータを記憶する入力側テスト記憶要素202_1と、出力側テスト記憶要素202_2と、を有しており、論理回路は選択的に入力側で入力側記憶要素に接続されており、動作データを受信し、または入力側で入力側テスト記憶要素に接続されており、テストデータを受信する。、論理回路は、さらに、出力側で出力側記憶要素および出力側テスト記憶要素に接続されており、したがって、論理回路は出力側記憶要素および/または出力側テスト記憶要素にデータを供給し、出力側記憶要素は、論理回路に動作データが供給されると論理回路からのデータを処理し、論理回路にテストデータが供給されると論理回路からのデータを処理しないように設定されている。【選択図】図3A

Description

本発明は、自己テスト回路を備える集積回路、自己テスト回路を備える集積回路の動作方法、マルチコアプロセッサ装置およびマルチコアプロセッサ装置の動作方法に関する。
電子システム、特に安全に関する電子システムは、それが機能安全規格、例えば車両分野に関連する規格ISO26262を満たしているべき場合には、定期的に、エラーに関してテストされなければならい。「Logic Built−In Self−Test」(LBIST)とも称される、論理エラーを識別するための、組み込み自己テスト回路もしくは集積自己テスト回路は今日、この種のテストを実行するための通常の装置である。
LBISTは、構造的なアプローチである。例えばソフトウェアベースの自己テスト等の機能的なアプローチとは異なり、LBISTは、テストされるシステムもしくはテストされるコンポーネントのシステム制限内で実行されるのではなく、このために設定された固有のアーキテクチャ、いわゆるスキャンアーキテクチャによって実行される。
図1は、説明のために、従来技術に即したLBISTを備える集積回路の概略図を示している。
動作モード、機能的なモードまたは機能モードとも称される、集積回路100の通常動作の間、これに関連する機能は、機能パス114(実線)に沿って経過する。ここでは記憶要素102はデータ値を記憶し、論理回路106はこのデータ値を使用して、例えばブール演算子を適用して、コンビネータ論理を実行する。
破線として示されているスキャンチェーン116は、テストモードまたはLBISTモードとも称されるテスト動作の間、テスト中のより良いコントロール性のために、記憶要素102にテストデータを事前にロードするために使用される。
本願で以降はLBISTサイクルと称されるテストサイクルは、3つの段階を有している。まずは、テストデータ、例えば疑似乱数が記憶要素102内にロードされ、例えば挿入される。これは、スキャンチェーン116に沿って行われる。
第2の段階では、テストデータが、(例えばコンビネータ論理をマッピングするもしくは実現する)論理回路106によって処理される。これは、論理回路106を介して伝播する、潜在的なエラーの検出につながる。
第3の段階では、処理されたテストデータが、スキャンチェーン116の記憶要素によって、別の記憶要素102に押し出される。通常、スキャンチェーン116および相応するLBIST方法は、テストサイクルの第3の段階が同時に、後続のテストサイクルの第1の段階であるように作成されている。換言すれば、論理回路106によって処理され、出力されたテストデータは、スキャンチェーン116に沿って後続する、後続のテストサイクルにおける論理回路106に対する入力データを作成する。
LBISTの実行は破壊性のものである。なぜなら、記憶要素102は、(例えば疑似ランダム)テストデータによって上書きされるからである。LBISTの後、集積回路100は、未知の状態もしくは定められていない状態にあり、したがって、再初期化を必要とする。これは、通常、リセットおよびリブートによって行われる。
今日、LBISTは例えば、いわゆる「Power−On Self−Test」(POST)である再スタートの間、実行される。すなわち、集積回路100または集積回路100がその構成部分を形成するシステムが通常動作に移行する前に実行される。LBISTの実行後、リセットが開始され、制御ユニット(例えばMCU)が再スタートされる(ブートされる)。
このような手法は、多くの場合において適しているが、集積回路100の継続動作には適していない。
しかし、LBISTの形式でのシステムテストを、通常動作中にも実行することが必要とされている。これは「Mission−Mode LBIST」と称される。
通常動作中のLBISTを実現するために、2つの手法が想定される。
1.システムの状態が、LBISTの実行前に記憶され、その後に復元される。
2.LBISTの実行後にシステムが再初期化される。
上述した3つのLBIST段階が実行される持続時間の間、システムの通常動作が中断される。これは、従来技術のLBISTアーキテクチャが、上述した2つの手法のうちのいずれが選択されるのかに係わらずに、システムの重大な利用不可性を生じさせてしまうことを意味する。
このような利用不可性が許容されない場合には、単にLBISTは適用不可であり、したがって、安全措置が他の様式で実現される必要がある。これは例えば典型的に、大きい面積需要を伴うハードウェアソリューションによって、または典型的に、僅かな遮蔽を可能にし、すなわちシステム全体に使用可能ではないソフトウェアベースの自己テストによって行われる。
米国特許出願公開第6691268号明細書および米国特許出願公開第8461865号明細書において、それぞれLBISTを備える回路が記載されており、ここでは、各記憶要素に対して、1つの出力側記憶要素が提供され、テストデータおよび状態データが、通常動作からテスト動作への切り替え時に交換され、逆にされる。
このような手法は、コストのかかる回路によってのみ実現可能であり、リブートのための時間を省くことはできるが、各記憶過程に対する時間が必要になる。
種々の実施例では、記憶要素の状態を維持するLBISTスキームが提供される。これは、LBISTの実行がリセットおよび再初期化を必要としないことを意味する。これによって、テストされるシステム、例えば集積回路の可用性が高くなり、これは、特に通常動作中の実行の際にLBISTの適用性を改善する。
種々の実施例では、LBISTの実行のために、固有の刺激パスおよび応答パスが提供される。
明確に言えば、種々の実施例において、動作ネットワークは、可能な限り(すなわち、テストされる論理回路を除いて)テストネットワークから分離される。これによって、システムの通常動作と同時に(すなわちバックグラウンドにおいて)テストデータをロードすることが可能になる。この際に、通常動作は妨害されない。
種々の実施例において、非破壊的に読み出し可能な(例えば集積回路の一部である)LBISTアーキテクチャが提供され、これは、刺激データおよび応答データの操作を、システムの(通常)動作と並行して行うことを可能にする。
本発明の実施例を図示し、以降で詳細に説明する。
従来技術に即した、LBISTを備える集積回路の概略図を示している。 種々の実施例に即した、LBISTを備える集積回路の概略図を示している。 種々の実施例に即した、LBISTを備える集積回路の簡易化された回路図を示している。 種々の実施例に即した、LBISTを備える集積回路の簡易化された回路図を示している。 従来技術に即した、冗長機能を備える集積回路の簡易化された回路図の部分図を示している。 種々の実施例に即した、LBISTおよび冗長機能を備える集積回路の簡易化された回路図を示している。 種々の実施例に即した、LBISTを備えるマルチコアプロセッサ装置の概略図を示している。 種々の実施例に即した、LBISTを備える集積回路の動作方法のフローチャートを示している。
以降の詳細な説明では、添付の図面が参照される。添付の図面は、本出願の一部であり、ここには、可視化するために、そこにおいて本発明を実行することができる特別な実施形態が示されている。この点に関して、「上」、「下」、「前」、「後」、「前方」、「後方」等の方向の用語は、説明されている図形の配向を参照して使用される。実施形態のコンポーネントは、多くの異なる配向において位置付け可能であるので、これらの方向の用語は説明の目的で使用されており、決して制限するものではない。本発明の保護範囲から逸脱することなく、他の実施形態が使用可能であり、構造的または論理的な変更を行うことができるということを理解されたい。そうでないことが特に明記されていない限り、本願に記載されている種々の例示的な実施形態の特徴を相互に組み合わせることができるということを理解されたい。したがって、以下の詳細な説明は、限定的な意味で解釈されるべきではなく、本発明の保護範囲は、添付の特許請求の範囲によって規定される。
本明細書の枠内では、用語「接続されている(verbunden)」、「つながっている(angeschlossen)」ならびに「gekoppelt(結合されている)」は、直接的な接続および間接的な接続の両者、直接的なつながりまたは間接的なつながり、ならびに直接的な結合または間接的な結合を表すために使用される。図面では、それが適切である限り、同じ要素または類似の要素に同じ参照符号が付けられている。
種々の実施例の説明を容易にするために、一部の小文字の後に、一般的な参照符号が続く。
図2は、種々の実施例に即した、論理エラーを識別するための組み込み自己テスト回路(LBIST)を備える集積回路200の概略図を示しており、図3Aおよび図3Bは、種々の実施例に即した、LBISTを備える集積回路200の簡略化された回路図をそれぞれ示している。図2、図3Aおよび図3Bは、後続の説明において参照される。
論理エラーを識別するための組み込み自己テスト回路を備える集積回路200は、種々の実施例において、論理回路106、動作データを記憶する入力側記憶要素102_1、出力側記憶要素102_2、テストデータを記憶するための入力側テスト記憶要素202_1および出力側テスト記憶要素202_2を有している。記憶要素102の各々およびテスト記憶要素202の各々は、種々の実施例において、少なくとも1つのフリップフロップ、典型的に複数のフリップフロップを有している、または例えばラッチ等の別の記憶要素を有している。
LBIST実装は、種々の態様に関して、従来技術から公知の、いわゆるSTUMPS(Self−Test Using MISR and Parallel Shift Register Sequence Generator)アーキテクチャに基づいていてよい。
これに相応に、例えば入力側テスト記憶要素202_1または場合によっては、別の、例えばすべての、テスト記憶要素202にロードされるテストデータが例えば、線形帰還シフトレジスタ(Linear Feedback Shift−Register、 LFSR)によって提供され得る。これは、疑似乱数の決定論的シーケンスを生成するために設定されていてよい、もしくは利用されてよい。
さらに、論理回路106によって生成された、処理されたテストデータを受け取る出力側テスト記憶要素202_1もしくはテスト記憶要素202_1の各々は、処理されたテストデータを多入力シグネチャレジスタ(Multiple Input Signature Register、 MISR)に提供する。これは、出力応答を調べるように設定されていてよい、もしくは利用されてよい。
集積回路200は、種々の実施例において、論理回路106が選択的に入力側で、入力側記憶要素102_1に(例えば導電性に)接続されているように設定されていてよく、したがって、入力側記憶要素102_1は、動作データを論理回路106に提供する。出力側では、論理回路106は、出力側記憶要素102_2に(例えば導電性に)接続されていてよく、したがって論理回路106は、論理回路106によって処理された動作データを供給する。このような状態において、集積回路200は、(作業動作、通常動作またはミッションモードとも称される)動作モードにある。ここで、出力側記憶要素102_2が活性化されていてよい。言い換えると、出力側記憶要素102_2は、論理回路106によって処理された動作データが処理される、例えば出力側記憶要素102_2内に記憶される等の状態にあってよい。論理回路106は、動作モードにおいて、さらに、出力側テスト記憶要素202_2に接続されていてよい。
または論理回路106は、入力側で、入力側テスト記憶要素202_1に(例えば導電性に)接続されており、したがって、入力側テスト記憶要素202_1は、テストデータを、論理回路106に提供する。出力側では、論理回路は、出力側テスト記憶要素202_2に(例えば導電性に)接続されていてよく、したがって、論理回路106は、論理回路106によって処理されたテストデータを供給する。このような状態において、集積回路200は、(テスト動作とも称される)テストモードにある。論理回路106は、テストモードにおいて、さらに、出力側記憶要素102_2に接続されていてよく、出力側記憶要素102_2に処理されたテストデータを供給する。しかし、出力側記憶要素102_2が、テストモードにおいて非活性化されていてよい。言い換えると、出力側記憶要素は、供給されたデータが処理されない(無視される)、例えば出力側記憶要素102_2内に記憶されない等の状態に切り替えられていてよい、または切り替えられてよい。
種々の実施例では、論理回路106の、出力側記憶要素102_2もしくは出力側テスト記憶要素202_2との接続は、論理回路106が継続的に、出力側記憶要素102_2および出力側テスト記憶要素202_2に接続されているのではなく、選択的に、両者に接続可能であるようにされていてよい。この場合には、例えば、論理回路106は、動作モードにおいては、出力側で、出力側記憶要素102_2にだけ接続されていてよく、テストモードにおいては、出力側で、出力側テスト記憶要素202_2にだけ接続されていてよい。このために、例えば、デマルチプレクサが利用可能である。
集積回路200は、種々の実施例においてさらに、制御部を有している。制御部は、動作モードとテストモードとの間で、もしくはテストモードと動作モードとの間で切り替えられるように設定されていてよい、もしくは利用されてよい。
集積回路200の上述の構成は、動作モードにおいて利用される回路要素が、テストされる論理回路106自体を除いて、自己テストによって実質的に影響されないことを意味する。特に、入力側記憶要素102_1および出力側記憶要素102_2は、テストデータによって上書きされるのではなく、これらは直接的に、論理回路106の入力側テスト記憶要素202_1によって提供される、もしくは論理回路106の出力側テスト記憶要素202_2によって受け取られ、出力側記憶要素102_2において無視される。
種々の実施例の基本的な機能は、図2の概略図に基づいて特に容易に見て取れる。動作モードにおいて、システムアプリケーションは、例えば記憶要素102_1、102_2、102_3、データ線路114および論理回路106、例えば論理ゲートのアプリケーションネットワークを介して実行される。
これと並行して、実質的に分離されたスキャンネットワークが提供され、これは、別個のテスト記憶要素202_1、202_2、202_3、固有のデータ線路を記憶要素202と論理回路106との間(データ線路210、212)および記憶要素202同士の間(データ線路220)で有しており、ここでテストされる論理回路106は、テストネットワークの一部でもある。
図2では、集積回路200、200aの一部として、テストされる2つの論理回路106が示されており、これらは特に、テストの目的で、1つのスキャンチェーンに接続可能である、もしくは接続される。種々の実施例では、スキャンチェーンは実質的に任意の多さの付加的な論理回路106を、各付加的な出力側記憶要素102および付加的なテスト記憶要素202と共に有することができる。ここで、付加的な要素が類似の様式で、回路200内に集積されてよい。これは、図3Bの回路200、200cにあるような2つの論理回路106への、図3Aの集積回路200、200bにあるような1つの論理回路106の拡張を示している。
言い換えると、種々の実施例において、集積回路200は、少なくとも1つの付加的な出力側記憶要素102_3および少なくとも1つの付加的な出力側テスト記憶要素202_3を有することができ、ここで少なくとも1つの論理回路106は、複数の論理回路106_1、106_2を有しており、ここで入力側テスト記憶要素202_1および出力側テスト記憶要素202_2と論理回路106との接続の間、入力側テスト記憶要素202_1、出力側テスト記憶要素202_2および少なくとも1つの付加的な出力側テスト記憶要素202_3と共に複数の論理回路106_1、106_2は、(テスト)スキャンチェーンを形成する。
少なくとも1つの付加的な出力側記憶要素102_3はここで、出力側記憶要素102_2および入力側記憶要素102_1と同様に、スキャンチェーンの一部ではない。
入力側テスト記憶要素202_1および出力側テスト記憶要素202_2に接続されている論理回路106_1は、入力側テスト記憶要素202_1および出力側テスト記憶要素202_2と共に、スキャンチェーンの第1のチェーン素子を形成する。スキャンチェーンの各付加的なチェーン素子は、複数の論理回路106のうちの1つを有していてよく、これは、入力側で、先行するチェーン素子の出力側テスト記憶要素202_2に接続されており、出力側で、出力側テスト記憶要素202_2、202_3、...、202_nの別の出力側テスト記憶要素202_3に接続されている。
テストモードの間、論理回路106がテスト記憶要素202_1、202_2、202_3、...、202_nに接続されて、スキャンチェーンを成す場合、付加的なチェーン素子の各論理回路106に、先行するチェーン素子の出力側テスト記憶要素202_2、202_3は、先行するチェーン素子の論理回路106によって処理されたテストデータを提供することができる。
さらに、付加的なチェーン素子の各テスト論理回路106は、自身によって処理されたテストデータを、出力側で、出力側テスト記憶要素202_2、202_3に供給することができる。論理回路106によって処理されたテストデータは、出力側で、出力側記憶要素102_2、102_3等に供給されてもよいが、出力側記憶要素102_2、102_3等は、テストモードにおいて非活性化されていてよい、もしくは非活性化されてよい。供給された処理されたテストデータは次に無視されてよい。これによって、動作モードの状態を、入力側記憶要素および出力側記憶要素において得続けることができる。
別個のテスト記憶要素202を有する別個のスキャンネットワークのために、スキャンチェーンは、動作モード中に既にテストデータと並行してロードされてよく、この際にアプリケーションネットワークにおけるアプリケーションが損害を受けることはない。この際に、テスト記憶要素202のうちの1つだけ、例えば、入力側テスト記憶要素202_1または出力側テスト記憶要素202_2だけにテストデータがロードされ得る、または複数のまたはすべてのテスト記憶要素202に、例えばデータ線路220を使用してテストデータがロードされ得る。
テスト記憶要素202は、所望されているように完全にロードされている場合には、テストモードに切り替えられ、テストが実行されてよい。このために、アプリケーションが終了され、すべての記憶要素102が自身の現在の値を維持することができる。テストされるべき論理回路106は(これは例えば、コンビネータ論理をマッピングする、もしくは実現する)、テスト記憶要素202内に記憶されているテスト値によって刺激される。論理回路106によって生成されるテスト応答は、テスト記憶要素202内にのみ記憶される。テスト応答が、テストモードにおいて出力側記憶要素102_2に供給され続ける場合でも、出力側記憶要素102_2は非活性化されていてよい。テスト応答は、スキャン線路220によって、記憶要素202から押し出されてよい。既に、その間、通常のアプリケーションが継続されてよく、詳細には正確に、それが中断された点で継続されてよい。
これによって、集積回路200の記憶要素(例えば入力側記憶要素102_1および出力側記憶要素102_2)がテスト前に有している状態を、テストが終了し、通常動作が再開可能になるまで、すなわち動作モードが継続可能になるまで、記憶して保持することができる。動作モードの継続をシームレスに行うことができ、この際に復元が行われる必要はない。なぜなら、これらの状態が、記憶要素102内に、依然として変わらずに存在しているからである。
さらに、動作モード中に既に、テストモードを準備することができる。これは、テストデータが、入力側テスト記憶要素202_1内にロードされることによって行われる。通常の動作記憶要素もしくはアプリケーション記憶要素102(例えば入力側記憶要素102_1、出力側記憶要素102_2)が、テスト記憶要素202(例えば入力側テスト記憶要素202_1、出力側テスト記憶要素202_2)から分離されていることによって、通常の動作を妨害することなく、このような措置を取ることができる。
例えば、入力側テスト記憶要素202_1は、それが線形帰還シフトレジスタである場合には、動作モード中に既に、疑似乱数の決定論的シーケンスを生成するように設定されていてよい、もしくは利用されてよい。これらは、テストモードの開始と共に、自己テストのためのテストデータとして使用可能であり、テストされる論理回路106に提供可能である。
テストモードと動作モードとの間の切り替えのために、集積回路200は例えば、制御部の一部として、マルチプレクサ224を有することができる。マルチプレクサ224は、選択回路であってよく、これによって、複数の入力信号(ここでは例えば動作データおよびテストデータ)から1つの入力信号を選択し、出力側で切り替えることが可能になる。
さらに、集積回路200、特に制御部は、動作モードとテストモードとの間の集積回路200の切り替えのために、スイッチング信号222を提供するように設定されていてよい、もしくは利用されてよい。
スイッチング信号222は、動作モードへの切り替えのために第1の信号値、例えば0を有することができる。テストモードへの切り替えのために、スイッチング信号は、第2の信号値、例えば1を有することができる。記憶要素102およびマルチプレクサ224の構造に関連して、テストモードにおける信号値は次のように選択され得る。すなわち、記憶要素102が、提供されたスイッチング信号値の結果、透過性にされており、もしくは透過性にされ、テストモードへ移行する前の自身の状態が保持され、さらにマルチプレクサ224がこの信号値の提供時に、テストモードへ移行するために、テスト信号がテスト記憶要素202_1から、マルチプレクサ224によって、論理回路106に提供されるように切り替えられるように選択され得る。
スイッチング信号222は、種々の実施例において、マルチプレクサ224、例えばマルチプレクサの制御入力側に提供されてよい。さらにスイッチング信号222は、出力側記憶要素102_2に提供されてよく、例えば、出力側記憶要素102_2がフリップフロップを有している場合、イネーブル入力側またはクロックイネーブル入力側に提供されてよい。出力側のデマルチプレクサが存在する場合には、動作モードとテストモードとの間の切り替えのために、これに同様に、スイッチング信号222が供給されてよい。
さらにスイッチング信号222が入力側記憶要素102_1に提供されてよく、例えば、出力側記憶要素102_2がフリップフロップを有している場合、そのイネーブル入力側またはそのクロックイネーブル入力側に提供されてよい。
スイッチング信号222によって、上述のように、テストモードへの切り替え時にもしくはテストモードへの切り替え後に、出力側記憶要素102_2および場合によっては入力側記憶要素102_1が、テストモードへ移行する前に有していた自身の最終的な動作モード状態を維持するように、非活性化され得る(または自由選択的に、論理回路との出力側記憶要素102_2の接続が分離される)。
図3Aに基づいて、集積回路200の動作を説明する。
上述のように、入力側記憶要素102_1および出力側記憶要素102_2はフリップフロップを有することができる、またはフリップフロップから形成されていてよい。各フリップフロップ102に対して、回路200、200bに(形成可能なスキャンチェーンのため、スキャンフリップフロップとも称される)テストフリップフロップ202が付け加えられている。テストフリップフロップは、論理回路(「ミッションロジック」)106から、動作モードの間、実質的に分離されている。
動作モードの間、lbist_testとも称されるスイッチング信号222は信号値0を有していてよい。
すなわち、動作モードの間、フリップフロップ102は活性化されており、論理回路106への供給はそれらによって行われる。さらに、論理回路の出力が、フリップフロップ102に導かれる。
これと並行して、データがスキャンフリップフロップ202にロードされ得る。これはシフト過程によって行われてよく、シフト過程は種々の実施例において、(メインアプリケーションよりも)低いクロック周波数にしたがって実行されてよく、これによってエネルギーを節約することができる。
テストモード(LBISTモード)へ移行するために、スイッチング信号222の信号値が変更されてよく、これは例えばlbist_test=0からlbist_test=1に切り替えられてよい。
すなわち、フリップフロップ102が非活性化され、したがって非活性化の時点のその値が維持される。
次に論理回路106にテストデータが供給され、処理されたテストデータがテストフリップフロップ202に供給される。
記載したイネーブルフロップの代わりに、別の、活性と非活性との間で切り替え可能な状態メモリが使用されてよく、これは例えば、クロックゲーティングを可能にするフリップフロップである。
任意の数の評価サイクルの後に、スイッチング信号222の信号値が、再び0にセットされてよい。これによって、集積回路200は再び、正確に、それが中断された点で、自身の通常動作を開始する。
これと並行して、テストフリップフロップ202内に記憶されている、処理されたデータが、例えば評価のために、例えばスキャンチェーンもしくは線路220に沿ってMISRに押し出されてよい。
図4Aは、従来技術に即した、冗長機能を備える集積回路400の簡易化された回路図を示している。図4Bは、種々の実施例に即した、LBISTと冗長機能とを備える集積回路200の簡易化された回路図を示している。
集積回路200への付加的な記憶要素202の挿入は、結果として、集積回路200がその中に形成されているチップにおいてより多くの面積需要を生じさせる。
しかし、従来技術に即した集積回路400には、しばしば、少なくとも部分的にまたは完全に、自身のフリップフロップ102のためのセーフティフリップフロップ202Rが装備されており、これらのセーフティフリップフロップは、データをフリップフロップ102に対して冗長的に記憶し、比較し、差異が識別された場合にアラームを提供するように設定されていてよい。これによって、例えば宇宙線によって引き起こされ得る、いわゆるシングルイベントアップセット(SEU)に対するロバスト性が向上し得る。これは図4Aに示されている。
種々の実施例において、セーフティフリップフロップ202Rは、それらが、二重機能を満たすことができるように、すなわち、テストモード中のテスト記憶要素202として、および動作モード中、すなわち通常動作中の冗長メモリ202Rとしての二重機能を満たすことができるように集積回路200、200d内に組み込まれてよい。これは図4Bに示されているが、ここでは見やすくするために、アラーム機能に必要な回路要素は省かれている。
換言すれば、種々の実施例では、入力側テスト記憶要素202_1は、動作モードにおいて、入力側記憶要素102_1に対して冗長的な入力側セーフティ記憶要素202R_1を形成するように設定されていてよく、かつ/または出力側テスト記憶要素202_2は、動作モードにおいて、出力側記憶要素102_2に対して冗長的な出力側セーフティ記憶要素202R_2を形成するように設定されていてよい。2つ以上の記憶要素もしくはテスト記憶要素が存在する場合にはさらなる、例えばすべてのさらなる記憶要素102に、テスト記憶要素202が割り当てられていてよい。このテスト記憶要素は動作モードにおいて、セーフティ記憶要素202Rとして利用可能である。
(チップ)面積需要をさらに低減させるために、種々の実施例に即したLBISTスキームが制限されて使用されよく、したがって、これは、潜在的なエラーを検出するために全体的なロジックの一部のみ、例えば安全システム(だけ)をテストする。
図5は、種々の実施例に即したLBIST200を備えるマルチコアプロセッサ装置500の概略図である。
マルチコアプロセッサ装置500はプロセッサコア550(コア)および冗長的な第2のプロセッサコア552(アンチコア)を有することができ、すなわち第2のプロセッサコア552は、プロセッサコア550と同じ動作データを得ることができ、これを同じように処理することができる。両者によって提供されたデータは、コンパレータ554(例えば、ロックステップコンパレータ)において比較されてよく、比較の結果556、例えば、不一致の場合のアラームを出力することができる。
種々の実施例において、マルチコアプロセッサ装置500は、コンパレータ554だけが、LBIST200による自己テストを受けるように設定されていてよい。
すなわち、メインコア550は(および冗長的な第2のコア552も)、LBISTの実行によって損害を受けることはない。
これによって、プロセッサコア550の可用性が高まる。コンパレータ554上でのLBISTの実行は制限されているので、これは、メインプロセッサコア上で実行されるアプリケーションに損害を与えることはない。
これにしたがって、リアルタイムのプログラム実行が得られ続ける。(ロックステップ)コンパレータ554は、個々のエラーを識別することができてよい。このような識別は、LBISTテストの間だけ中断され、実際には、論理回路106自体のテストに必要な時間の間だけ中断される。
図6は、種々の実施例に即した、自己テスト回路を備える集積回路の動作方法のフローチャート600を示している。
自己テスト回路を備える集積回路は少なくとも1つの論理回路、動作データを記憶する少なくとも1つの入力側記憶要素、少なくとも1つの出力側記憶要素、テストデータを記憶する少なくとも1つの入力側テスト記憶要素および少なくとも1つの出力側テスト記憶要素を有しており、ここで、論理回路は出力側で、出力側記憶要素および出力側テスト記憶要素に接続されているまたは接続可能である。
この方法は選択的に、入力側での入力側記憶要素との論理回路の接続を有しており(610において)、さらに入力側記憶要素による論理回路での動作データの提供を有しており(6101において)、論理回路によって処理された動作データの出力側記憶要素への供給を有しており(6102において)、出力側記憶要素における論理回路からのデータの処理を有しており(6103において)、または入力側での入力側テスト記憶要素との論理回路の接続を有しており(620において)、さらに入力側テスト記憶要素による論理回路でのテストデータの提供を有しており(6201において)、論理回路によって処理された動作データの出力側テスト記憶要素への供給を有しており(6202において)、出力側記憶要素における論理回路からのデータの無視を有している(6203において)。
上述のように、非破壊的なLBISTアーキテクチャが提供され、これによって、刺激と応答とをシステムの実行と並行して操作することが可能になる。
これは、システム状態を複雑ではない様式で維持することができるという点で有利であり得る。例えばコストのかかる再初期化は不要である。
さらに、バックグラウンドにおいて記憶要素にテストデータをロードすることができるので、システムの実行の中断は、ロジックの実際のテストの段階(検出段階もしくは「capture phase」とも称される)に限定され、これによって、システムの可用性が格段に高まる。
いくつかの実施例を以下に要約する。
実施例1は、自己テスト回路を備える集積回路である。この集積回路は、少なくとも1つの論理回路、動作データを記憶する少なくとも1つの入力側記憶要素、少なくとも1つの出力側記憶要素、テストデータを記憶する少なくとも1つの入力側テスト記憶要素および少なくとも1つの出力側テスト記憶要素を有しており、ここで、論理回路は選択的に入力側で入力側記憶要素に接続されており、したがって、入力側記憶要素は、動作データを論理回路に提供し、または入力側で入力側テスト記憶要素に接続されており、したがって、入力側テスト記憶要素は、テストデータを論理回路に提供する。ここで論理回路はさらに、出力側で出力側記憶要素および出力側テスト記憶要素に接続されており、または接続可能であり、したがって、論理回路は出力側記憶要素および/または出力側テスト記憶要素にデータを供給し、ここで出力側記憶要素は、論理回路に動作データが供給されると論理回路からのデータを処理し、論理回路にテストデータが供給されると論理回路からのデータを処理しないように設定されている。
実施例2は、実施例1に即した集積回路であって、これはさらに制御部を有しており、制御部は、一方での、論理回路と入力側記憶要素との接続および出力側記憶要素の活性化(したがって、出力側記憶要素に供給された動作データが処理される)と、他方での、論理回路と入力側テスト記憶要素との接続および出力側記憶要素の非活性化(したがって、出力側記憶要素に供給されたテストデータが無視される)と、の間の切り替え、もしくはその逆の切り替えを行うように設定されている。
実施例3は、実施例1または2に即した集積回路であって、さらに、論理回路が入力側記憶要素および出力側記憶要素に接続されている間、テストデータを入力側テスト記憶要素にロードするように設定されている。
実施例4は、実施例2または3に即した集積回路であって、ここで制御部はマルチプレクサを有している。
実施例5は、実施例2から4までのいずれか1つの実施例に即した集積回路であって、ここで制御部はさらに、論理回路を入力側記憶要素に接続し、出力側記憶要素を活性化するために、第1の信号値を有するスイッチング信号を提供するように設定されており、さらに論理回路を入力側テスト記憶要素に接続し、出力側記憶要素を非活性化するために、第2の信号値を有するスイッチング信号を提供するように設定されている。
実施例6は、実施例5に即した集積回路であって、ここで制御部はさらに、スイッチング信号を少なくともマルチプレクサおよび出力側記憶要素に提供するように設定されている。
実施例7は、実施例1から5までのいずれか1つの実施例に即した集積回路であって、ここで入力側記憶要素、出力側記憶要素、入力側テスト記憶要素および出力側テスト記憶要素は、それぞれ少なくとも1つのフリップフロップを有している。
実施例8は、実施例5および7に即した集積回路であって、ここで出力側記憶要素の少なくとも1つのフリップフロップは、イネーブル入力側および/またはクロックイネーブル入力側を有しており、制御部はさらに、スイッチング信号をイネーブル入力側またはクロックイネーブル入力側に提供するように設定されている。
実施例9は、実施例4および5に即した集積回路であって、ここでマルチプレクサは制御入力側を有しており、制御部は、スイッチング信号を、マルチプレクサの制御入力側に提供するように設定されている。
実施例10は、実施例1から9までのいずれか1つの実施例に即した集積回路であって、ここで入力側テスト記憶要素は、動作モードにおいて、入力側セーフティ記憶要素を形成するように設定されており、この入力側セーフティ記憶要素は、入力側記憶要素に記憶されているデータを冗長的に記憶するように設定されており、かつ/または出力側テスト記憶要素は、動作モードにおいて、出力側セーフティ記憶要素を形成するように設定されており、出力側セーフティ記憶要素は、出力側記憶要素に記憶されているデータを冗長的に記憶するように設定されている。
実施例11は、実施例1から10までのいずれか1つの実施例に即した集積回路であって、さらに少なくとも1つの付加的な出力側記憶要素と少なくとも1つの付加的な出力側テスト記憶要素とを有しており、ここで少なくとも1つの論理回路は複数の論理回路を有しており、ここで論理回路と入力側テスト記憶要素および出力側テスト記憶要素との接続の間、入力側テスト記憶要素と出力側テスト記憶要素と少なくとも1つの付加的な出力側テスト記憶要素と共に複数の論理回路は1つのスキャンチェーンを形成し、ここで少なくとも1つの付加的な出力側記憶要素は、スキャンチェーンの一部ではない。
実施例12は、実施例11に即した集積回路であって、ここで入力側テスト記憶要素および出力側テスト記憶要素に接続されている論理回路は、入力側テスト記憶要素および出力側テスト記憶要素と共に、スキャンチェーンの第1のチェーン素子を形成し、ここでスキャンチェーンの各付加的なチェーン素子は、複数の論理回路のうちの1つを有しており、この論理回路は、入力側で、先行するチェーン素子の出力側テスト記憶要素に接続されており、出力側で、別の出力側テスト記憶要素に接続されている。
実施例13は、実施例12に即した集積回路であって、ここで先行するチェーン素子の出力側テスト記憶要素は、付加的なチェーン素子の各論理回路に、先行するチェーン素子の論理回路によって処理されたテストデータを提供し、ここで付加的なチェーン素子の各論理回路は、自身によって処理されたテストデータを、出力側で、出力側テスト記憶要素に供給する。
実施例14は、マルチコアプロセッサ装置である。マルチコアプロセッサ装置は第1のプロセッサを有しており、この第1のプロセッサは、データを処理し、出力するように設定されており、マルチコアプロセッサ装置は第2のプロセッサを有しており、この第2のプロセッサは、同一のデータを処理し、出力するように設定されており、マルチコアプロセッサ装置は比較器を有しており、この比較器は、実施例1から13までのいずれか1つの実施例に即した集積回路を形成し、さらに、第1のプロセッサから出力されたデータを第2のプロセッサから出力されたデータと比較するように設定されている。
実施例15は、自己テスト回路を備える集積回路の動作方法であって、この集積回路は、少なくとも1つの論理回路、動作データを記憶する少なくとも1つの入力側記憶要素、少なくとも1つの出力側記憶要素、テストデータを記憶する少なくとも1つの入力側テスト記憶要素および少なくとも1つの出力側テスト記憶要素を有しており、ここで、論理回路は出力側で出力側記憶要素および出力側テスト記憶要素に接続されている。この方法は選択的に、入力側での入力側記憶要素との論理回路の接続を有しており、さらに入力側記憶要素による論理回路での動作データの提供を有しており、論理回路によって処理された動作データの出力側記憶要素への供給を有しており、出力側記憶要素における論理回路からのデータの処理を有しており、または入力側での入力側テスト記憶要素との論理回路の接続を有しており、さらに入力側テスト記憶要素による論理回路でのテストデータの提供を有しており、論理回路によって処理された動作データの出力側テスト記憶要素および出力側記憶要素への供給を有しており、出力側記憶要素における論理回路からのデータの無視を有している。
実施例16は、実施例15に即した方法であって、一方での、論理回路と入力側記憶要素との接続および出力側記憶要素の活性化と、他方での、論理回路と入力側テスト記憶要素との接続および出力側テスト記憶要素の非活性化と、の間の切り替え、もしくはその逆の切り替えをさらに有している。
実施例17は、実施例15または16に即した方法であって、この方法はさらに、入力側記憶要素を備える論理回路の間、入力側テスト記憶要素へのテストデータのロードを有している。
実施例18は、実施例16または17に即した方法であって、ここで集積回路は、自由選択的にマルチプレクサを有している制御部を有している。
実施例19は、実施例16から18までのいずれか1つの実施例に即した方法であって、この方法はさらに選択的に、入力側記憶要素との論理回路の接続および出力側記憶要素の活性化のための第1の信号値を有するスイッチング信号の提供を有しており、さらに入力側テスト記憶要素との論理回路の接続および出力側テスト記憶要素の非活性化のための第2の信号値を有するスイッチング信号の提供を有している。
実施例20は、実施例18および19に即した方法であって、ここでスイッチング信号を少なくともマルチプレクサおよび出力側記憶要素に提供する。
実施例21は、実施例15から20までのいずれか1つの実施例に即した方法であって、ここで入力側記憶要素、出力側記憶要素、入力側テスト記憶要素および出力側テスト記憶要素は、それぞれ少なくとも1つのフリップフロップを有している。
実施例22は、実施例19および21に即した方法であって、ここで出力側記憶要素の少なくとも1つのフリップフロップは、イネーブル入力側および/またはクロックイネーブル入力側を有しており、これにスイッチング信号を提供する。
実施例23は、実施例19から22までのいずれか1つの実施例に即した方法であって、スイッチング信号を、マルチプレクサの制御入力側に提供する。
実施例24は、実施例15から23までのいずれか1つの実施例に即した方法であって、ここで入力側テスト記憶要素は、動作モードにおいて、入力側セーフティ記憶要素を形成するように設定されており、この方法はさらに、入力側記憶要素に記憶されている動作データの入力側セーフティ記憶要素における冗長的な記憶を有しており、かつ/またはここで出力側テスト記憶要素は、動作モードにおいて、出力側セーフティ記憶要素を形成するように設定されており、この方法はさらに、出力側記憶要素に記憶されている動作データの出力側セーフティ記憶要素における冗長的な記憶を有している。
実施例25は、実施例15から24までのいずれか1つの実施例に即した方法であって、ここで集積回路はさらに少なくとも1つの付加的な出力側記憶要素と少なくとも1つの付加的な出力側テスト記憶要素とを有しており、ここで少なくとも1つの論理回路は複数の論理回路を有しており、ここで論理回路と入力側テスト記憶要素および出力側テスト記憶要素との接続の間、入力側テスト記憶要素と出力側テスト記憶要素と少なくとも1つの付加的な出力側テスト記憶要素と共に複数の論理回路は1つのスキャンチェーンを形成し、ここで少なくとも1つの付加的な出力側記憶要素は、スキャンチェーンの一部ではない。
実施例26は、実施例25に即した方法であって、ここで入力側テスト記憶要素および出力側テスト記憶要素に接続されている論理回路は、入力側テスト記憶要素および出力側テスト記憶要素と共に、スキャンチェーンの第1のチェーン素子を形成し、ここでスキャンチェーンの各さらなるチェーン素子は、複数の論理回路のうちの1つを有しており、この論理回路は、入力側で、先行するチェーン素子の出力側テスト記憶要素に接続されており、出力側で、別の出力側テスト記憶要素に接続されている。
実施例27は、実施例26に即した集積回路であって、ここでこの方法はさらに、複数のチェーン素子のうちの1つのチェーン素子の論理回路によって処理されたテストデータをテストデータとして、後続のチェーン素子の論理回路に提供することを有しており、さらに最後のチェーン素子の論理回路によって処理されたテストデータを、最後のチェーン素子の論理回路に出力側で接続されている出力側テスト記憶要素に供給することを有している。
実施例28は、マルチコアプロセッサ装置の動作方法であって、このマルチコアプロセッサ装置は、第1のプロセッサと第2のプロセッサと比較器とを有している。この方法は、動作段階と自己テスト段階とを交互に行うことを有しており、ここで動作段階は、第1のプロセッサによる、比較器への第1のデータの提供を有しており、さらに第2のプロセッサによる、比較器への第2のデータの提供を有しており、さらに第1のデータと第2のデータとの比較を有しており、ここで自己テスト段階は、実施例15から27までのいずれか1つの実施例に即した自己テスト回路を備える集積回路の動作方法の実施を有しており、ここで比較器は、集積回路を形成する。
装置のさらなる有利な構成は方法の説明から生じ、方法のさらなる有利な構成は装置の説明から生じる。

Claims (28)

  1. 自己テスト回路を備える集積回路であって、前記集積回路は、
    ・少なくとも1つの論理回路と、
    ・動作データを記憶する少なくとも1つの入力側記憶要素と、
    ・少なくとも1つの出力側記憶要素と、
    ・テストデータを記憶する少なくとも1つの入力側テスト記憶要素と、
    ・少なくとも1つの出力側テスト記憶要素と、
    を有しており、
    ・前記論理回路は、選択的に、
    入力側で前記入力側記憶要素に接続されており、したがって、前記入力側記憶要素は、前記動作データを前記論理回路に提供し、または、
    入力側で前記入力側テスト記憶要素に接続されており、したがって、前記入力側テスト記憶要素は、前記テストデータを前記論理回路に提供し、
    ・前記論理回路は、さらに、出力側で前記出力側記憶要素および前記出力側テスト記憶要素に接続されており、または接続可能であり、したがって、前記論理回路は前記出力側記憶要素および/または前記出力側テスト記憶要素にデータを供給し、
    ・前記出力側記憶要素は、前記論理回路に前記動作データが供給されると前記論理回路からのデータを処理し、前記論理回路に前記テストデータが供給されると前記論理回路からのデータを処理しないように設定されている、
    集積回路。
  2. 前記集積回路は、さらに制御部を有しており、前記制御部は、
    −前記論理回路と前記入力側記憶要素との接続および前記出力側記憶要素の活性化、したがって、前記出力側記憶要素が供給された前記動作データを処理することと、
    −前記論理回路と前記入力側テスト記憶要素との接続および前記出力側記憶要素の非活性化、したがって、供給された前記動作データが無視されることと、
    の間の切り替え、もしくはその逆の切り替えを行うように設定されている、
    請求項1記載の集積回路。
  3. 前記集積回路は、前記論理回路が前記入力側記憶要素および前記出力側記憶要素に接続されている間、前記テストデータを前記入力側テスト記憶要素にロードするように、さらに設定されている、
    請求項1または2記載の集積回路。
  4. 前記制御部は、マルチプレクサを有している、
    請求項2または3記載の集積回路。
  5. 前記制御部は、
    ・前記論理回路を前記入力側記憶要素に接続し、前記出力側記憶要素を活性化するために、第1の信号値を有するスイッチング信号を提供し、
    ・前記論理回路を前記入力側テスト記憶要素に接続し、前記出力側記憶要素を非活性化するために、第2の信号値を有する前記スイッチング信号を提供する、
    ように設定されている、
    請求項2から4までのいずれか1項記載の集積回路。
  6. 前記制御部は、前記スイッチング信号を少なくとも前記マルチプレクサおよび前記出力側記憶要素に提供するようにさらに設定されている、
    請求項5記載の集積回路。
  7. 前記入力側記憶要素、前記出力側記憶要素、前記入力側テスト記憶要素および前記出力側テスト記憶要素は、それぞれ少なくとも1つのフリップフロップを有している、
    請求項1から5までのいずれか1項記載の集積回路。
  8. 前記出力側記憶要素の前記少なくとも1つのフリップフロップは、イネーブル入力側および/またはクロックイネーブル入力側を有しており、
    前記制御部は、前記スイッチング信号を前記イネーブル入力側または前記クロックイネーブル入力側に提供するようにさらに設定されている、
    請求項5を引用する請求項7記載の集積回路。
  9. 前記マルチプレクサは、制御入力側を有しており、前記制御部は、前記スイッチング信号を前記マルチプレクサの前記制御入力側に提供するように設定されている、
    請求項4を引用する請求項5記載の集積回路。
  10. 前記入力側テスト記憶要素は、動作モードにおいて、入力側セーフティ記憶要素を形成するように設定されており、前記入力側セーフティ記憶要素は、前記入力側記憶要素に記憶されている前記データを冗長的に記憶するように設定されており、かつ/または、
    前記出力側テスト記憶要素は、動作モードにおいて、出力側セーフティ記憶要素を形成するように設定されており、前記出力側セーフティ記憶要素は、前記出力側記憶要素に記憶されている前記データを冗長的に記憶するように設定されている、
    請求項1から9までのいずれか1項記載の集積回路。
  11. 前記集積回路は、少なくとも1つの付加的な出力側記憶要素と少なくとも1つの付加的な出力側テスト記憶要素とをさらに有しており、
    前記少なくとも1つの論理回路は、複数の論理回路を有しており、
    前記論理回路と前記入力側テスト記憶要素および前記出力側テスト記憶要素との接続の間、前記入力側テスト記憶要素と前記出力側テスト記憶要素と前記少なくとも1つの付加的な出力側テスト記憶要素と共に、前記複数の論理回路は、1つのスキャンチェーンを形成し、
    前記少なくとも1つの付加的な出力側記憶要素は、前記スキャンチェーンの一部ではない、
    請求項1から10までのいずれか1項記載の集積回路。
  12. 前記入力側テスト記憶要素および前記出力側テスト記憶要素に接続されている前記論理回路は、前記入力側テスト記憶要素および前記出力側テスト記憶要素と共に、前記スキャンチェーンの第1のチェーン素子を形成し、
    前記スキャンチェーンの各付加的なチェーン素子は、前記複数の論理回路のうちの1つを有しており、前記論理回路は、入力側で、先行する前記チェーン素子の前記出力側テスト記憶要素に接続されており、出力側で、別の前記出力側テスト記憶要素に接続されている、
    請求項11記載の集積回路。
  13. 先行する前記チェーン素子の前記出力側テスト記憶要素は、前記付加的なチェーン素子の各前記論理回路に、先行する前記チェーン素子の前記論理回路によって処理された前記テストデータを提供し、
    前記付加的なチェーン素子の各前記論理回路は、自身によって処理された前記テストデータを、出力側で、前記出力側テスト記憶要素に供給する、
    請求項12記載の集積回路。
  14. マルチコアプロセッサ装置であって、前記マルチコアプロセッサ装置は
    ・第1のプロセッサを有しており、前記第1のプロセッサは、データを処理し、出力するように設定されており、
    ・第2のプロセッサを有しており、前記第2のプロセッサは、同一のデータを処理し、出力するように設定されており、
    ・比較器を有しており、前記比較器は、請求項1から13までのいずれか1項記載の集積回路を形成し、前記第1のプロセッサから出力されたデータを前記第2のプロセッサから出力されたデータと比較するようにさらに設定されている、
    マルチコアプロセッサ装置。
  15. 自己テスト回路を備える集積回路の動作方法であって、
    前記集積回路は、少なくとも1つの論理回路、動作データを記憶する少なくとも1つの入力側記憶要素、少なくとも1つの出力側記憶要素、テストデータを記憶する少なくとも1つの入力側テスト記憶要素および少なくとも1つの出力側テスト記憶要素を有しており、
    前記論理回路は、出力側で前記出力側記憶要素および前記出力側テスト記憶要素に接続されており、または接続可能であり、
    前記方法は
    ・選択的に、入力側での前記入力側記憶要素との前記論理回路の接続を有しており、さらに
    ・前記入力側記憶要素による前記論理回路での動作データの提供を有しており、
    ・前記論理回路によって処理された前記動作データの前記出力側記憶要素への供給を有しており、
    ・前記出力側記憶要素における前記論理回路からの前記データの処理を有しており、または
    前記方法は
    ・入力側での前記入力側テスト記憶要素との前記論理回路の接続を有しており、さらに
    ・前記入力側テスト記憶要素による前記論理回路でのテストデータの提供を有しており
    ・前記論理回路によって処理された前記動作データの前記出力側テスト記憶要素および前記出力側記憶要素への供給を有しており、
    ・前記出力側記憶要素における前記論理回路からの前記データの無視を有している、
    方法。
  16. 前記方法は、さらに、
    ・切り替えを有しており、前記切り替えは、
    −前記論理回路と前記入力側記憶要素との接続および活性化された前記出力側記憶要素と、
    −前記論理回路と前記入力側テスト記憶要素との接続および非活性化された前記出力側テスト記憶要素と、
    の間の切り替え、
    −もしくはその逆の切り替えである、
    請求項15記載の方法。
  17. 前記方法は、さらに、
    ・前記論理回路が前記入力側記憶要素に接続されている間、前記入力側テスト記憶要素へ前記テストデータをロードすることを有している、
    請求項15または16記載の方法。
  18. 前記集積回路は、自由選択的にマルチプレクサを有している制御部を有している、
    請求項16または17記載の方法。
  19. 前記方法は、さらに、選択的に、
    ・前記入力側記憶要素との前記論理回路の接続および前記出力側記憶要素の活性化のための第1の信号値を有するスイッチング信号の提供と、
    ・前記入力側テスト記憶要素との前記論理回路の接続および前記出力側テスト記憶要素の非活性化のための第2の信号値を有するスイッチング信号の提供と、
    を有している、
    請求項16から18までのいずれか1項記載の方法。
  20. 前記スイッチング信号を少なくとも前記マルチプレクサおよび前記出力側記憶要素に提供する、
    請求項18を引用する請求項19記載の方法。
  21. 前記入力側記憶要素、前記出力側記憶要素、前記入力側テスト記憶要素および前記出力側テスト記憶要素は、それぞれ少なくとも1つのフリップフロップを有している、
    請求項15から20までのいずれか1項記載の方法。
  22. 前記出力側記憶要素の前記少なくとも1つのフリップフロップは、イネーブル入力側および/またはクロックイネーブル入力側を有しており、前記イネーブル入力側および/または前記クロックイネーブル入力側に前記スイッチング信号を提供する、
    請求項19を引用する請求項21記載の方法。
  23. 前記スイッチング信号を、前記マルチプレクサの制御入力側に提供する、
    請求項20から22までのいずれか1項記載の方法。
  24. 前記入力側テスト記憶要素は、動作モードにおいて、入力側セーフティ記憶要素を形成するように設定されており、前記方法は、さらに、前記入力側記憶要素に記憶されている前記動作データの前記入力側セーフティ記憶要素における冗長的な記憶を有しており、かつ/または、
    前記出力側テスト記憶要素は、動作モードにおいて、出力側セーフティ記憶要素を形成するように設定されており、前記方法は、さらに、前記出力側記憶要素に記憶されている前記動作データの前記出力側セーフティ記憶要素における冗長的な記憶を有している、
    請求項15から23までのいずれか1項記載の方法。
  25. 前記集積回路は、さらに少なくとも1つの付加的な出力側記憶要素と少なくとも1つの付加的な出力側テスト記憶要素とを有しており、
    前記少なくとも1つの論理回路は、複数の論理回路を有しており、前記論理回路と前記入力側テスト記憶要素および前記出力側テスト記憶要素との接続の間、前記入力側テスト記憶要素と前記出力側テスト記憶要素と前記少なくとも1つの付加的な出力側テスト記憶要素と共に、前記複数の論理回路は、1つのスキャンチェーンを形成し、
    前記少なくとも1つの付加的な出力側記憶要素は、前記スキャンチェーンの一部ではない、
    請求項15から24までのいずれか1項記載の方法。
  26. 前記入力側テスト記憶要素および前記出力側テスト記憶要素に接続されている前記論理回路は、前記入力側テスト記憶要素および前記出力側テスト記憶要素と共に、前記スキャンチェーンの第1のチェーン素子を形成し、
    前記スキャンチェーンの各さらなるチェーン素子は、前記複数の論理回路のうちの1つを有しており、前記論理回路は、入力側で、先行する前記チェーン素子の前記出力側テスト記憶要素に接続されており、出力側で、別の前記出力側テスト記憶要素に接続されている、
    請求項25記載の方法。
  27. 前記方法は、
    前記複数のチェーン素子のうちの1つのチェーン素子の前記論理回路によって処理された前記テストデータをテストデータとして、後続の前記チェーン素子の前記論理回路に提供することと、
    最後の前記チェーン素子の前記論理回路によって処理された前記テストデータを、最後の前記チェーン素子の前記論理回路に出力側で接続されている前記出力側テスト記憶要素に供給することと、
    をさらに有している、
    請求項26記載の方法。
  28. マルチコアプロセッサ装置の動作方法であって、
    前記マルチコアプロセッサ装置は、第1のプロセッサと第2のプロセッサと比較器とを有しており、前記方法は、
    動作段階と自己テスト段階とを交互に行うことを有しており、
    前記動作段階は、
    前記第1のプロセッサによる、前記比較器への第1のデータの提供と、
    前記第2のプロセッサによる、前記比較器への第2のデータの提供と、
    前記第1のデータと前記第2のデータとの比較と、
    を有しており、
    前記自己テスト段階は、
    請求項15から27までのいずれか1項記載の自己テスト回路を備える集積回路の動作方法の実施を有しており、前記比較器は、前記集積回路を形成する、
    マルチコアプロセッサ装置の動作方法。
JP2021025290A 2020-02-21 2021-02-19 自己テスト回路を備える集積回路、自己テスト回路を備える集積回路の動作方法、マルチコアプロセッサ装置およびマルチコアプロセッサ装置の動作方法 Active JP7277496B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102020104595.6 2020-02-21
DE102020104595.6A DE102020104595B3 (de) 2020-02-21 2020-02-21 Integrierter Schaltkreis mit Selbsttestschaltung, Verfahren zum Betreiben eines integrierten Schaltkreises mit Selbsttestschaltung, Mehrkernprozessoreinrichtung und Verfahren zum Betreiben einer Mehrkernprozessoreinrichtung

Publications (2)

Publication Number Publication Date
JP2021135296A true JP2021135296A (ja) 2021-09-13
JP7277496B2 JP7277496B2 (ja) 2023-05-19

Family

ID=75584154

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021025290A Active JP7277496B2 (ja) 2020-02-21 2021-02-19 自己テスト回路を備える集積回路、自己テスト回路を備える集積回路の動作方法、マルチコアプロセッサ装置およびマルチコアプロセッサ装置の動作方法

Country Status (3)

Country Link
US (1) US11619668B2 (ja)
JP (1) JP7277496B2 (ja)
DE (1) DE102020104595B3 (ja)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6691268B1 (en) * 2000-06-30 2004-02-10 Oak Technology, Inc. Method and apparatus for swapping state data with scan cells
JP2009516276A (ja) * 2005-11-16 2009-04-16 ローベルト ボッシュ ゲゼルシャフト ミット ベシュレンクテル ハフツング プロプラム制御型ユニットおよびその駆動方法
WO2010058248A1 (en) * 2008-11-24 2010-05-27 Freescale Semiconductor, Inc. Logic built-in self-test system and method for applying a logic built-in self-test to a device under test
EP2533154A2 (en) * 2011-06-09 2012-12-12 Westinghouse Electric Company LLC Failure detection and mitigation in logic circuits
JP2017058795A (ja) * 2015-09-14 2017-03-23 株式会社東芝 診断回路及び半導体システム
US20170269156A1 (en) * 2016-03-16 2017-09-21 Stmicroelectronics (Grenoble 2) Sas Scan chain circuit supporting logic self test pattern injection during run time

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3023027B1 (fr) * 2014-06-27 2016-07-29 St Microelectronics Crolles 2 Sas Procede de gestion du fonctionnement d'un circuit redondant a vote majoritaire et dispositif associe
FR3023620B1 (fr) 2014-07-09 2016-07-29 Stmicroelectronics (Grenoble 2) Sas Procede de gestion du fonctionnement d'un mode test d'un composant logique avec restauration de l'etat precedant le test

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6691268B1 (en) * 2000-06-30 2004-02-10 Oak Technology, Inc. Method and apparatus for swapping state data with scan cells
JP2009516276A (ja) * 2005-11-16 2009-04-16 ローベルト ボッシュ ゲゼルシャフト ミット ベシュレンクテル ハフツング プロプラム制御型ユニットおよびその駆動方法
WO2010058248A1 (en) * 2008-11-24 2010-05-27 Freescale Semiconductor, Inc. Logic built-in self-test system and method for applying a logic built-in self-test to a device under test
EP2533154A2 (en) * 2011-06-09 2012-12-12 Westinghouse Electric Company LLC Failure detection and mitigation in logic circuits
JP2017058795A (ja) * 2015-09-14 2017-03-23 株式会社東芝 診断回路及び半導体システム
US20170269156A1 (en) * 2016-03-16 2017-09-21 Stmicroelectronics (Grenoble 2) Sas Scan chain circuit supporting logic self test pattern injection during run time

Also Published As

Publication number Publication date
JP7277496B2 (ja) 2023-05-19
US11619668B2 (en) 2023-04-04
US20210263099A1 (en) 2021-08-26
DE102020104595B3 (de) 2021-05-12

Similar Documents

Publication Publication Date Title
JP5373403B2 (ja) データ処理システムを試験するための方法および装置
KR100217535B1 (ko) 이벤트 한정 검사 아키텍춰
US10598728B2 (en) Scan chain circuit supporting logic self test pattern injection during run time
US7219265B2 (en) System and method for debugging system-on-chips
US5404359A (en) Fail safe, fault tolerant circuit for manufacturing test logic on application specific integrated circuits
US20070204190A1 (en) Test algorithm selection in memory built-in self test controller
JPH08306199A (ja) メモリ・アレイ出力における論理回路機構の内蔵型自己検査システム及び方法
KR950009692B1 (ko) 정보처리장치의 테스트 용이화 회로
US10496506B2 (en) Self-test capable integrated circuit apparatus and method of self-testing an integrated circuit
KR101268611B1 (ko) 내부 정속 로직-bist를 이용한 로직 블록의 자동 오류테스트
EP3312623B1 (en) Semiconductor device and diagnostic test method
US10288683B2 (en) Semiconductor device, electronic control system and method for evaluating electronic control system
RU2260813C2 (ru) Проверка схемы на асинхронный сброс
US7568138B2 (en) Method to prevent firmware defects from disturbing logic clocks to improve system reliability
JP3403814B2 (ja) 自己試験機能組込み型回路
US20030126531A1 (en) Deterministic hardware reset for FRC machine
US5568407A (en) Method and system for the design verification of logic units and use in different environments
JP2021135296A (ja) 自己テスト回路を備える集積回路、自己テスト回路を備える集積回路の動作方法、マルチコアプロセッサ装置およびマルチコアプロセッサ装置の動作方法
CN110532164A (zh) 半导体设备和调试方法
WO1989008297A1 (en) Test programme generation assisting means for digital circuits
US20070032999A1 (en) System and method for emulating hardware failures and method of testing system software incorporating the same
US10288684B2 (en) On-chip hardware-controlled window strobing
JPH07174821A (ja) バウンダリスキャンセルおよびテスト回路の検証方法
JPH0277849A (ja) 論理回路ブロックの自己診断回路
JPH11101859A (ja) 半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210219

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230508

R150 Certificate of patent or registration of utility model

Ref document number: 7277496

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150