JP2019503486A - 拡張赤外分光エリプソメトリシステム及び方法 - Google Patents

拡張赤外分光エリプソメトリシステム及び方法 Download PDF

Info

Publication number
JP2019503486A
JP2019503486A JP2018536816A JP2018536816A JP2019503486A JP 2019503486 A JP2019503486 A JP 2019503486A JP 2018536816 A JP2018536816 A JP 2018536816A JP 2018536816 A JP2018536816 A JP 2018536816A JP 2019503486 A JP2019503486 A JP 2019503486A
Authority
JP
Japan
Prior art keywords
detector
light group
illumination light
illumination
weighing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018536816A
Other languages
English (en)
Other versions
JP6858192B2 (ja
Inventor
シャンカル クリシュナン
シャンカル クリシュナン
デイヴィッド ワイ ワン
デイヴィッド ワイ ワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019503486A publication Critical patent/JP2019503486A/ja
Application granted granted Critical
Publication of JP6858192B2 publication Critical patent/JP6858192B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/35Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light
    • G01N21/3563Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/2803Investigating the spectrum using photoelectric array detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/02Details
    • G01J3/0205Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows
    • G01J3/0224Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows using polarising or depolarising elements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/12Generating the spectrum; Monochromators
    • G01J3/18Generating the spectrum; Monochromators using diffraction elements, e.g. grating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/30Measuring the intensity of spectral lines directly on the spectrum itself
    • G01J3/36Investigating two or more bands of a spectrum by separate detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/42Absorption spectrometry; Double beam spectrometry; Flicker spectrometry; Reflection spectrometry
    • G01J3/427Dual wavelengths spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/42Absorption spectrometry; Double beam spectrometry; Flicker spectrometry; Reflection spectrometry
    • G01J3/427Dual wavelengths spectrometry
    • G01J2003/4275Polarised dual wavelength spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/35Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light
    • G01N21/3563Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor
    • G01N2021/3568Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor applied to semiconductors, e.g. Silicon

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Spectrometry And Color Measurement (AREA)

Abstract

本願では、紫外、可視及び赤外波長で半導体構造の同時分光計測を実行する方法及びシステムが開示される。また、ある態様では、検出器表面上での波長分散方向を、検出器表面上への入射面の射影に対し垂直な方向とすることで、波長誤差が低減される。また、ある態様では、異なる感度特性を呈する複数個の感光エリアを有する検出器により広帯域に亘る赤外波長が検出される。集光光は波長に従い検出器表面上に直線的に分散される。幾つかの感光エリアそれぞれが、異なる入射波長域に感応するよう検出器上に配列される。このようにして、広帯域に亘る赤外波長が単一の検出器により高い信号対雑音比で以て検出される。これらの特徴により、高アスペクト比構造の高いスループット、精度及び正確性での高スループット計測が可能になる。

Description

記載されている諸実施形態は計量システム及び方法、より具体的には三次元半導体構造の秀逸な計測方法及びシステムに関する。
(関連出願への相互参照)
本件特許出願は、「拡張赤外エリプソメトリ装置及び方法」(Apparatus and Methods of Extended Infrared Ellipsometry)と題する2016年1月15日付米国仮特許出願第62/279,469号に基づき、米国特許法第119条の規定による優先権を主張するものであるので、この参照を以て当該仮特許出願の主題を遍く本願に繰り入れることにする。
半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を標本に適用することで製造される。それら半導体デバイスの諸特徴及び構造階層群はそれら処理工程により形成される。例えばそのうちのリソグラフィは、半導体ウェハ上でのパターン生成を孕む半導体製造プロセスの一つである。半導体製造プロセスの別例としては、これに限られるものではないが化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作り込み、その上で個別の半導体デバイスへと分けるようにするとよい。
計量プロセスは半導体製造プロセス中の諸工程にて用いられるプロセスであり、それによりウェハ上の欠陥を検出して歩留まり向上を促進することができる。光学計量技術には、サンプル破壊のリスク無しで高いスループットが得られる見込みがある。多数の光学計量依拠技術、例えばスキャタロメトリ(散乱計測)及びリフレクトメトリ(反射計測)装置並びにそれらに係る分析アルゴリズムが、ナノスケール構造の限界寸法、膜厚、組成、オーバレイその他のパラメタを解明するため広く用いられている。
フラッシュメモリアーキテクチャは二次元フローティングゲートアーキテクチャから全三次元幾何へと移り変わりつつある。幾つかの例では、膜スタック及びエッチド構造が非常に深くなっている(例えば深さが6μmにも達している)。そうした高アスペクト比構造では膜計測及びCD計測に関し難儀なことが発生する。限界寸法を計測しそれらの構造の孔及びトレンチ形状を定める能力は、所望の性能水準及びデバイス歩留まりを実現する上で肝要である。
多くの光学技術で信号対雑音比(SNR)の低さが問題となっており、また照明光の小部分しか高アスペクト比フィーチャ(造作)の底部に到達させ検出器へと上方反射させえないことがその原因となっている。即ち、利用可能な高スループット計量技術は多々あれ、高アスペクト比構造のCD及び膜計測を信頼性よく実行することができない。限界寸法小角X線スキャタロメトリ(CD−SAXS)、垂直入射リフレクトメトリ及びスキャタロメトリが、高アスペクト比構造向けの計測ソリューションとして探求されているが、未だなお開発が続行中である。
断面走査型電子顕微鏡(SEM)は低スループットな破壊的技術であり、インライン計量に適していない。原子間力顕微鏡(AFM)は、その高アスペクト比構造計測能力が劣っていて、且つスループットが比較的低い。CD−SAXSは、半導体産業で求められる高スループット能力を達成しうるかが、未だ判然としていない。モデル依拠赤外線リフレクトメトリ(MBIR)は高アスペクト比DRAM構造の計量に用いられているが、この技術ではより短い波長ならば得られる分解能が得られず、しかも計測スポットサイズが大きすぎて半導体計量に相応しくない。この参照を以て全面説明があるかの如く本願に繰り入れられるところの非特許文献1を参照されたい。
光学CD計量は、現在のところ、μmスケールの深さ及び横方向寸法を有する構造の詳細プロファイルを、比較的小さなスポット(例.50μm未満のもの、より好ましくは30μm未満のもの)にて、高スループットで計測する能力に欠けている。この参照を以て全面説明があるかの如く本願に繰り入れられるところの特許文献1には、高アスペクト比構造の特性解明に適した赤外線分光エリプソメトリ(偏光解析)技術が記載されている。しかしながら、それに記載されている技術には、紫外及び赤外波長に亘る計測に長い計測時間がかかり、波長安定性が低く、並びに動作時赤外波長域が狭いという問題がある。
米国特許第8,860,937号明細書 米国特許出願公開第2013/0114085号明細書
"Measuring deep-trench structures with model-based IR," by Gostein et al., Solid State Technology, vol. 49, no. 3, Mar. 1, 2006
まとめると、フィーチャサイズの縮小や構造フィーチャの深度増大が進んでいることで、光学計量システムに困難な条件が課されている。光学計量システムを高い精度及び正確性条件に合致させないと、ますます複雑化してきているターゲットを高スループットで計量しコスト効率を維持することができない。そのこととの関連で、広帯域照明及びデータ収集の速度、合焦誤差並びに赤外波長域が、高アスペクト比構造に適した光学計量システムを設計する上で、重大な性能制約的事項として表出している。そのため、それらの制約を克服しうる秀逸な計量システム及び方法が望まれている。
本願では、紫外、可視及び赤外波長にて半導体構造の同時分光計測を実行する方法及びシステムが提示される。紫外、可視及び赤外波長を含むスペクトルが、同じ整列条件で以て高スループットで計測される。この構成では、マシン誤差例えば波長誤差が全計測波長に亘り均一に補正される。単一のシステム内で赤外、可視及び紫外光で以てターゲットを同時計測することで、複雑な三次元構造の精密な特性解明が可能になる。大略、比較的長い波長は構造内に深く浸透するので、それにより高次回折の抑圧を行いつつ、比較的長いピッチを有する構造を計測することができる。比較的短い波長では、比較的短い波長でアクセス可能な構造(即ち上位階層)について、また比較的小さなCD及び粗さフィーチャについて、精密な寸法情報が得られる。長めの波長は粗さに対する感度が低いので、ある種の例に従い波長を長めにすることで、比較的粗い表面又は界面を有するターゲットの寸法特性の計測が可能になる。
また、ある態様では、計測中に合焦誤差補正用計測入力を提供すべく検出サブシステムにファインフォーカスセンサ(FFS)が組み込まれる。
また、ある態様では、計測スポットが検出器上に結像されるよう、またウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向に対し垂直な方向を向くよう、広帯域分光器量システムが構成される。この配列では、合焦誤差に対する計量システムの感度が大きく低減される。合焦誤差に対する感度が低いので、精密な計測結果がより短いMAM時間で以て、ひいてはより高いスループットで以て得られる。
また、ある態様では、単一の検出器パッケージ上の別々の個所にある幾つかの感度帯が組み合わされたマルチゾーン赤外線検出器が、本願記載の計量システムにて採用される。この検出器は、入射個所に応じ異なる感度でデータの連続スペクトラムを提供するよう構成される。集光光は波長に従い検出器表面上に直線的に分散される。幾つかの感光エリアそれぞれが、相異なる入射波長域に感応するようその検出器上に配列される。この構成では、広域に亘る赤外波長が単一の検出器により高い信号対雑音比で以て検出される。
更なる態様では、入射面直交方向に沿いウェハ平面上に射影された照明野の寸法が、得られる計測正確性及び速度が最適化されるよう計測下ターゲットの性質に基づき調整される。
以上は概要であるので、随所に単純化、一般化及び細部省略が含まれている;従って、本件技術分野に習熟した者(いわゆる当業者)には明らかな通り、この概要は専ら例証的なものであり如何様であれ限定的なものではない。本願記載の装置及び/又はプロセスの他の態様、独創的特徴及び長所については、本願中で説明される非限定的な詳細記述にて明らかとなろう。
一実施形態に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例100を示す図である。 別の実施形態に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例200を示す図である。 更に別の実施形態に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例300を示す図である。 更に別の実施形態に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例400を示す図である。 図1の照明光ビーム117により照明された計測スポット116の図示を含むウェハ120の頂面図である。 従来構成の計量システムにおける検出器23の表面の直視図である。 ウェハ120による焦点位置誤差の発生を示す図である。 従来形態にて波長分散され検出器23の表面上に結像された集光光ビームを示す図である。 図1に示した検出器141の表面の直視図である。 一実施形態に係り図1に示されている検出器150の表面の直視図である。 4個の入手可能なインジウムガリウムヒ素(InGaAs)センサの典型的光感度曲線を示す図である。 本願記載の少なくとも1個の態様に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する方法500を示す図である。 計測対象構造(群)への透光率の低さが問題となる高アスペクト比NAND構造の例600を示す図である。
以下、本発明の背景例及びある種の実施形態であり、添付図面にその例が描写されているものを、より詳細に参照する。
本願では、紫外、可視及び赤外波長にて半導体構造の同時分光計測を実行する方法及びシステムが提示される。紫外、可視及び赤外波長を含むスペクトルが、同じ整列条件で以て高スループットで計測される。この構成では、マシン誤差例えば波長誤差が全計測波長に亘り均一に補正される。また、ある態様では、検出器表面上での波長分散方向を検出器表面上への入射面の射影に対し垂直な方向にすることで、波長誤差が低減される。また、ある態様では、異なる感度特性を呈する複数個の感光エリアを有する検出器によって広域に亘る赤外波長が検出される。集光光が波長に従い検出器表面上に直線的に分散される。幾つかの感光エリアそれぞれが、異なる入射波長域に感応するよう検出器上に配列される。この構成では、広域に亘る赤外波長が単一の検出器によって高い信号対雑音比で以て検出される。これらの特徴それぞれにより又はその組合せにより、高アスペクト比構造(例.1μm以上の深さを有する構造)の高スループット計測を、高いスループット、精度及び正確性で以て行うことが可能になる。
単一システム内で赤外、可視及び紫外光で以てターゲットを同時計測することで、複雑な三次元構造の精密な特性解明が可能になる。大略、比較的長い波長は構造内に深く浸透するので、それにより高次回折の抑圧を行いつつ、比較的長いピッチを有する構造を計測することができる。比較的短い波長では、比較的短い波長でアクセス可能な構造(即ち上位階層)について、また比較的小さなCD及び粗さフィーチャについて、精密な寸法情報が得られる。長めの波長は粗さに対する感度が低いので、ある種の例に従い波長を長めにすることで、比較的粗い表面又は界面を有するターゲットの寸法特性の計測が可能になる。
ある種の実施形態では、本願記載の半導体デバイス分光器量方法及びシステムが、高アスペクト比(HAR)構造、大横寸法構造又は双方の計測に適用される。これらの実施形態では、HAR構造を有する半導体デバイス(例.NAND、VNAND、TCAT、DRAM等々)を対象に、より一般には計測対象構造(群)内への透光率の低さが問題となる複雑なデバイスを対象に、光学な限界寸法(CD)、膜及び組成の計量を行うことが可能となる。HAR向けエッチングプロセスを実行するためのハードマスク層がHAR構造に備わっていることも多い。本願記載の語「HAR構造」は、10:1を上回り100:1以上の高さにもなりうるアスペクト比を特徴とする、あらゆる構造を指している。
図1に、紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例100を示す。1個又は複数個の構造には、例えば、少なくとも1個のHAR構造又は少なくとも1個の大横寸法構造が含まれる。図1に示す計量システム100は広帯域分光エリプソメータとして構成されている。しかし、一般的には、計量システム100を分光リフレクトメータ、スキャタロメータ、エリプソメータ又はそれらの任意の組合せとして構成することができる。
計量システム100は、ウェハ120上に入射する照明光ビーム117を生成する照明源110を有している。照明源110は、紫外、可視及び赤外スペクトルをなす照明光を放射する広帯域照明源である。ある実施形態では照明源110がレーザ維持プラズマ(LSP)光源(いわゆるレーザ駆動プラズマ光源)とされる。このLSP光源のポンプレーザは連続波でもパルスでもかまわない。レーザ駆動プラズマ光源であれば、キセノンランプに比べかなり多数の光子を、150nm〜2000nmなる波長域全体に亘り供給することができる。照明源110は、単体の光源でも、広帯域又は離散波長光源複数個の組合せでもかまわない。照明源110により生成される光には、紫外から赤外(例.真空紫外から中赤外)に至る連続スペクトラムか、連続スペクトラムの幾つかの部分が含まれる。総じて、照明光源110は、超連続体レーザ光源、赤外線ヘリウムネオンレーザ光源、アークランプその他、任意の好適な光源を有するものとすることができる。
更なる態様では、照明光群が、少なくとも500nmの幅がある波長域を有する広帯域照明光とされる。広帯域照明光の一例としては、250nm未満の波長や750nm超の波長を含むものがある。一般に、広帯域照明光は120nm〜3000nmの波長を含むものである。実施形態によっては3000nm超の波長を含む広帯域照明光が採用されることがある。
図1に示す計量システム100は、ウェハ120上に形成された1個又は複数個の構造に照明光117を差し向けるよう構成された照明サブシステムを有している。図中の照明サブシステムは光源110、1個又は複数個の光学フィルタ111、偏光部材112、視野絞り113、開口絞り114及び照明光学系115を有している。1個又は複数個の光学フィルタ111を用いることで、この照明サブシステムに発する光量、スペクトル出力或いはその双方を制御することができる。ある種の例では1個又は複数個のマルチゾーンフィルタが光学フィルタ111として採用される。偏光部材112は望ましい偏光状態を発生させ、それが照明サブシステムから出射されるようにする。ある種の実施形態に係る偏光部材は偏光器、補償器又はその双方であり、これには任意の好適な市販偏光部材を含めることができる。偏光部材は、固定型でも、幾通りかの固定ポジションにしうる可回動型でも、或いは連続回動型でもかまわない。図1に示した照明サブシステムは偏光部材を1個有しているが、照明サブシステムが複数個の偏光部材を有していてもよい。視野絞り113は照明サブシステムの視野(FOV)を制御するものであり、これには任意の好適な市販視野絞りを含めることができる。開口絞り114は照明サブシステムの数値開口(NA)を制御するものであり、これには任意の好適な市販開口絞りを含めることができる。照明源110からの光は照明光学系115内に差し向けられ、その照明光学系によりウェハ120上の1個又は複数個の構造(図1には示さず)上に合焦される。照明サブシステムは、分光エリプソメトリ、リフレクトメトリ及びスキャタロメトリの分野で既知な、任意の種類及び配列の光学フィルタ(群)111、偏光部材112、視野絞り113、開口絞り114及び照明光学系115を有するものとすることができる。
図1に示す照明光ビーム117は、光学フィルタ(群)111、偏光部材112、視野絞り113、開口絞り114及び照明光学系115内を通り、ビームとして照明源110からウェハ120へと伝搬していく。ビーム117はウェハ120のうち計測スポット116上の部分を照明する。
ある種の例では、ウェハ120の表面上に投射された照明光群117のビームサイズが、標本の表面上で測った計測ターゲットのサイズより小さくなる。ビーム成形技術の例が、Wang et al.による特許文献2に詳しく記載されているので、この参照を以てその全容を本願に繰り入れることにする。
計量システム100は、1個又は複数個の構造と入射照明ビーム117との間の相互作用により生成された光を集めるよう構成された、集光光学系サブシステムをも有している。集光光ビーム127は集光光学系122により計測スポット116から集光される。集光光127はこの集光光学系サブシステムの集光開口絞り123、偏光素子124及び視野絞り125内を通る。
集光光学系122は、ウェハ120上に形成された1個又は複数個の構造から集光するのに適する何らかの集光素子を有している。集光開口絞り123は集光光学系サブシステムのNAを制御する。偏光素子124は所望の偏光状態を検光する。偏光素子124は偏光器又は補償器である。偏光素子124は、固定型でも、幾通りかの固定ポジションにしうる可回動型でも、或いは連続回動型でもかまわない。図1に示した集光サブシステムは偏光素子を1個有しているが、集光サブシステムが複数個の偏光素子を有していてもよい。集光視野絞り125は集光サブシステムのFOVを制御する。集光サブシステムはウェハ120からの光を捉え、その光を集光光学系122及び偏光素子124内へと差し向け集光視野絞り125上に合焦させる。ある種の実施形態では集光視野絞り125が分光器スリットとして検出サブシステムの分光器に代え用いられる。とはいえ、集光視野絞り125を検出サブシステムの分光器の分光器スリット126又はその付近に配置してもよい。
集光サブシステムは、分光エリプソメトリ、リフレクトメトリ及びスキャタロメトリの分野で既知な、任意の種類及び配列の集光光学系122、開口絞り123、偏光素子124及び視野絞り125を有するものとすることができる。
図1に示した実施形態では、検出サブシステムに備わる複数個の分光器へと集光光学系サブシステムが光を差し向ける。検出サブシステムは、照明サブシステムにより照明された1個又は複数個の構造から集光された光に応じ、出力を生成する。
ある態様に係る検出器サブシステムは、赤外を含め幾つかの波長域に亘り集光光を同時に検出するようそれぞれ構成された、2個以上の検出器を有する。
図1に示した実施形態では、集光光127が分光器スリット126内を通り回折素子128上に入射する。回折素子128は、入射光のうち一群の波長を±1次回折させる一方、入射光のうち別の一群の波長を0次回折させるように構成されている。図1に示す部分129は入射光のうち紫外スペクトラムを含む部分であり、回折素子128により±1なる回折次数で分散され検出器141に向かっている。加えて、回折素子128は、入射光のうち赤外波長を含む部分140を、格子147方向に0なる回折次数で反射するよう構成されている。光140は回折素子147上に入射し、回折素子147はその入射光140のうち赤外波長を含む部分148を±1なる回折次数で分散させ検出器150に向かわせている。
図1に示した実施形態では回折素子128が反射格子素子とされている。しかしながら、一般的には、入射光を幾つかの波長帯に細分し、当該幾つかの波長帯を別々の方向に沿い伝搬させ、そしてそれらの波長帯のうち1個の光を分散させ何らかの好適な形態で検出器上に向かわせるよう、回折素子128が構成されていればよい。一例に係る回折素子128は透過格子として構成される。他のある種の例に係る回折素子128は、ビームを幾つかの波長帯へと細分するビーム分岐素子と、それら波長帯のうち1個を分散させ検出器141上に向かわせる反射又は透過格子構造とを有する。
反射格子128が採用される理由は、紫外スペクトル領域にて高い±1次回折効率を呈すること並びに赤外スペクトル領域に関し高い0次回折効率を呈することにある。反射格子を採用することで、ビーム分岐素子(例えばダイクロイックビーム分岐素子)につきものの損失が回避される。
回折素子128及び147は、それぞれに対応する二次元検出器の一次元(即ちそれぞれに対応する検出器に関し図1中に注記されている波長分散方向)に沿い、波長に従い1次回折光を直線的に分散させる。例証のため、二通りの波長にて検出された光を検出器141の表面上に示す。回折素子128は、検出器141の表面上に投射されたそれら二通りの光波長間に空間分離を発生させる。このようにして、ある特定の波長を有し計測スポット116から集光された光が検出器141上へと投射されてスポット142Aをなし、別の波長を有し計測スポット116から集光された光が検出器141上へと投射されてスポット142Bをなす。
一例に係る検出器141は、紫外及び可視光(例.190nm〜860nmの波長を有する光)に感応する電荷結合デバイス(CCD)である。一例に係る検出器150は、赤外光(例.950nm〜2500nmの波長を有する光)に感応するフォトディテクタアレイ(PDA)である。とはいえ、一般的には、他の二次元検出器テクノロジも想定されうる(例.位置検知型検出器(PSD)、赤外線検出器、光起電検出器等々)。各検出器は、入射光を、その入射光のスペクトル強度を示す電気信号へと変換する。例えば、UV検出器141が入射光129を示す出力信号154Aを生成し、IR検出器150が入射光148を示す出力信号154Bを生成する。
図1に示す検出サブシステムは、集光光が計量システム100の全検出器へと同時に伝搬するよう配列されている。計量システム100は、UV及びIR信号双方を含む検出信号154を受け取りそれらUV及びIR信号双方に基づき被計測構造(群)の注目パラメタの推定値を求めるよう構成された、情報処理システム130をも有している。UV及びIRスペクトルを同時集光することで計測時間が短縮され、且つ全スペクトルが同じ整列条件で以て計測される。これにより、全スペクトルデータセットに共通の補正を適用することができるため、波長誤差をより容易に補正することが可能になる。
更なる態様では、計測中に合焦誤差補正用計測入力を提供すべく検出サブシステムにファインフォーカスセンサ(FFS)が組み込まれる。
図2に、計量システムの別の実施形態200でありFFS146を有するものを示す。図2に示した要素のうち、図1に示した計量システム100と同様に構成されているものは、同じ参照符号を用い指し示してある。図2に示す0次回折光140は、回折素子128により回折されたものでありビーム分岐素子143上に入射する。ビーム分岐素子143は透過性でも反射性でもかまわない。ビーム分岐素子143は、光のうちIR域内の部分145をIR格子147へと差し向け、光のうちIR域より下(即ちUV〜可視域)の部分144をFFS146へと差し向ける。こうして、回折素子128により0次回折されたUV〜可視光がFFS146により検出される。ある種の実施形態ではFFS146がフォトダイオードアレイとされ、ビーム分岐素子143が、IRの高効率反射及びUVの高効率透過が可能なダイクロイックビームスプリッタとされる。他のある種の実施形態では、ビーム分岐素子143が中性濃度フィルタ、部分反射鏡、未被覆基板その他、ビームを個別のチャネルに係りより低強度な2本以上のビームへと分割するのに適した何らかの集光素子とされる。
FFS146により生成された出力(図示せず)は情報処理システム130へと送られる。情報処理システム130は、FFS146の出力に基づきウェハ120の焦点位置(z位置)の変化を求める。ウェハ120の焦点位置変化のうち望ましいものは、全て、然るべくウェハ120のz位置を調整するウェハ位置決めシステム(図示せず)へと送られる。
図3に、計量システムの別の実施形態300でありFFS146を有するものを示す。図3に示した要素のうち、図1に示した計量システム100と同様に構成されているものは、同じ参照符号を用い指し示してある。図3に示す0次回折光149は回折素子147により回折されたものであり、それがFFS146上に入射する一方で、1次回折光148がIR検出器150上に入射する。
FFS146により生成された出力(図示せず)は情報処理システム130へと送られる。情報処理システム130は、FFS146の出力に基づきウェハ120の焦点位置(z位置)の変化を求める。ウェハ120の焦点位置変化のうち望ましいものは、全て、然るべくウェハ120のz位置を調整するウェハ位置決めシステム(図示せず)へと送られる。
別の更なる態様に係る計量システムは、別々のIRスペクトラム域内の光を同時に検出するよう構成された2個以上の検出器を有する。
図4に、計量システムの別の実施形態400であり複数個の縦続接続されたIR検出器を有するものを示す。図4に示した要素のうち、図1に示した計量システム100と同様に構成されているものは、同じ参照符号を用い指し示してある。図4に示すように、光145がIR格子147に入射する。IR格子147は、その入射光145の一部分148を1次回折させるよう構成されている。その1次回折光148には、入射光145のIR波長域のうち一部分が含まれる。更に、IR格子147は、入射光145の一部分149を0次回折させるよう構成されている。その0次回折光149には、1次回折光148を組成するIR波長域の外側にあるIR波長が含まれる。0次回折光149はIR格子151へと伝搬し、そのIR格子はその入射光を1次回折させてIR検出器153に向かわせる。図4に示した実施形態における1次回折光152には入射光149の全IR波長が含まれる。他方、他のある種の実施形態では、入射光のうち一部分のみを1次回折させるようIR格子151が構成され、残る0次光が更に他のIR格子へと差し向けられる。このようにして、任意個数のIR検出器を縦続接続し、集光光127の個別IR波長域を検出することができる。
図1〜図4を参照して記述した諸実施形態は非限定的な例を以て提示されたものであり、UV、可視及びIR波長を同時検出する他の多くの構成を想定することができる。ある例によれば、集光光127のうちIR波長を1次回折にて分散させるよう、且つ集光光127のうちUV波長を0次回折させてUV格子及び検出器に向かわせるよう、計量システムを構成することができる。ある種の例に従いビーム分岐素子を採用することで、集光光の全スペクトラムを2個以上のサブスペクトラムへと細分することができる。とはいえ、本願記載の如く回折素子を採用することは有益たりうることであり、それにより、ビーム分岐素子例えばダイクロイックビームスプリッタ、中性濃度フィルタ、部分反射鏡又は未被覆基板につきものの損失を回避することができる。
図1に示す照明光ビーム117はウェハ120の表面へとある斜め角にて供給されている。一般に、照明光は、ウェハ120の表面へと、どのような斜め角でも何通りの斜め角でも供給されうる。ある種の実施形態では、照明光群が、斜め照明に加え垂直入射にて(即ち表面法線に整列した態で)表面に供給される。
図1に示すように、Z軸はウェハ120の表面に対し垂直な方向を向いている。X軸及びY軸はウェハ120の表面と同じ平面内にあり、従ってZ軸に対し垂直である。照明光ビーム117の主光線118及び集光光ビーム127の主光線121により入射面が定まる。X軸は入射面と整列しており、Y軸はその入射面に直交している。この構成では、入射面がXZ平面内に存している。照明光ビーム117はウェハ120の表面上に入射しており、Z軸を基準にしたその入射角がαであり、また入射面内に存している。標本表面上への照明光ビームの形状射影が斜め角で行われているため、入射面に整列している方向に沿った照明ビーム断面に長尺化が生じている。非限定的な例を以て言えば、円形照明光ビームがウェハ表面上に投射されているので、照明エリアが楕円形になっている。このように、一般に、表面の斜め照明により照明断面に比べ長尺な射影照明エリアが生じ、またその長尺化方向が入射面に整列した方向になる。更に、入射角が大きいほど長尺化の程度が増す。より具体的には、入射面の方向に沿った入射角の余弦値に対しビーム形状が反比例する。回折及び収差効果がない場合、投射照明光は、照明平面に対し垂直な方向(例.Y方向)に沿い無歪みなままとなる。
図5Aは、図1の照明光ビーム117により照明された計測スポット116の図示を含むウェハ120の頂面図である。図1に示した実施形態では、照明光ビーム117の断面が(例.照明野絞り113にて)円形となる。円形照明光ビームの場合、ウェハ120の表面上への投射による計測スポット116が、図5Aに示す如く楕円形になる。
図1に示す計測スポット116は、検出器141及び150の表面上へと波長分散的形態で射影される。また、ある態様では、各検出器上への光分散の平面が各検出器上の入射面の射影に対し垂直な方向を向くよう、本願記載の計量システムの分光部材が構成される。この構成では、ウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向に対し垂直な方向を向くよう、計測スポット116が各検出器上に結像される。こうした配列では合焦誤差に対する計量システムの感度が大きく低減される。合焦誤差に対する感度が低いため、より短いMAM時間ひいてはより高いスループットで以て、精密な計測結果が得られる。このアーキテクチャの顕著な長所は、厚膜及び多層膜スタックを波長誤差招来無しで計測しうる能力にある。
従来は、計測スポットの長尺方向の射影が検出器表面上で波長分散方向と整列するよう、計量システムが構成されていた。図5Bは従来構成を表している。図5Bに示すように、計測スポット116の長尺方向(即ちウェハにおけるX軸及び検出器におけるX’軸)の検出器23上への射影が、検出器23の表面上での波長分散の方向に整列している。例を以て言えば、スポット24A及び24Bの長尺方向が波長分散方向に整列している。検出器23の表面上のこれら波長依存像(例.スポット24A及び24B)を、波長分散方向に対し垂直な方向に沿い積分することでスペクトラム、即ち波長分散軸に沿った波長の関数たる強度が得られる。CCD型検出器の場合、波長分散に対し垂直な方向に沿い電荷を積分することでそのスペクトラムがもたらされる。
ウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向と整列するよう計測スポットを検出器上に結像させた場合、もたらされる点拡がり関数(PSF)が強い波長依存性を呈する。もたらされるPSFが高いピークを呈するのは、像強度が所与波長に係る長尺方向に沿い大きく変動するからである。この高ピークPSDを的確に捕捉するには、分光器によりスペクトルデータを高分解能で捕捉しなければならない。そのため計測時間が延びスループットが低下する。
また例えば、長尺像と、それに対応する長尺な強度分布とをスペクトル分散の方向に整列させた場合、特定波長に関しもたらされるPSFは入射角に依存する。もたらされるPSFは入射角により拡がったり狭まったりする。
また例えば、もたらされるPSFは合焦誤差に対し高い感応性を呈する。ウェハ上の計測ターゲットが動き焦点が合ったり外れたりするにつれ、ウェハ上の計測スポットの検出像にサイズの変化や位置のシフトが生じる。加えて、ウェハ上での計測スポットの位置がシフトする。図6に示すように、ウェハ120に焦点が合っているときには、照明光ビーム117によりウェハの部位Aが照明される。従来形態で集光光ビーム127を波長分散させ検出器23上に結像させると、図7に示す通りその像がスポット24A及び24Bに現れる。ウェハ120がz方向に沿い上方に動き0超の量ΔZだけ離焦したときには、照明光ビーム117によりウェハの部位Cが照明される。従来形態にて集光光ビーム127’を波長分散させ検出器23上に結像させると、その像がスポット24A’及び24B’に現れる。現れる像は、光学システムの合焦面からウェハが離れるにつれ大きくなり、波長分散方向に整列した方向に沿い、その像の中心位置がシフトする。この波長分散方向沿いシフトにより、波長対画素マッピングが変化するためスペクトル計測誤差が発生する。ウェハ120がz方向に沿い下方に動き0未満の量ΔZだけ離焦したときには、照明光ビーム117によりウェハの部位Bが照明される。従来形態にて集光光ビーム127”を波長分散させ検出器23上に結像させると、その像がスポット24A”及び24B”に現れる。このときも、光学システムの合焦面からウェハが離れるにつれ、現れる像が大きくなり、波長分散方向に整列した方向に沿いその像の中心位置がシフトする。
こうした状況では、ΔZ≠0なる合焦誤差に起因したウェハ120上での計測スポット移動により、波長の関数たる分光器分散軸沿い像移動が発生する。波長校正は合焦面即ちZ=0にて実行されるので、何であれ合焦誤差により引き起こされた分光器分散方向沿い像移動があると、波長校正からのずれに対し計測スペクトラムが非常に敏感になる。
これに対し、本願記載の如く波長分散方向に対し垂直に、検出器上へと入射面を射影することで、分散平面が入射面から分離され、従って合焦誤差が検出器上でのスペクトラム位置に影響を及ぼさなくなる。
図1に示すように、計測スポット116は検出器141及び検出器150の表面上へと波長分散的形態で射影される。計量システム100は、計測スポット116の長尺方向の射影が検出器141及び150の表面上で波長分散方向に対し垂直な方向を向くよう、構成されている。図1に示すX’軸は、計測スポット116の長尺方向(即ちX軸)の、検出器141及び150上への射影を表している。図1に示すX’軸は、検出器141及び150の表面上で波長分散方向に対し垂直な方向を向いている。
ある種の例によれば、ウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向に対し垂直な方向を向くよう計測スポットをその検出器上へと結像させることで、焦点位置に対する感度の20倍低減が達成される。この合焦誤差感度低減により、計測の正確性を損なうことなく、合焦正確性及び再現性条件の緩和、合焦時間の高速化並びに波長誤差に対する感度の低減を実現することができる。これらの効果はとりわけ大数値開口光学計量システムではっきり現れる。
図8は検出器141の表面の直視図である。図8に示すように、計測スポット116の長尺方向の射影(即ちX’軸)は、検出器141の表面上での波長分散方向に対し垂直な方向を向く。例を以て言えば、スポット142A及び142Bの長尺方向が波長分散方向に対し垂直な方向を向いている。検出器141の表面上のこれら波長依存像(例.スポット142A及び142B)を、波長分散方向に対し垂直な方向に沿い積分することでスペクトラム、即ち波長分散軸に沿った波長の関数たる強度が得られる。CCD型検出器の場合、波長分散に対し垂直な方向に沿い電荷を積分することでそのスペクトラムがもたらされる。
検出器(例.CCD141)の表面上に投射された像を、各波長にて分光器波長分散軸に対し垂直な方向に沿い積分することで、計測スペクトラムが得られる。各波長での個別スペクトル形状が、その特定波長における本システムの点拡がり関数(PSF)である。
ウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向に対し垂直な方向を向くよう計測スポットを検出器上に結像させた場合、もたらされる点拡がり関数(PSF)は従来構成に比べかなり波長への依存性が少ないものとなる。もたらされるPSFが低いピークを呈するのは、像強度が、所与波長に係る長尺方向に対し垂直な方向に沿い(例.楕円の短軸に亘り)さほど大きくは変動しないからである。更に、像強度が長尺方向に沿い(例.楕円の長軸に亘り)大きく変動するけれども、長尺方向がCCDの電荷積分方向と整列しているので、そうした変動は積分平滑される。この構成によれば、分光器によりスペクトルデータを高分解能で捕捉しPSFを正確に構築する必要がない。そのため計測時間が縮まりスループットが高まる。
また例えば、長尺像をスペクトル分散の方向に対し垂直な方向に向けた場合、特定の波長に関しもたらされるPSFが、入射角から独立なものとなる。像と、長尺方向に対し垂直な方向に沿った(即ち楕円の短軸に亘る)対応する強度分布とが、入射角に対し概ね不変となる。即ち、像及びそれに対応する強度分布であり、スペクトル分散方向沿い投射によるものが、入射角に対し概ね不変となる。従って、算出されるPSFがほとんど入射角への依存性を呈さない。
また例えば、もたらされるPSFが、従来の構成に比べ、合焦誤差に対しかなり低い感応性しか呈さなくなる。ウェハ上の計測ターゲットが動き焦点が合ったり外れたりするにつれ、ウェハ上の計測スポットの検出像に位置のシフトが生じる。図6に示したものと同様、ウェハ120に焦点が合っているときには、照明光ビーム117によりウェハの部位Aが照明される。その集光光ビーム127は波長分散され、図8に示すようにスポット142A及び142Bをなして検出器141上に結像される。ウェハ120がz方向に沿い上方に動き0超の量ΔZだけ離焦したときには、照明光ビーム117によりウェハの部位Cが照明される。集光光ビーム127’は波長分散され、スポット142A’及び142B’をなして検出器141上に結像される。波長分散方向に対し垂直なこの像位置シフトでは、波長対画素マッピングが変化しないため、合焦誤差により誘起されるスペクトル計測誤差が小さくなる。ウェハ120がz方向に沿い下方に動き0未満の量ΔZだけ離焦したときには、照明光ビーム117によりウェハの部位Bが照明される。集光光ビーム127”は波長分散され、スポット142A”及び142B”をなして検出器141上に結像される。このときも、その像位置シフトが波長分散方向に対し垂直なものであるため、合焦誤差により誘起されるスペクトル計測誤差が小さくなる。
この構成では、合焦誤差により、検出器上の像が波長分散軸に対し垂直な方向に沿いシフトする。分光器分散軸に対し垂直に像を積分することで算出スペクトルが得られるので、合焦誤差により誘起された像シフトは積分平滑され、実質的なスペクトル計測誤差を誘起するものとはならない。合焦誤差に対する感度のこうした低減により、原子線放射に基づき合焦誤差を追跡及び補正する必要がなくなる。この構成では、広帯域光源例えば高輝度レーザ駆動光源(LDLS)を、緩い焦点位置決め条件で以て、分光器量システム例えばシステム100内光源として採用することができる。
上述の通り、分光器による射影でもたらされるPSFは、入射面(即ちXZ平面)に対し垂直な方向沿いの光分布によりほとんど定まる。そうしたわけでPSFは斜め入射角から独立となる。即ち、PSFの波長依存性が従来構成に比べかなり少なくなる。
本願記載の通り、どのような垂直入射又は斜め入射広帯域光学計量システムであれ、計測スポットが検出器の表面上に結像されるよう、その際ウェハ表面上で入射面に整列している方向が検出器表面上での波長分散方向に対し垂直な方向を向くよう、構成することができる。ある種の実施形態に従いウェハ合焦軸(例.図1〜図4中のz軸)に対し垂直な方向に分光器分散軸を向けることで、合焦誤差に対するシステム感度を更に下げることができる。
また、ある態様では、単一の検出器パッケージ上の別々の個所にある幾つかの感度帯を組み合わせたマルチゾーン赤外線検出器が、本願記載の計量システムにて採用される。この検出器は、入射個所に応じ別々の感度で連続スペクトラムのデータを提供するよう構成される。
図10に、入手しうるインジウムガリウムヒ素(InGaAs)センサの典型的光感度曲線を示す。図10に示すように、入手しうるInGaAsセンサのうちいずれのセンサでも、1μm〜2.5μmの波長帯に亘り適切な光感度を提供することができない。即ち、個別に見ると、入手しうるセンサではある狭い波帯に亘る感知しか可能でない。実施形態のなかには、個々のセンサを例えば図4に示す如く縦続配列の態で配列するものがある。しかしながら、その場合、個別の格子構造か、ビーム分岐素子と格子構造との組合せによって、集光光を個別のスペクトル域へと細分すること並びに各スペクトル域を個々別体な検出器上へと分散させることが必要になる。その結果、不要な光損失及び光学システム複雑性が生じる。
ある態様では、それぞれ別の波帯に感応する複数個のセンサチップが組み合わされ、単一の検出器パッケージが構成される。更に、そのマルチゾーン検出器が本願記載の計量システム内に搭載される。
図9に、相異なる4個の波帯に由来する4個のセンサチップ150A〜Dでありマルチゾーン赤外線検出器150を組成するものを示す。図10に示したように、これら4個のセンサチップは、それぞれ異なる光感度特性を呈する別々の素材組成を有している。図10に示したように、センサチップ150Aが高感度を呈するのは波帯A内、センサチップ150Bが高感度を呈するのは波帯B内、センサチップ150Cが高感度を呈するのは波帯C内、センサチップ150Dが高感度を呈するのは波帯D内である。検出器150が組み込まれる計量システムは、波帯A内の波長をセンサチップ150A上へと分散させ、波帯B内の波長をセンサチップ150B上へと分散させ、波帯C内の波長をセンサチップ150C上へと分散させ、且つ波帯D内の波長をセンサチップ150Dへと分散させるよう構成される。このようにすることで、波帯A〜Dを含む集積的な波帯に亘り高い光感度(即ち高いSNR)が単一の検出器で実現される。
ある種の例に係るマルチゾーン検出器は、相異なるスペクトル領域に対し感度を呈するInGaAsセンサを有し、それを組み上げて単一センサパッケージにしたものであり、それにより、750nm〜3000nm或いは更にその外側の波長をカバーする単一且つ不断なスペクトラムを得ることができる。
総じて、何個の個別センサをマルチゾーン検出器の波長分散方向に沿い組み上げるのでも、不断なスペクトラムをその検出器から得ることができる。とはいえ、通常、マルチゾーン検出器例えば検出器150では2〜4個の個別センサが採用される。
別の更なる態様によれば、照明野絞りのウェハ平面上射影の入射面直交方向沿い寸法を調整することで、得られる計測正確性及び速度を計測下ターゲットの性質に基づき最適化することができる。
入射面直交方向に沿い照明野絞りのウェハ平面上射影を調整することで、波長に対しほとんど敏感でないフラットトッププロファイルが達成されるよう、計測アプリケーション毎にPSFを成形することができる。加えて、スペクトル分解能を調整することで、そのフラットトッププロファイルに基づき計測正確性及び速度の最適化を果たすことができる。
ある種の例によれば、例えばそのサンプルが極厚膜又は格子構造である場合に、入射面直交方向に沿い照明野絞りのウェハ平面上射影を調整することで、視野サイズを抑えスペクトル分解能の向上を果たすことができる。ある種の例によれば、例えばそのサンプルが薄膜である場合に、入射面直交方向に沿い照明野絞りのウェハ平面上射影を調整することで、視野サイズを拡げスペクトル分解能の損失無しで計測時間の短縮を果たすことができる。
図1〜図4に示した実施形態では、検出器141、150及び153(該当する場合)により検出されたスペクトル応答を示す信号154を受け取るよう、情報処理システム130が構成されている。情報処理システム130は、更に、制御信号119を決めプログラマブル照明野絞り113に送るように構成されている。プログラマブル照明野絞り113は制御信号119を受け取り、所望の照明野サイズが実現されるよう照明開口のサイズを調整する。
ある種の例によれば、照明野絞りを調整することで上述の通り計測正確性及び速度を最適化することができる。また、ある例によれば、照明野絞りを調整することで、分光器スリットによる像クリッピング及びそれに対応する計測結果劣化を防ぐことができる。この場合、計測ターゲットの像で分光器スリットが満たされないよう照明野サイズが調整されることになる。ある例では、照明光学系の偏光器スリットの射影で計量システムの分光器スリットが満たされないよう照明野絞りが調整される。
図11に、少なくとも1個の態様に係る分光計測実行方法500を示す。方法500は計量システム、例えば図1〜図4に示した本発明の計量システム100、200、300及び400それぞれでの実行に適している。ある態様によれば、認識頂けるように、事前にプログラミングされているアルゴリズムを情報処理システム130その他、任意の汎用情報処理システムに備わる1個又は複数個のプロセッサにより実行することで、方法500の諸データ処理ブロックを実行することができる。本願にて認識されている通り、計量システム100、200、300及び400の特定の構造的側面は限定を表すものではなく、専ら例証として解釈されるべきものである。
ブロック501では、照明源からの広帯域照明光群を、計測下標本の表面上の計測スポットへと、入射面内で一通り又は複数通りの入射角にて差し向ける。
ブロック502では、標本表面上の計測スポットから一群の光を集光する。
ブロック503では、集光光群のうち第1波長域内の第1部分を第1検出器の表面へと差し向け、且つ集光光群のうち第2波長域内の第2部分を第2検出器の表面へと差し向ける。
ブロック504では、第1波長域内の照明光群に対する標本の応答を検出する。
ブロック505では、第2波長域内の照明光群に対する標本の応答を、第1波長域内の照明光群に対する標本の応答が検出されるのと同時に検出する。
本願記載の如く構成可能な計測技術の例としては、これに限られるものではないが、分光エリプソメトリ(SE)例えばミュラー行列エリプソメトリ(MMSE)、回転偏光器SE(RPSE)、回転偏光器回転補償器SE(RPRC)、回転補償器回転補償器SE(RCRC)、分光リフレクトメトリ(SR)例えば偏光SR、非偏光SR、分光スキャタロメトリ、スキャタロメトリオーバレイ、ビームプロファイルリフレクトメトリ、角度分解又は偏光分解ビームプロファイルエリプソメトリ、単一又は複数離散波長エリプソメトリ等々がある。総じて、UV及びIR波長を有する照明を内包する計量技術は、いずれも、個別的に又は任意の組合せで想定することができる。例えば、像依拠計量技術を含め半導体構造の特性解明に適用可能なSR又はSE技術は、いずれも、個別的に又は任意の組合せで想定することができる。
更なる実施形態に係るシステム100、200、300及び400は、備わる1個又は複数個の情報処理システム130を利用し、本願記載の方法に従い収集された分光計測データに基づく実デバイス構造の計測を実行するものである。当該1個又は複数個の情報処理システム130を分光器に可通信結合させるとよい。ある態様では、1個又は複数個の情報処理システム130を、標本120の構造の計測に係る計測データ154を受け取るように構成する。
ご認識頂くべきことに、本件開示の随所で述べられている1個又は複数個のステップは、単一コンピュータシステム130により実行することも、それに代え複数コンピュータシステム130により実行することも可能である。更に、システム100、200、300及び400の様々なサブシステムを、本願記載の諸ステップのうち少なくとも一部を実行するのに適したコンピュータシステムを含むものとすることができる。従って、上掲の記述は本発明に対する限定としてではなく単なる例証として解されるべきである。
加えて、コンピュータシステム130は、本件技術分野で既知ないずれの形態で分光器に可通信結合させてもよい。例えば、1個又は複数個の情報処理システム130を分光器に係る情報処理システムに結合させることができる。また例えば、それら分光器を、コンピュータシステム130に結合された単一のコンピュータシステムにより直接制御することができる。
計量システム100、200、300及び400のコンピュータシステム130は、伝送媒体例えば有線区間及び/又は無線区間を有するそれにより同システムのサブシステム(例.分光器等)からデータ又は情報を受信及び/又は捕捉しうるように、構成するとよい。この構成では、その伝送媒体を、コンピュータシステム130と、システム100、200、300及び400の他サブシステムと、の間のデータリンクとして働かせることができる。
計量システム100、200、300及び400のコンピュータシステム130は、伝送媒体例えば有線区間及び/又は無線区間を有するそれにより他システムからデータ又は情報(例.計測結果、モデリング入力、モデリング結果、参照計測結果等々)を受信及び/又は捕捉しうるように、構成するとよい。この構成では、その伝送媒体を、コンピュータシステム130と、他システム(例.計量システム100、200、300及び400のオンボードメモリ、外部メモリその他の外部システム)と、の間のデータリンクとして働かせることができる。例えば、その情報処理システム130を、データリンクを介し格納媒体(即ちメモリ132又は外部メモリ)から計測データを受け取るよう構成するとよい。例えば、本願記載の分光器を用いて取得した分光結果を恒久的又は半恒久的記憶デバイス(例.メモリ132又は外部メモリ)に格納させるとよい。この構成によれば、分光結果をオンボードメモリから、或いは外部メモリシステムからインポートすることができる。更に、伝送媒体を介しコンピュータシステム130が他システムにデータを送るようにするとよい。例えば、計測モデルやコンピュータシステム130が求めた推定パラメタ値を送り、外部メモリに格納させればよい。この構成によれば、計測結果を他システムにエキスポートすることができる。
情報処理システム130には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、パラレルプロセッサその他、本件技術分野で既知なあらゆる装置が包括されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包括されるよう、広く定義することができる。
方法例えば本願記載のそれを実行するためのプログラム命令134を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送するようにしてもよい。例えば、図1に描かれているように、メモリ132に格納されているプログラム命令134がバス133上でプロセッサ131へと伝送される。プログラム命令134がコンピュータ可読媒体(例.メモリ132)内に格納される。コンピュータ可読媒体の例としてはリードオンリメモリ、ランダムアクセスメモリ、磁気又は光ディスク及び磁気テープがある。
ある種の例では、計測モデルが、米国カリフォルニア州ミルピタス所在のKLA−Tencor Corporationから入手可能なSpectraShape(商品名)光学限界寸法計量システムの一構成要素として実現される。この構成では、本システムによりスペクトルが収集された直後の使用に備えモデルが生成及び準備される。
他種の例では、計測モデルが、例えば、米国カリフォルニア州ミルピタス所在のKLA−Tencor Corporationから入手可能なAcuShape(登録商標)ソフトウェアを情報処理システムにより実行することでオフライン実現される。得られる訓練済モデルは、計測を実行する計量システムによるアクセスが可能なAcuShape(登録商標)ライブラリの一構成要素として組み込むことができる。
また、ある態様では、本願記載の半導体デバイス分光計量方法及びシステムが、高アスペクト比(HAR)構造、大横寸法構造又はその双方の計測に適用される。本願記載のシステム及び方法による計測に適した構造の例としては、三次元NAND構造例えば垂直NAND(V−NAND(登録商標))構造、ダイナミックランダムアクセスメモリ構造(DRAM)等々があり、これらは様々な半導体製造業者例えばSamsung Inc.(韓国)、SK Hynix Inc.(韓国)、株式会社東芝(日本)、Micron Technology, Inc.(米国)等々により製造されている。こうした複雑なデバイスには、計測対象構造(群)内への透光率の低さなる問題がある。図12に、計測対象構造(群)内への透光率の低さなる問題がある高アスペクト比NAND構造の例600を示す。赤外に至る広帯域能力を有し本願記載の如くマルチゾーンセンサで以て同時スペクトル帯検出を行う分光エリプソメータは、こうした高アスペクト比構造の計測に適している。
更に別の態様によれば、本願記載の計測結果を用い、処理ツール(例.リソグラフィツール、エッチングツール、堆積ツール等々)に能動フィードバックをかけることができる。例えば、本願記載の計測方法に依拠し導出された計測パラメタ値をリソグラフィツールに送り、所望出力が得られるようそのリソグラフィシステムを調整することができる。同様にしてエッチングパラメタ(例.エッチング時間、拡散率等々)や堆積パラメタ(例.時間、濃度等々)を計測モデルに組み込み、それぞれエッチングツールや堆積ツールに能動フィードバックをかけることができる。ある例によれば、訓練済計測モデル並びにデバイスパラメタ計測値に基づき求めた処理パラメタに対する補正を、リソグラフィツール、エッチングツール又は堆積ツールに送ることができる。
本願記載の語「限界寸法」には、構造のあらゆる限界寸法(例.下部限界寸法、中部限界寸法、上部限界寸法、側壁角、格子高さ等々)、任意の2個以上の構造間の限界寸法(例.2個の構造間の距離)、並びに2個以上の構造間の位置ずれ(例.重なり合う格子構造間のオーバレイ位置ずれ等々)が包括される。構造の例としては三次元構造、パターン付構造、オーバレイ構造等々がある。
本願記載の語「限界寸法アプリケーション」や「限界寸法計測アプリケーション」にはあらゆる限界寸法計測が包括される。
本願記載の語「計量システム」には、限界寸法計量、オーバレイ計量、焦点/照射量計量及び組成計量等の計測アプリケーションを含め、任意の態様での標本の特性解明に少なくとも部分的に採用されるあらゆるシステムが包括される。とはいえ、これらの技術用語により本願記載の語「計量システム」の範囲が制限されるわけではない。加えて、計量システム100はパターニング済ウェハ及び/又は未パターニングウェハの計測向けに構成することができる。この計量システムはLED検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツール又はマルチモード検査ツール(1個又は複数個のプラットフォームからの同時データ取得を伴うもの)や、限界寸法データに基づくシステムパラメタの校正から利を受ける他のあらゆる計量又は検査ツールとして、構成することができる。
本願中には、任意の半導体処理ツール(例.検査システム又はリソグラフィシステム)内で標本の計測に使用可能な半導体計測システムに関し、様々な実施形態が述べられている。本願では、語「標本」が、本件技術分野で既知な手段により処理(例.印刷又は欠陥検査)可能なウェハ、レティクルその他のあらゆるサンプルを指す趣旨で用いられている。
本願中の用語「ウェハ」は、総じて、半導体又は非半導体素材で形成された基板を指している。その素材の例としては、これに限られるものではないが、単結晶シリコン、ヒ化ガリウム及び燐化インジウムがある。そうした基板は半導体製造設備にて目にすること及び/又は処理されることが多い。場合によってはウェハが基板のみで構成されよう(いわゆるベアウェハ)。そうではなく、ウェハが1個又は複数個の層を有し、それらが基板上に別素材で形成されていることもある。ウェハ上に形成された1個又は複数個の層が「パターニング」されていることも「未パターニング」なこともありうる。例えば、可反復なパターンフィーチャを有するダイが複数個、ウェハ内に存することもありうる。
「レティクル」は、レティクル製造プロセスのいずれかの段階にあるレティクルでもレティクルの完成品でもよく、また半導体製造設備での使用向けにリリースされているものでもされていないものでもよい。レティクル或いは「マスク」は、一般に、その上に実質的に不透明な領域が形成されておりその領域がパターンをなしている実質的に透明な基板として定義される。その基板は、例えば、ガラス素材例えばアモルファスSiOを含有するものとすることができる。レティクルをレジスト被覆ウェハ上に配し、リソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストに転写することができる。
ウェハ上に形成された1個又は複数個の層は、パターニングしてもパターニングしなくてもかまわない。例えば、ウェハを構成する複数個のダイそれぞれに可反復なパターンフィーチャを設けることができる。そうした素材層の形成及び処理によって、最終的にはデバイスの完成品を得ることができる。多種類のデバイスをウェハ上に形成することが可能であり、本願中の用語ウェハは、本件技術分野で既知な任意種類のデバイスがその上に作り込まれるウェハを包括することを意図している。
1個又は複数個の例示的実施形態によれば、上述の機能をハードウェア、ソフトウェア、ファームウェア又はそれらの任意の組合せの態で実現することができる。ソフトウェアの態で実現する際には、それらの機能が1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納され又はその媒体上で伝送される。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体双方が包括され、またコンピュータプログラムをある場所から別の場所へと転送するのに役立つ媒体全てが包括される。格納媒体は、汎用又は専用コンピュータによるアクセスが可能な入手可能媒体ならどのようなものでもよい。限定としてではなく例として言うなら、そうしたコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置をはじめ、命令又はデータ構造の形態での所望のプログラムコード手段の搬送又は格納に使用することが可能で、且つ汎用又は専用コンピュータ或いは汎用又は専用プロセッサがアクセスすることが可能な、任意の他媒体を以て構成することができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、ソフトウェアをウェブサイト、サーバその他のリモートソースから送信するに当たり同軸ケーブル、光ファイバケーブル、ツイストペア、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波が用いられるのであれば、それら同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波は、媒体の定義に収まる。本願中の用語ディスク(disk/disc)には、コンパクトディスク(CD)、レーザディスク、光ディスク、ディジタルバーサタイルディスク(DVD(登録商標))、フロッピーディスク及びブルーレイ(登録商標)ディスクを含め、通常はデータが磁気的に再生されるディスク(disk)及びレーザで以て光学的に再生されるディスク(disc)が包括される。上掲のものの組合せもまたコンピュータ可読媒体の範囲内に含まれるべきである。
教示目的である特定の諸実施形態につき上述してきたが、本件特許出願の教示は一般的な適用可能性を有するものであり、上述の具体的諸実施形態に限定されるものではない。従って、上述の諸実施形態の諸特徴については、特許請求の範囲に示す発明の技術的範囲から離隔することなく、様々な修正、適合化並びに組合せを実施することができる。

Claims (24)

  1. 広帯域照明光群を生成するよう構成された1個又は複数個の照明源と、
    照明源からの照明光群を、計測下標本の表面上の計測スポットへと、ある入射面内で一通り又は複数通りの入射角にて差し向けるよう構成された照明光学系サブシステムと、
    標本の表面上の計測スポットから集光光群を集光するよう構成された集光光学系サブシステムと、
    入射光に感応する平坦で二次元的な表面を有する第1検出器であり、第1波長域内の照明光群に対する標本の応答を検出するよう構成された第1検出器と、
    入射光に感応する平坦で二次元的な表面を有する第2検出器であり、第2波長域内の照明光群に対する標本の応答を、第1検出器が第1波長域内の照明光群に対する標本の応答を検出するのと同時に検出するよう構成された第2検出器と、
    集光光群のうち第1波長域内の第1部分を第1検出器の表面に向かい分散させるよう構成された第1回折素子と、
    集光光群のうち第2波長域内の第2部分を第2検出器の表面に向かい分散させるよう構成された第2回折素子と、
    を備える計量システム。
  2. 請求項1に記載の計量システムであって、第1検出器上に射影された入射面に整列している方向が第1検出器の表面上での波長分散の方向に対し垂直な方向を向くよう、集光光学系サブシステムが計測スポットを第1検出器の表面上に結像させる計量システム。
  3. 請求項2に記載の計量システムであって、第2検出器上に射影された入射面に整列している方向が第2検出器の表面上での波長分散の方向に対し垂直な方向を向くよう、集光光学系サブシステムが計測スポットを第2検出器の表面上に結像させる計量システム。
  4. 請求項1に記載の計量システムであって、第2検出器が、異なる光感度をそれぞれ呈する2個以上の相異なる表面エリアを有し、当該2個以上の相異なる表面エリアが第2検出器の表面を横断する波長分散方向に整列している計量システム。
  5. 請求項1に記載の計量システムであって、更に、
    入射光に感応する平坦で二次元的な表面を有する第3検出器であり、第3波長域内の照明光群に対する標本の応答を、第1検出器が第1波長域内の照明光群に対する標本の応答を検出するのと同時に検出するよう構成された第3検出器と、
    集光光群のうち第3波長域内の第3部分を第3検出器の表面に向かい分散させるよう構成された第3回折素子と、
    を備える計量システム。
  6. 請求項1に記載の計量システムであって、更に、
    集光光群のうち一部分を検出するよう構成されたファインフォーカスセンサと、
    集光光群のうち上記一部分をファインフォーカスセンサに差し向けるよう構成されたビーム分岐素子と、を備え、そのファインフォーカスセンサが、第1及び第2検出器が照明光群に対する標本の応答を検出するのと同時に標本合焦誤差が検出されるよう構成されている、
    計量システム。
  7. 請求項1に記載の計量システムであって、照明光群が、赤外、可視及び紫外波長を含む波長域を有する広帯域照明光である計量システム。
  8. 請求項1に記載の計量システムであって、照明光群のうち少なくとも一部分が標本に垂直入射角にて供給される計量システム。
  9. 請求項1に記載の計量システムであって、照明光群のうち少なくとも一部分が標本に斜め入射角にて供給される計量システム。
  10. 請求項1に記載の計量システムであって、分光エリプソメータ及び分光リフレクトメータのうちいずれか1個又は複数個として構成された計量システム。
  11. 請求項1に記載の計量システムであって、計測下標本が高アスペクト比計量ターゲットである計量システム。
  12. 請求項1に記載の計量システムであって、計測下標本が三次元NAND構造又はダイナミックランダムアクセスメモリ構造である計量システム。
  13. 請求項1に記載の計量システムであって、更に、
    計測下標本の注目パラメタの推定値を、第1及び第2検出器の出力の結合分析を踏まえ生成するよう構成された情報処理システムを備える計量システム。
  14. 広帯域照明光群を生成するよう構成された1個又は複数個の照明源と、
    照明源からの照明光群を、計測下標本の表面上の計測スポットへと、ある入射面内で一通り又は複数通りの入射角にて差し向けるよう構成された照明光学系サブシステムと、
    標本の表面上の計測スポットから集光光群を集光するよう構成された集光光学系サブシステムと、
    入射光に感応する平坦で二次元的な表面を有する第1検出器であり、第1波長域内の照明光群に対する標本の応答を検出するよう構成された第1検出器であり、異なる光感度をそれぞれ呈する2個以上の相異なる表面エリアを有し、当該2個以上の相異なる表面エリアが自第1検出器の表面を横断する波長分散方向に整列している第1検出器と、
    集光光群のうち第1波長域内の第1部分を、第1検出器の表面を過ぎり分散させるよう構成された第1回折素子と、
    を備える計量システム。
  15. 請求項14に記載の計量システムであって、更に、
    入射光に感応する平坦で二次元的な表面を有する第2検出器であり、第2波長域内の照明光群に対する標本の応答を、第1検出器が第1波長域内の照明光群に対する標本の応答を検出するのと同時に検出するよう構成された第2検出器と、
    集光光群のうち第2波長域内の第2部分を、第2検出器の表面を過ぎり分散させるよう構成された第2回折素子と、
    を備える計量システム。
  16. 請求項14に記載の計量システムであって、第1検出器上に射影された入射面に整列している方向が第1検出器の表面上での波長分散の方向に対し垂直な方向を向くよう、集光光学系サブシステムが計測スポットを第1検出器の表面上に結像させる計量システム。
  17. 請求項14に記載の計量システムであって、更に、
    入射光に感応する平坦で二次元的な表面を有する第3検出器であり、第3波長域内の照明光群に対する標本の応答を、第1検出器が第1波長域内の照明光群に対する標本の応答を検出するのと同時に検出するよう構成された第3検出器と、
    集光光群のうち第3波長域内の第3部分を、第3検出器の表面を過ぎり分散させるよう構成された第3回折素子と、
    を備える計量システム。
  18. 請求項14に記載の計量システムであって、更に、
    集光光群のうち一部分を検出するよう構成されたファインフォーカスセンサと、
    集光光群のうち上記一部分をファインフォーカスセンサに差し向けるよう構成されたビーム分岐素子と、
    を備える計量システム。
  19. 請求項14に記載の計量システムであって、計測下標本が三次元NAND構造又はダイナミックランダムアクセスメモリ構造である計量システム。
  20. 照明源からの広帯域照明光群を、計測下標本の表面上の計測スポットへと、ある入射面内で一通り又は複数通りの入射角にて差し向けるステップと、
    標本の表面上の計測スポットから集光光群を集光するステップと、
    集光光群のうち第1波長域内の第1部分を第1検出器の表面へと差し向け且つ集光光群のうち第2波長域内の第2部分を第2検出器の表面へと差し向けるステップと、
    第1波長域内の照明光群に対する標本の応答を検出するステップと、
    第2波長域内の照明光群に対する標本の応答を、第1波長域内の照明光群に対する標本の応答の検出と同時に検出するステップと、
    を有する方法。
  21. 請求項20に記載の方法であって、更に、
    第1検出器上に射影された入射面に整列している方向が第1検出器の表面上での波長分散の方向に対し垂直な方向を向くよう、計測スポットを第1検出器の表面上に結像させるステップを有する方法。
  22. 請求項20に記載の方法であって、第2検出器が、異なる光感度をそれぞれ呈する2個以上の相異なる表面エリアを有し、当該2個以上の相異なる表面エリアが第2検出器の表面を横断する波長分散方向に整列している方法。
  23. 請求項20に記載の方法であって、更に、
    集光光群のうち第3波長域内の第3部分を第3検出器の表面へと差し向けるステップと、
    第3波長域内の照明光群に対する標本の応答を、第1波長域内の照明光群に対する標本の応答の検出と同時に検出するステップと、
    を有する方法。
  24. 請求項20に記載の方法であって、計測下標本が三次元NAND構造又はダイナミックランダムアクセスメモリ構造である方法。
JP2018536816A 2016-01-15 2017-01-06 拡張赤外分光エリプソメトリシステム及び方法 Active JP6858192B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662279469P 2016-01-15 2016-01-15
US62/279,469 2016-01-15
US15/336,705 US9921152B2 (en) 2016-01-15 2016-10-27 Systems and methods for extended infrared spectroscopic ellipsometry
US15/336,705 2016-10-27
PCT/US2017/012502 WO2017123467A1 (en) 2016-01-15 2017-01-06 Systems and methods for extended infrared spectroscopic ellipsometry

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021000416A Division JP7093429B2 (ja) 2016-01-15 2021-01-05 拡張赤外分光エリプソメトリシステム

Publications (2)

Publication Number Publication Date
JP2019503486A true JP2019503486A (ja) 2019-02-07
JP6858192B2 JP6858192B2 (ja) 2021-04-14

Family

ID=59311865

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018536816A Active JP6858192B2 (ja) 2016-01-15 2017-01-06 拡張赤外分光エリプソメトリシステム及び方法
JP2021000416A Active JP7093429B2 (ja) 2016-01-15 2021-01-05 拡張赤外分光エリプソメトリシステム
JP2022098143A Pending JP2022121502A (ja) 2016-01-15 2022-06-17 拡張赤外分光エリプソメトリ方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2021000416A Active JP7093429B2 (ja) 2016-01-15 2021-01-05 拡張赤外分光エリプソメトリシステム
JP2022098143A Pending JP2022121502A (ja) 2016-01-15 2022-06-17 拡張赤外分光エリプソメトリ方法

Country Status (7)

Country Link
US (1) US9921152B2 (ja)
JP (3) JP6858192B2 (ja)
KR (1) KR102390308B1 (ja)
CN (1) CN108463877B (ja)
DE (1) DE112017000384T5 (ja)
TW (1) TWI746498B (ja)
WO (1) WO2017123467A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105091788B (zh) * 2014-05-06 2017-11-07 北京智朗芯光科技有限公司 自动实时快速检测晶片基底二维形貌的装置
US9921152B2 (en) * 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10495518B2 (en) * 2016-06-23 2019-12-03 Panasonic Intellectual Property Management Co., Ltd. Infrared detection apparatus
JP6765064B2 (ja) * 2016-06-23 2020-10-07 パナソニックIpマネジメント株式会社 赤外線検出装置
US10215693B2 (en) * 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
US10690602B2 (en) * 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
EP3528048A1 (en) * 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
CN111542783A (zh) * 2017-12-28 2020-08-14 Asml荷兰有限公司 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
IL279368B1 (en) 2018-06-13 2024-02-01 Asml Netherlands Bv Metrological device
CN109580551A (zh) * 2018-11-30 2019-04-05 武汉颐光科技有限公司 一种傅里叶变换红外穆勒矩阵椭偏仪及其测量方法
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) * 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
US10598604B1 (en) * 2019-04-26 2020-03-24 Cark Zeiss Industrial Metrology, LLC Normal incidence phase-shifted deflectometry sensor, system, and method for inspecting a surface of a specimen
JP7336977B2 (ja) * 2019-12-11 2023-09-01 株式会社ディスコ レーザービームのスポット形状の補正方法
US20230059471A1 (en) * 2020-01-29 2023-02-23 Asml Holding N.V. Optical designs of miniaturized overlay measurement system
US11346790B1 (en) * 2020-12-02 2022-05-31 Onto Innovation Inc. Focus system for oblique optical metrology device
US11741633B2 (en) * 2021-05-17 2023-08-29 Nvidia Corporation Converting discrete light attenuation into spectral data for rendering object volumes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004529327A (ja) * 2001-02-14 2004-09-24 アプライド マテリアルズ インコーポレイテッド 非線形光学現象を用いるレーザスキャニングウエハ検査

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06288835A (ja) * 1993-03-30 1994-10-18 Shimadzu Corp エリプソメータ
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6975400B2 (en) * 1999-01-25 2005-12-13 Amnis Corporation Imaging and analyzing parameters of small moving objects such as cells
JP2001235368A (ja) 2000-02-24 2001-08-31 Matsushita Electric Works Ltd マイクロスペクトロメータ
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6891610B2 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7027142B2 (en) 2002-05-06 2006-04-11 Applied Materials, Israel, Ltd. Optical technique for detecting buried defects in opaque films
US9386241B2 (en) 2003-07-02 2016-07-05 Verity Instruments, Inc. Apparatus and method for enhancing dynamic range of charge coupled device-based spectrograph
JP2006153770A (ja) 2004-11-30 2006-06-15 Omron Corp 分光計測装置
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
WO2006091913A1 (en) 2005-02-25 2006-08-31 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
JP2009210457A (ja) 2008-03-05 2009-09-17 Omron Corp 分光偏光計測装置
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8248609B2 (en) 2008-11-04 2012-08-21 The Johns Hopkins University Cylindrical illumination confocal spectroscopy system
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
JP2012104586A (ja) 2010-11-09 2012-05-31 Elpida Memory Inc 半導体計測装置
WO2012074087A1 (ja) 2010-12-03 2012-06-07 株式会社 東芝 自動分析装置
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
DE102011086018A1 (de) 2011-11-09 2013-05-16 Carl Zeiss Ag Verfahren und Anordnung zur Autofokussierung eines Mikroskops
US8873050B1 (en) * 2012-08-16 2014-10-28 Kla-Tencor Corp. Selective diffraction with in-series gratings
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US9217717B2 (en) * 2012-12-17 2015-12-22 Kla-Tencor Corporation Two dimensional optical detector with multiple shift registers
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
JP6180311B2 (ja) 2013-12-13 2017-08-16 大塚電子株式会社 偏光解析装置
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US9970863B2 (en) 2015-02-22 2018-05-15 Kla-Tencor Corporation Optical metrology with reduced focus error sensitivity
US9921152B2 (en) * 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004529327A (ja) * 2001-02-14 2004-09-24 アプライド マテリアルズ インコーポレイテッド 非線形光学現象を用いるレーザスキャニングウエハ検査

Also Published As

Publication number Publication date
JP7093429B2 (ja) 2022-06-29
KR20180095102A (ko) 2018-08-24
JP2021063828A (ja) 2021-04-22
US9921152B2 (en) 2018-03-20
TWI746498B (zh) 2021-11-21
CN108463877B (zh) 2020-04-03
CN108463877A (zh) 2018-08-28
JP2022121502A (ja) 2022-08-19
US20170205342A1 (en) 2017-07-20
WO2017123467A1 (en) 2017-07-20
JP6858192B2 (ja) 2021-04-14
KR102390308B1 (ko) 2022-04-22
DE112017000384T5 (de) 2018-09-27
TW201734417A (zh) 2017-10-01

Similar Documents

Publication Publication Date Title
JP7093429B2 (ja) 拡張赤外分光エリプソメトリシステム
JP7181211B2 (ja) 厚膜及び高アスペクト比構造の計測方法及びシステム
TWI721993B (zh) 用於量測在一半導體晶圓上之高度的方法及裝置
KR102283409B1 (ko) 높은 종횡비 구조의 측정을 위한 적외선 분광 리플렉토미터
CN110062952B (zh) 同时多重角度光谱
JP6758309B2 (ja) フォーカスエラー感応性が減少した光学的計測

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210302

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210323

R150 Certificate of patent or registration of utility model

Ref document number: 6858192

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250