JP2019503486A - Extended infrared spectroscopic ellipsometry system and method - Google Patents

Extended infrared spectroscopic ellipsometry system and method Download PDF

Info

Publication number
JP2019503486A
JP2019503486A JP2018536816A JP2018536816A JP2019503486A JP 2019503486 A JP2019503486 A JP 2019503486A JP 2018536816 A JP2018536816 A JP 2018536816A JP 2018536816 A JP2018536816 A JP 2018536816A JP 2019503486 A JP2019503486 A JP 2019503486A
Authority
JP
Japan
Prior art keywords
detector
light group
illumination light
illumination
weighing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018536816A
Other languages
Japanese (ja)
Other versions
JP6858192B2 (en
Inventor
シャンカル クリシュナン
シャンカル クリシュナン
デイヴィッド ワイ ワン
デイヴィッド ワイ ワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019503486A publication Critical patent/JP2019503486A/en
Application granted granted Critical
Publication of JP6858192B2 publication Critical patent/JP6858192B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/35Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light
    • G01N21/3563Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/2803Investigating the spectrum using photoelectric array detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/02Details
    • G01J3/0205Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows
    • G01J3/0224Optical elements not provided otherwise, e.g. optical manifolds, diffusers, windows using polarising or depolarising elements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/12Generating the spectrum; Monochromators
    • G01J3/18Generating the spectrum; Monochromators using diffraction elements, e.g. grating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/30Measuring the intensity of spectral lines directly on the spectrum itself
    • G01J3/36Investigating two or more bands of a spectrum by separate detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/42Absorption spectrometry; Double beam spectrometry; Flicker spectrometry; Reflection spectrometry
    • G01J3/427Dual wavelengths spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/42Absorption spectrometry; Double beam spectrometry; Flicker spectrometry; Reflection spectrometry
    • G01J3/427Dual wavelengths spectrometry
    • G01J2003/4275Polarised dual wavelength spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/35Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light
    • G01N21/3563Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor
    • G01N2021/3568Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor applied to semiconductors, e.g. Silicon

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Spectrometry And Color Measurement (AREA)

Abstract

本願では、紫外、可視及び赤外波長で半導体構造の同時分光計測を実行する方法及びシステムが開示される。また、ある態様では、検出器表面上での波長分散方向を、検出器表面上への入射面の射影に対し垂直な方向とすることで、波長誤差が低減される。また、ある態様では、異なる感度特性を呈する複数個の感光エリアを有する検出器により広帯域に亘る赤外波長が検出される。集光光は波長に従い検出器表面上に直線的に分散される。幾つかの感光エリアそれぞれが、異なる入射波長域に感応するよう検出器上に配列される。このようにして、広帯域に亘る赤外波長が単一の検出器により高い信号対雑音比で以て検出される。これらの特徴により、高アスペクト比構造の高いスループット、精度及び正確性での高スループット計測が可能になる。The present application discloses a method and system for performing simultaneous spectroscopic measurements of semiconductor structures at ultraviolet, visible and infrared wavelengths. In one embodiment, the wavelength error is reduced by setting the direction of chromatic dispersion on the detector surface to a direction perpendicular to the projection of the incident surface on the detector surface. In one embodiment, infrared wavelengths over a wide band are detected by a detector having a plurality of photosensitive areas that exhibit different sensitivity characteristics. The collected light is linearly dispersed on the detector surface according to the wavelength. Each of several photosensitive areas is arranged on the detector to be sensitive to a different incident wavelength range. In this way, infrared wavelengths over a wide band are detected with a high signal-to-noise ratio by a single detector. These features enable high throughput measurements with high throughput, accuracy and accuracy of high aspect ratio structures.

Description

記載されている諸実施形態は計量システム及び方法、より具体的には三次元半導体構造の秀逸な計測方法及びシステムに関する。   The described embodiments relate to metrology systems and methods, and more particularly to superior metrology methods and systems for three-dimensional semiconductor structures.

(関連出願への相互参照)
本件特許出願は、「拡張赤外エリプソメトリ装置及び方法」(Apparatus and Methods of Extended Infrared Ellipsometry)と題する2016年1月15日付米国仮特許出願第62/279,469号に基づき、米国特許法第119条の規定による優先権を主張するものであるので、この参照を以て当該仮特許出願の主題を遍く本願に繰り入れることにする。
(Cross-reference to related applications)
This patent application is based on US Provisional Patent Application No. 62 / 279,469 dated January 15, 2016 entitled “Apparatus and Methods of Extended Infrared Ellipsometry”. Since this claim claims priority under the provisions of Article 119, the subject matter of the provisional patent application will be incorporated into the present application with this reference.

半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を標本に適用することで製造される。それら半導体デバイスの諸特徴及び構造階層群はそれら処理工程により形成される。例えばそのうちのリソグラフィは、半導体ウェハ上でのパターン生成を孕む半導体製造プロセスの一つである。半導体製造プロセスの別例としては、これに限られるものではないが化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作り込み、その上で個別の半導体デバイスへと分けるようにするとよい。   Semiconductor devices such as logic devices and storage devices are typically manufactured by applying a series of processing steps to a specimen. The features and structural hierarchies of these semiconductor devices are formed by these processing steps. For example, lithography is one of the semiconductor manufacturing processes that envisage pattern generation on a semiconductor wafer. Other examples of semiconductor manufacturing processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. A plurality of semiconductor devices may be formed on one semiconductor wafer and then divided into individual semiconductor devices.

計量プロセスは半導体製造プロセス中の諸工程にて用いられるプロセスであり、それによりウェハ上の欠陥を検出して歩留まり向上を促進することができる。光学計量技術には、サンプル破壊のリスク無しで高いスループットが得られる見込みがある。多数の光学計量依拠技術、例えばスキャタロメトリ(散乱計測)及びリフレクトメトリ(反射計測)装置並びにそれらに係る分析アルゴリズムが、ナノスケール構造の限界寸法、膜厚、組成、オーバレイその他のパラメタを解明するため広く用いられている。   The metering process is a process used in various steps in the semiconductor manufacturing process, and thereby it is possible to detect defects on the wafer and promote yield improvement. Optical metrology techniques are expected to provide high throughput without the risk of sample destruction. Numerous optical metrology-based technologies, such as scatterometry and reflectometry equipment, and associated analysis algorithms, elucidate critical dimensions, film thickness, composition, overlay and other parameters of nanoscale structures Therefore, it is widely used.

フラッシュメモリアーキテクチャは二次元フローティングゲートアーキテクチャから全三次元幾何へと移り変わりつつある。幾つかの例では、膜スタック及びエッチド構造が非常に深くなっている(例えば深さが6μmにも達している)。そうした高アスペクト比構造では膜計測及びCD計測に関し難儀なことが発生する。限界寸法を計測しそれらの構造の孔及びトレンチ形状を定める能力は、所望の性能水準及びデバイス歩留まりを実現する上で肝要である。   Flash memory architecture is changing from 2D floating gate architecture to all 3D geometry. In some examples, the film stack and etched structure are very deep (eg, the depth reaches 6 μm). Such a high aspect ratio structure causes difficulties in film measurement and CD measurement. The ability to measure critical dimensions and define the hole and trench shape of those structures is critical to achieving the desired performance level and device yield.

多くの光学技術で信号対雑音比(SNR)の低さが問題となっており、また照明光の小部分しか高アスペクト比フィーチャ(造作)の底部に到達させ検出器へと上方反射させえないことがその原因となっている。即ち、利用可能な高スループット計量技術は多々あれ、高アスペクト比構造のCD及び膜計測を信頼性よく実行することができない。限界寸法小角X線スキャタロメトリ(CD−SAXS)、垂直入射リフレクトメトリ及びスキャタロメトリが、高アスペクト比構造向けの計測ソリューションとして探求されているが、未だなお開発が続行中である。   Low signal-to-noise ratio (SNR) is a problem in many optical technologies, and only a small portion of the illumination light can reach the bottom of the high aspect ratio feature (feature) and reflect it up to the detector This is the cause. That is, there are many high-throughput weighing technologies that can be used, and CD and film measurement with a high aspect ratio structure cannot be performed reliably. Critical dimension small angle X-ray scatterometry (CD-SAXS), normal incidence reflectometry and scatterometry are being explored as metrology solutions for high aspect ratio structures, but development is still ongoing.

断面走査型電子顕微鏡(SEM)は低スループットな破壊的技術であり、インライン計量に適していない。原子間力顕微鏡(AFM)は、その高アスペクト比構造計測能力が劣っていて、且つスループットが比較的低い。CD−SAXSは、半導体産業で求められる高スループット能力を達成しうるかが、未だ判然としていない。モデル依拠赤外線リフレクトメトリ(MBIR)は高アスペクト比DRAM構造の計量に用いられているが、この技術ではより短い波長ならば得られる分解能が得られず、しかも計測スポットサイズが大きすぎて半導体計量に相応しくない。この参照を以て全面説明があるかの如く本願に繰り入れられるところの非特許文献1を参照されたい。   A cross-sectional scanning electron microscope (SEM) is a destructive technique with low throughput and is not suitable for in-line weighing. Atomic force microscopes (AFMs) have poor high aspect ratio structure metrology capabilities and relatively low throughput. It is not yet clear whether CD-SAXS can achieve the high throughput capability required in the semiconductor industry. Model-based infrared reflectometry (MBIR) is used for metrology of high aspect ratio DRAM structures, but this technique does not provide the resolution that can be obtained at shorter wavelengths, and the measurement spot size is too large for semiconductor metrology. Not suitable. Please refer to Non-Patent Document 1 which is incorporated in this application as if there is a full explanation with this reference.

光学CD計量は、現在のところ、μmスケールの深さ及び横方向寸法を有する構造の詳細プロファイルを、比較的小さなスポット(例.50μm未満のもの、より好ましくは30μm未満のもの)にて、高スループットで計測する能力に欠けている。この参照を以て全面説明があるかの如く本願に繰り入れられるところの特許文献1には、高アスペクト比構造の特性解明に適した赤外線分光エリプソメトリ(偏光解析)技術が記載されている。しかしながら、それに記載されている技術には、紫外及び赤外波長に亘る計測に長い計測時間がかかり、波長安定性が低く、並びに動作時赤外波長域が狭いという問題がある。   Optical CD metrology currently presents a detailed profile of structures having depths and lateral dimensions on the μm scale, with relatively small spots (eg, less than 50 μm, more preferably less than 30 μm). It lacks the ability to measure with throughput. Patent Document 1 which is incorporated in the present application as if there is a full explanation with this reference describes an infrared spectroscopic ellipsometry (polarization analysis) technique suitable for elucidating the characteristics of a high aspect ratio structure. However, the technique described therein has problems that it takes a long measurement time to measure over ultraviolet and infrared wavelengths, the wavelength stability is low, and the infrared wavelength region during operation is narrow.

米国特許第8,860,937号明細書US Pat. No. 8,860,937 米国特許出願公開第2013/0114085号明細書US Patent Application Publication No. 2013/0114085

"Measuring deep-trench structures with model-based IR," by Gostein et al., Solid State Technology, vol. 49, no. 3, Mar. 1, 2006"Measuring deep-trench structures with model-based IR," by Gostein et al., Solid State Technology, vol. 49, no. 3, Mar. 1, 2006

まとめると、フィーチャサイズの縮小や構造フィーチャの深度増大が進んでいることで、光学計量システムに困難な条件が課されている。光学計量システムを高い精度及び正確性条件に合致させないと、ますます複雑化してきているターゲットを高スループットで計量しコスト効率を維持することができない。そのこととの関連で、広帯域照明及びデータ収集の速度、合焦誤差並びに赤外波長域が、高アスペクト比構造に適した光学計量システムを設計する上で、重大な性能制約的事項として表出している。そのため、それらの制約を克服しうる秀逸な計量システム及び方法が望まれている。   In summary, difficult features are imposed on optical metrology systems as feature sizes shrink and structural features increase in depth. If the optical weighing system is not matched to high accuracy and accuracy requirements, the increasingly complex target cannot be weighed at high throughput and cost effective. In this context, broadband illumination and data collection speed, focus error and infrared wavelength range are critical performance constraints for designing optical metrology systems suitable for high aspect ratio structures. ing. Therefore, an excellent metering system and method that can overcome these limitations is desired.

本願では、紫外、可視及び赤外波長にて半導体構造の同時分光計測を実行する方法及びシステムが提示される。紫外、可視及び赤外波長を含むスペクトルが、同じ整列条件で以て高スループットで計測される。この構成では、マシン誤差例えば波長誤差が全計測波長に亘り均一に補正される。単一のシステム内で赤外、可視及び紫外光で以てターゲットを同時計測することで、複雑な三次元構造の精密な特性解明が可能になる。大略、比較的長い波長は構造内に深く浸透するので、それにより高次回折の抑圧を行いつつ、比較的長いピッチを有する構造を計測することができる。比較的短い波長では、比較的短い波長でアクセス可能な構造(即ち上位階層)について、また比較的小さなCD及び粗さフィーチャについて、精密な寸法情報が得られる。長めの波長は粗さに対する感度が低いので、ある種の例に従い波長を長めにすることで、比較的粗い表面又は界面を有するターゲットの寸法特性の計測が可能になる。   In this application, methods and systems for performing simultaneous spectroscopic measurements of semiconductor structures at ultraviolet, visible and infrared wavelengths are presented. Spectra including ultraviolet, visible and infrared wavelengths are measured at high throughput with the same alignment conditions. In this configuration, a machine error, for example, a wavelength error is corrected uniformly over the entire measurement wavelength. By simultaneously measuring targets with infrared, visible, and ultraviolet light within a single system, it is possible to precisely characterize complex three-dimensional structures. In general, a relatively long wavelength penetrates deeply into the structure, so that a structure having a relatively long pitch can be measured while suppressing high-order diffraction. At relatively short wavelengths, precise dimensional information is obtained for structures that are accessible at relatively short wavelengths (ie higher layers) and for relatively small CD and roughness features. Longer wavelengths are less sensitive to roughness, so increasing the wavelength according to certain examples allows measurement of the dimensional characteristics of a target having a relatively rough surface or interface.

また、ある態様では、計測中に合焦誤差補正用計測入力を提供すべく検出サブシステムにファインフォーカスセンサ(FFS)が組み込まれる。   In one aspect, a fine focus sensor (FFS) is incorporated into the detection subsystem to provide a focus error correction measurement input during measurement.

また、ある態様では、計測スポットが検出器上に結像されるよう、またウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向に対し垂直な方向を向くよう、広帯域分光器量システムが構成される。この配列では、合焦誤差に対する計量システムの感度が大きく低減される。合焦誤差に対する感度が低いので、精密な計測結果がより短いMAM時間で以て、ひいてはより高いスループットで以て得られる。   Further, in one aspect, the measurement spot is imaged on the detector, and the direction aligned with the incident surface on the wafer surface is oriented in a direction perpendicular to the wavelength dispersion direction on the detector surface. A broadband spectrometer system is constructed. With this arrangement, the sensitivity of the weighing system to focusing errors is greatly reduced. Since the sensitivity to focusing error is low, precise measurement results can be obtained with a shorter MAM time and thus with a higher throughput.

また、ある態様では、単一の検出器パッケージ上の別々の個所にある幾つかの感度帯が組み合わされたマルチゾーン赤外線検出器が、本願記載の計量システムにて採用される。この検出器は、入射個所に応じ異なる感度でデータの連続スペクトラムを提供するよう構成される。集光光は波長に従い検出器表面上に直線的に分散される。幾つかの感光エリアそれぞれが、相異なる入射波長域に感応するようその検出器上に配列される。この構成では、広域に亘る赤外波長が単一の検出器により高い信号対雑音比で以て検出される。   In one embodiment, a multi-zone infrared detector that combines several sensitivity bands at different locations on a single detector package is employed in the metering system described herein. The detector is configured to provide a continuous spectrum of data with different sensitivities depending on the location of incidence. The collected light is linearly dispersed on the detector surface according to the wavelength. Each of several photosensitive areas is arranged on its detector to be sensitive to different incident wavelength ranges. In this configuration, infrared wavelengths over a wide area are detected with a high signal-to-noise ratio by a single detector.

更なる態様では、入射面直交方向に沿いウェハ平面上に射影された照明野の寸法が、得られる計測正確性及び速度が最適化されるよう計測下ターゲットの性質に基づき調整される。   In a further aspect, the size of the illumination field projected onto the wafer plane along the direction perpendicular to the plane of incidence is adjusted based on the nature of the measured target so that the resulting measurement accuracy and speed are optimized.

以上は概要であるので、随所に単純化、一般化及び細部省略が含まれている;従って、本件技術分野に習熟した者(いわゆる当業者)には明らかな通り、この概要は専ら例証的なものであり如何様であれ限定的なものではない。本願記載の装置及び/又はプロセスの他の態様、独創的特徴及び長所については、本願中で説明される非限定的な詳細記述にて明らかとなろう。   Since the above is a summary, simplifications, generalizations and omissions of detail are included everywhere; therefore, as will be apparent to those skilled in the art (so-called those skilled in the art), this summary is solely illustrative. It is something and not limited. Other aspects, inventive features and advantages of the devices and / or processes described herein will become apparent in the non-limiting detailed description set forth herein.

一実施形態に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例100を示す図である。1 is a diagram illustrating an example 100 of a metrology system that performs simultaneous spectroscopic measurements of one or more structures at ultraviolet, visible, and infrared wavelengths, according to one embodiment. FIG. 別の実施形態に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例200を示す図である。FIG. 6 illustrates an example weighing system 200 that performs simultaneous spectroscopic measurements of one or more structures at ultraviolet, visible, and infrared wavelengths according to another embodiment. 更に別の実施形態に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例300を示す図である。FIG. 6 is a diagram illustrating an example 300 of a metrology system that performs simultaneous spectroscopic measurements of one or more structures at ultraviolet, visible, and infrared wavelengths according to yet another embodiment. 更に別の実施形態に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例400を示す図である。FIG. 6 is a diagram illustrating an example 400 of a metrology system that performs simultaneous spectroscopic measurements of one or more structures at ultraviolet, visible, and infrared wavelengths according to yet another embodiment. 図1の照明光ビーム117により照明された計測スポット116の図示を含むウェハ120の頂面図である。FIG. 2 is a top view of a wafer 120 including an illustration of a measurement spot 116 illuminated by the illumination light beam 117 of FIG. 従来構成の計量システムにおける検出器23の表面の直視図である。It is a direct view of the surface of the detector 23 in the weighing system of the conventional configuration. ウェハ120による焦点位置誤差の発生を示す図である。FIG. 6 is a diagram showing the occurrence of a focus position error by the wafer 120. 従来形態にて波長分散され検出器23の表面上に結像された集光光ビームを示す図である。It is a figure which shows the condensed light beam which was wavelength-dispersed and imaged on the surface of the detector 23 by the conventional form. 図1に示した検出器141の表面の直視図である。It is a direct view of the surface of the detector 141 shown in FIG. 一実施形態に係り図1に示されている検出器150の表面の直視図である。FIG. 2 is a direct view of the surface of the detector 150 shown in FIG. 1 according to one embodiment. 4個の入手可能なインジウムガリウムヒ素(InGaAs)センサの典型的光感度曲線を示す図である。FIG. 4 shows a typical photosensitivity curve for four available indium gallium arsenide (InGaAs) sensors. 本願記載の少なくとも1個の態様に係り紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する方法500を示す図である。FIG. 6 illustrates a method 500 for performing simultaneous spectroscopic measurements of one or more structures at ultraviolet, visible and infrared wavelengths in accordance with at least one aspect described herein. 計測対象構造(群)への透光率の低さが問題となる高アスペクト比NAND構造の例600を示す図である。It is a figure which shows the example 600 of the high aspect ratio NAND structure in which the low translucency to a measurement object structure (group) becomes a problem.

以下、本発明の背景例及びある種の実施形態であり、添付図面にその例が描写されているものを、より詳細に参照する。   Reference will now be made in greater detail to background examples and certain embodiments of the invention, examples of which are illustrated in the accompanying drawings.

本願では、紫外、可視及び赤外波長にて半導体構造の同時分光計測を実行する方法及びシステムが提示される。紫外、可視及び赤外波長を含むスペクトルが、同じ整列条件で以て高スループットで計測される。この構成では、マシン誤差例えば波長誤差が全計測波長に亘り均一に補正される。また、ある態様では、検出器表面上での波長分散方向を検出器表面上への入射面の射影に対し垂直な方向にすることで、波長誤差が低減される。また、ある態様では、異なる感度特性を呈する複数個の感光エリアを有する検出器によって広域に亘る赤外波長が検出される。集光光が波長に従い検出器表面上に直線的に分散される。幾つかの感光エリアそれぞれが、異なる入射波長域に感応するよう検出器上に配列される。この構成では、広域に亘る赤外波長が単一の検出器によって高い信号対雑音比で以て検出される。これらの特徴それぞれにより又はその組合せにより、高アスペクト比構造(例.1μm以上の深さを有する構造)の高スループット計測を、高いスループット、精度及び正確性で以て行うことが可能になる。   In this application, methods and systems for performing simultaneous spectroscopic measurements of semiconductor structures at ultraviolet, visible and infrared wavelengths are presented. Spectra including ultraviolet, visible and infrared wavelengths are measured at high throughput with the same alignment conditions. In this configuration, a machine error, for example, a wavelength error is corrected uniformly over the entire measurement wavelength. In one embodiment, the wavelength error is reduced by setting the direction of chromatic dispersion on the detector surface to a direction perpendicular to the projection of the incident surface on the detector surface. In one embodiment, infrared wavelengths over a wide area are detected by a detector having a plurality of photosensitive areas that exhibit different sensitivity characteristics. The collected light is linearly dispersed on the detector surface according to the wavelength. Each of several photosensitive areas is arranged on the detector to be sensitive to a different incident wavelength range. In this configuration, infrared wavelengths over a wide area are detected with a high signal-to-noise ratio by a single detector. By each of these features or a combination thereof, high throughput measurement of a high aspect ratio structure (eg, a structure having a depth of 1 μm or more) can be performed with high throughput, accuracy, and accuracy.

単一システム内で赤外、可視及び紫外光で以てターゲットを同時計測することで、複雑な三次元構造の精密な特性解明が可能になる。大略、比較的長い波長は構造内に深く浸透するので、それにより高次回折の抑圧を行いつつ、比較的長いピッチを有する構造を計測することができる。比較的短い波長では、比較的短い波長でアクセス可能な構造(即ち上位階層)について、また比較的小さなCD及び粗さフィーチャについて、精密な寸法情報が得られる。長めの波長は粗さに対する感度が低いので、ある種の例に従い波長を長めにすることで、比較的粗い表面又は界面を有するターゲットの寸法特性の計測が可能になる。   By simultaneously measuring targets with infrared, visible, and ultraviolet light within a single system, it is possible to precisely characterize complex three-dimensional structures. In general, a relatively long wavelength penetrates deeply into the structure, so that a structure having a relatively long pitch can be measured while suppressing high-order diffraction. At relatively short wavelengths, precise dimensional information is obtained for structures that are accessible at relatively short wavelengths (ie higher layers) and for relatively small CD and roughness features. Longer wavelengths are less sensitive to roughness, so increasing the wavelength according to certain examples allows measurement of the dimensional characteristics of a target having a relatively rough surface or interface.

ある種の実施形態では、本願記載の半導体デバイス分光器量方法及びシステムが、高アスペクト比(HAR)構造、大横寸法構造又は双方の計測に適用される。これらの実施形態では、HAR構造を有する半導体デバイス(例.NAND、VNAND、TCAT、DRAM等々)を対象に、より一般には計測対象構造(群)内への透光率の低さが問題となる複雑なデバイスを対象に、光学な限界寸法(CD)、膜及び組成の計量を行うことが可能となる。HAR向けエッチングプロセスを実行するためのハードマスク層がHAR構造に備わっていることも多い。本願記載の語「HAR構造」は、10:1を上回り100:1以上の高さにもなりうるアスペクト比を特徴とする、あらゆる構造を指している。   In certain embodiments, the semiconductor device spectrometer methods and systems described herein are applied to the measurement of high aspect ratio (HAR) structures, large lateral dimension structures, or both. In these embodiments, low translucency into the measurement target structure (group) is a problem for a semiconductor device having a HAR structure (eg, NAND, VNAND, TCAT, DRAM, etc.). It is possible to measure optical critical dimension (CD), film and composition for complex devices. The HAR structure is often provided with a hard mask layer for performing an etching process for the HAR. As used herein, the term “HAR structure” refers to any structure characterized by an aspect ratio that is greater than 10: 1 and can be as high as 100: 1 or higher.

図1に、紫外、可視及び赤外波長にて1個又は複数個の構造の同時分光計測を実行する計量システムの例100を示す。1個又は複数個の構造には、例えば、少なくとも1個のHAR構造又は少なくとも1個の大横寸法構造が含まれる。図1に示す計量システム100は広帯域分光エリプソメータとして構成されている。しかし、一般的には、計量システム100を分光リフレクトメータ、スキャタロメータ、エリプソメータ又はそれらの任意の組合せとして構成することができる。   FIG. 1 shows an example 100 of a weighing system that performs simultaneous spectroscopic measurements of one or more structures at ultraviolet, visible, and infrared wavelengths. The one or more structures include, for example, at least one HAR structure or at least one large lateral dimension structure. The weighing system 100 shown in FIG. 1 is configured as a broadband spectroscopic ellipsometer. In general, however, the metering system 100 can be configured as a spectroscopic reflectometer, scatterometer, ellipsometer, or any combination thereof.

計量システム100は、ウェハ120上に入射する照明光ビーム117を生成する照明源110を有している。照明源110は、紫外、可視及び赤外スペクトルをなす照明光を放射する広帯域照明源である。ある実施形態では照明源110がレーザ維持プラズマ(LSP)光源(いわゆるレーザ駆動プラズマ光源)とされる。このLSP光源のポンプレーザは連続波でもパルスでもかまわない。レーザ駆動プラズマ光源であれば、キセノンランプに比べかなり多数の光子を、150nm〜2000nmなる波長域全体に亘り供給することができる。照明源110は、単体の光源でも、広帯域又は離散波長光源複数個の組合せでもかまわない。照明源110により生成される光には、紫外から赤外(例.真空紫外から中赤外)に至る連続スペクトラムか、連続スペクトラムの幾つかの部分が含まれる。総じて、照明光源110は、超連続体レーザ光源、赤外線ヘリウムネオンレーザ光源、アークランプその他、任意の好適な光源を有するものとすることができる。   The metering system 100 includes an illumination source 110 that generates an illumination light beam 117 incident on the wafer 120. The illumination source 110 is a broadband illumination source that emits illumination light having ultraviolet, visible, and infrared spectra. In some embodiments, the illumination source 110 is a laser sustained plasma (LSP) light source (so-called laser driven plasma light source). The pump laser of this LSP light source may be a continuous wave or a pulse. A laser-driven plasma light source can supply a considerably larger number of photons over the entire wavelength range of 150 nm to 2000 nm than a xenon lamp. The illumination source 110 may be a single light source or a combination of a plurality of broadband or discrete wavelength light sources. The light generated by the illumination source 110 includes a continuous spectrum from ultraviolet to infrared (eg, vacuum ultraviolet to mid-infrared) or some portion of the continuous spectrum. In general, the illumination light source 110 may comprise any suitable light source, such as a supercontinuum laser light source, an infrared helium neon laser light source, an arc lamp, or the like.

更なる態様では、照明光群が、少なくとも500nmの幅がある波長域を有する広帯域照明光とされる。広帯域照明光の一例としては、250nm未満の波長や750nm超の波長を含むものがある。一般に、広帯域照明光は120nm〜3000nmの波長を含むものである。実施形態によっては3000nm超の波長を含む広帯域照明光が採用されることがある。   In a further aspect, the illumination light group is broadband illumination light having a wavelength region having a width of at least 500 nm. As an example of the broadband illumination light, there is one including a wavelength of less than 250 nm or a wavelength of more than 750 nm. In general, the broadband illumination light includes a wavelength of 120 nm to 3000 nm. In some embodiments, broadband illumination light including a wavelength of more than 3000 nm may be employed.

図1に示す計量システム100は、ウェハ120上に形成された1個又は複数個の構造に照明光117を差し向けるよう構成された照明サブシステムを有している。図中の照明サブシステムは光源110、1個又は複数個の光学フィルタ111、偏光部材112、視野絞り113、開口絞り114及び照明光学系115を有している。1個又は複数個の光学フィルタ111を用いることで、この照明サブシステムに発する光量、スペクトル出力或いはその双方を制御することができる。ある種の例では1個又は複数個のマルチゾーンフィルタが光学フィルタ111として採用される。偏光部材112は望ましい偏光状態を発生させ、それが照明サブシステムから出射されるようにする。ある種の実施形態に係る偏光部材は偏光器、補償器又はその双方であり、これには任意の好適な市販偏光部材を含めることができる。偏光部材は、固定型でも、幾通りかの固定ポジションにしうる可回動型でも、或いは連続回動型でもかまわない。図1に示した照明サブシステムは偏光部材を1個有しているが、照明サブシステムが複数個の偏光部材を有していてもよい。視野絞り113は照明サブシステムの視野(FOV)を制御するものであり、これには任意の好適な市販視野絞りを含めることができる。開口絞り114は照明サブシステムの数値開口(NA)を制御するものであり、これには任意の好適な市販開口絞りを含めることができる。照明源110からの光は照明光学系115内に差し向けられ、その照明光学系によりウェハ120上の1個又は複数個の構造(図1には示さず)上に合焦される。照明サブシステムは、分光エリプソメトリ、リフレクトメトリ及びスキャタロメトリの分野で既知な、任意の種類及び配列の光学フィルタ(群)111、偏光部材112、視野絞り113、開口絞り114及び照明光学系115を有するものとすることができる。   The metering system 100 shown in FIG. 1 has an illumination subsystem configured to direct illumination light 117 to one or more structures formed on a wafer 120. The illumination subsystem in the figure includes a light source 110, one or a plurality of optical filters 111, a polarizing member 112, a field stop 113, an aperture stop 114, and an illumination optical system 115. By using one or a plurality of optical filters 111, the amount of light emitted to the illumination subsystem, the spectral output, or both can be controlled. In certain examples, one or more multi-zone filters are employed as the optical filter 111. Polarization member 112 generates the desired polarization state so that it is emitted from the illumination subsystem. The polarizing member according to certain embodiments is a polarizer, a compensator, or both, which can include any suitable commercially available polarizing member. The polarizing member may be a fixed type, a rotatable type that can be in several fixed positions, or a continuous rotating type. Although the illumination subsystem shown in FIG. 1 has one polarization member, the illumination subsystem may have a plurality of polarization members. Field stop 113 controls the field of view (FOV) of the illumination subsystem, which can include any suitable commercially available field stop. The aperture stop 114 controls the numerical aperture (NA) of the illumination subsystem, which can include any suitable commercially available aperture stop. Light from illumination source 110 is directed into illumination optical system 115 and focused onto one or more structures (not shown in FIG. 1) on wafer 120 by the illumination optical system. The illumination subsystem may be any type and arrangement of optical filter (s) 111, polarizing member 112, field stop 113, aperture stop 114 and illumination optics 115 known in the field of spectroscopic ellipsometry, reflectometry and scatterometry. It can have.

図1に示す照明光ビーム117は、光学フィルタ(群)111、偏光部材112、視野絞り113、開口絞り114及び照明光学系115内を通り、ビームとして照明源110からウェハ120へと伝搬していく。ビーム117はウェハ120のうち計測スポット116上の部分を照明する。   The illumination light beam 117 shown in FIG. 1 passes through the optical filter (group) 111, the polarizing member 112, the field stop 113, the aperture stop 114, and the illumination optical system 115, and propagates as a beam from the illumination source 110 to the wafer 120. Go. The beam 117 illuminates a portion of the wafer 120 on the measurement spot 116.

ある種の例では、ウェハ120の表面上に投射された照明光群117のビームサイズが、標本の表面上で測った計測ターゲットのサイズより小さくなる。ビーム成形技術の例が、Wang et al.による特許文献2に詳しく記載されているので、この参照を以てその全容を本願に繰り入れることにする。   In a certain example, the beam size of the illumination light group 117 projected on the surface of the wafer 120 is smaller than the size of the measurement target measured on the surface of the specimen. Examples of beam shaping techniques are described in Wang et al. Is described in detail in U.S. Pat. No. 6,053,086, the entire contents of which are incorporated herein by reference.

計量システム100は、1個又は複数個の構造と入射照明ビーム117との間の相互作用により生成された光を集めるよう構成された、集光光学系サブシステムをも有している。集光光ビーム127は集光光学系122により計測スポット116から集光される。集光光127はこの集光光学系サブシステムの集光開口絞り123、偏光素子124及び視野絞り125内を通る。   The metering system 100 also has a collection optics subsystem configured to collect light generated by the interaction between the one or more structures and the incident illumination beam 117. The condensed light beam 127 is condensed from the measurement spot 116 by the condensing optical system 122. The condensed light 127 passes through the condensing aperture stop 123, the polarizing element 124, and the field stop 125 of this condensing optical system subsystem.

集光光学系122は、ウェハ120上に形成された1個又は複数個の構造から集光するのに適する何らかの集光素子を有している。集光開口絞り123は集光光学系サブシステムのNAを制御する。偏光素子124は所望の偏光状態を検光する。偏光素子124は偏光器又は補償器である。偏光素子124は、固定型でも、幾通りかの固定ポジションにしうる可回動型でも、或いは連続回動型でもかまわない。図1に示した集光サブシステムは偏光素子を1個有しているが、集光サブシステムが複数個の偏光素子を有していてもよい。集光視野絞り125は集光サブシステムのFOVを制御する。集光サブシステムはウェハ120からの光を捉え、その光を集光光学系122及び偏光素子124内へと差し向け集光視野絞り125上に合焦させる。ある種の実施形態では集光視野絞り125が分光器スリットとして検出サブシステムの分光器に代え用いられる。とはいえ、集光視野絞り125を検出サブシステムの分光器の分光器スリット126又はその付近に配置してもよい。   The condensing optical system 122 has some condensing element suitable for condensing from one or more structures formed on the wafer 120. The condensing aperture stop 123 controls the NA of the condensing optical system subsystem. The polarizing element 124 analyzes a desired polarization state. The polarizing element 124 is a polarizer or a compensator. The polarizing element 124 may be a fixed type, a rotatable type that can be in several fixed positions, or a continuous rotating type. Although the condensing subsystem shown in FIG. 1 has one polarizing element, the concentrating subsystem may have a plurality of polarizing elements. The focusing field stop 125 controls the FOV of the focusing subsystem. The condensing subsystem captures the light from the wafer 120 and directs the light into the condensing optical system 122 and the polarizing element 124 to focus on the condensing field stop 125. In certain embodiments, a converging field stop 125 is used as a spectrometer slit in place of the detector subsystem spectrometer. Nevertheless, the condensing field stop 125 may be disposed at or near the spectroscopic slit 126 of the spectroscope of the detection subsystem.

集光サブシステムは、分光エリプソメトリ、リフレクトメトリ及びスキャタロメトリの分野で既知な、任意の種類及び配列の集光光学系122、開口絞り123、偏光素子124及び視野絞り125を有するものとすることができる。   The collection subsystem shall have any type and arrangement of collection optics 122, aperture stop 123, polarizing element 124 and field stop 125 known in the field of spectroscopic ellipsometry, reflectometry and scatterometry. be able to.

図1に示した実施形態では、検出サブシステムに備わる複数個の分光器へと集光光学系サブシステムが光を差し向ける。検出サブシステムは、照明サブシステムにより照明された1個又は複数個の構造から集光された光に応じ、出力を生成する。   In the embodiment shown in FIG. 1, the collection optics subsystem directs light to a plurality of spectrographs provided in the detection subsystem. The detection subsystem generates an output in response to light collected from the structure or structures illuminated by the illumination subsystem.

ある態様に係る検出器サブシステムは、赤外を含め幾つかの波長域に亘り集光光を同時に検出するようそれぞれ構成された、2個以上の検出器を有する。   A detector subsystem according to one aspect includes two or more detectors each configured to simultaneously detect collected light over several wavelength ranges including infrared.

図1に示した実施形態では、集光光127が分光器スリット126内を通り回折素子128上に入射する。回折素子128は、入射光のうち一群の波長を±1次回折させる一方、入射光のうち別の一群の波長を0次回折させるように構成されている。図1に示す部分129は入射光のうち紫外スペクトラムを含む部分であり、回折素子128により±1なる回折次数で分散され検出器141に向かっている。加えて、回折素子128は、入射光のうち赤外波長を含む部分140を、格子147方向に0なる回折次数で反射するよう構成されている。光140は回折素子147上に入射し、回折素子147はその入射光140のうち赤外波長を含む部分148を±1なる回折次数で分散させ検出器150に向かわせている。   In the embodiment shown in FIG. 1, the condensed light 127 passes through the spectroscope slit 126 and is incident on the diffraction element 128. The diffractive element 128 is configured to diffract the first group of wavelengths of the incident light in ± 1st order while diffracting the other group of wavelengths of the incident light in the 0th order. A portion 129 shown in FIG. 1 is a portion including the ultraviolet spectrum of the incident light, and is dispersed toward the detector 141 by the diffraction element 128 with a diffraction order of ± 1. In addition, the diffraction element 128 is configured to reflect the portion 140 including the infrared wavelength in the incident light with a diffraction order of 0 in the direction of the grating 147. The light 140 is incident on the diffractive element 147, and the diffractive element 147 disperses the portion 148 including the infrared wavelength of the incident light 140 with a diffraction order of ± 1 and directs it toward the detector 150.

図1に示した実施形態では回折素子128が反射格子素子とされている。しかしながら、一般的には、入射光を幾つかの波長帯に細分し、当該幾つかの波長帯を別々の方向に沿い伝搬させ、そしてそれらの波長帯のうち1個の光を分散させ何らかの好適な形態で検出器上に向かわせるよう、回折素子128が構成されていればよい。一例に係る回折素子128は透過格子として構成される。他のある種の例に係る回折素子128は、ビームを幾つかの波長帯へと細分するビーム分岐素子と、それら波長帯のうち1個を分散させ検出器141上に向かわせる反射又は透過格子構造とを有する。   In the embodiment shown in FIG. 1, the diffraction element 128 is a reflection grating element. However, in general, the incident light is subdivided into several wavelength bands, the several wavelength bands are propagated along different directions, and one of the wavelength bands is dispersed and any suitable The diffractive element 128 only needs to be configured so as to face the detector in a different form. The diffraction element 128 according to an example is configured as a transmission grating. A diffraction element 128 according to certain other examples includes a beam splitter that subdivides the beam into several wavelength bands, and a reflective or transmissive grating that disperses one of the wavelength bands and directs it toward the detector 141. Structure.

反射格子128が採用される理由は、紫外スペクトル領域にて高い±1次回折効率を呈すること並びに赤外スペクトル領域に関し高い0次回折効率を呈することにある。反射格子を採用することで、ビーム分岐素子(例えばダイクロイックビーム分岐素子)につきものの損失が回避される。   The reason why the reflection grating 128 is employed is that it exhibits a high ± first-order diffraction efficiency in the ultraviolet spectral region and a high zero-order diffraction efficiency in the infrared spectral region. By adopting the reflection grating, the loss inherent to the beam branch element (for example, dichroic beam branch element) is avoided.

回折素子128及び147は、それぞれに対応する二次元検出器の一次元(即ちそれぞれに対応する検出器に関し図1中に注記されている波長分散方向)に沿い、波長に従い1次回折光を直線的に分散させる。例証のため、二通りの波長にて検出された光を検出器141の表面上に示す。回折素子128は、検出器141の表面上に投射されたそれら二通りの光波長間に空間分離を発生させる。このようにして、ある特定の波長を有し計測スポット116から集光された光が検出器141上へと投射されてスポット142Aをなし、別の波長を有し計測スポット116から集光された光が検出器141上へと投射されてスポット142Bをなす。   The diffractive elements 128 and 147 linearize the first-order diffracted light according to the wavelength along the one dimension of the corresponding two-dimensional detector (ie, the wavelength dispersion direction noted in FIG. 1 for the corresponding detector). To disperse. For purposes of illustration, light detected at two wavelengths is shown on the surface of detector 141. The diffractive element 128 generates a spatial separation between the two light wavelengths projected onto the surface of the detector 141. In this way, light collected from the measurement spot 116 having a specific wavelength is projected onto the detector 141 to form the spot 142A, and collected from the measurement spot 116 having another wavelength. Light is projected onto the detector 141 to form a spot 142B.

一例に係る検出器141は、紫外及び可視光(例.190nm〜860nmの波長を有する光)に感応する電荷結合デバイス(CCD)である。一例に係る検出器150は、赤外光(例.950nm〜2500nmの波長を有する光)に感応するフォトディテクタアレイ(PDA)である。とはいえ、一般的には、他の二次元検出器テクノロジも想定されうる(例.位置検知型検出器(PSD)、赤外線検出器、光起電検出器等々)。各検出器は、入射光を、その入射光のスペクトル強度を示す電気信号へと変換する。例えば、UV検出器141が入射光129を示す出力信号154Aを生成し、IR検出器150が入射光148を示す出力信号154Bを生成する。   The detector 141 according to an example is a charge coupled device (CCD) that is sensitive to ultraviolet and visible light (eg, light having a wavelength of 190 nm to 860 nm). The detector 150 according to an example is a photodetector array (PDA) that is sensitive to infrared light (eg, light having a wavelength of 950 nm to 2500 nm). In general, however, other two-dimensional detector technologies can be envisioned (eg, position sensitive detector (PSD), infrared detector, photovoltaic detector, etc.). Each detector converts incident light into an electrical signal indicative of the spectral intensity of the incident light. For example, the UV detector 141 generates an output signal 154A indicating incident light 129, and the IR detector 150 generates an output signal 154B indicating incident light 148.

図1に示す検出サブシステムは、集光光が計量システム100の全検出器へと同時に伝搬するよう配列されている。計量システム100は、UV及びIR信号双方を含む検出信号154を受け取りそれらUV及びIR信号双方に基づき被計測構造(群)の注目パラメタの推定値を求めるよう構成された、情報処理システム130をも有している。UV及びIRスペクトルを同時集光することで計測時間が短縮され、且つ全スペクトルが同じ整列条件で以て計測される。これにより、全スペクトルデータセットに共通の補正を適用することができるため、波長誤差をより容易に補正することが可能になる。   The detection subsystem shown in FIG. 1 is arranged so that the collected light propagates simultaneously to all detectors of the metering system 100. The weighing system 100 also includes an information processing system 130 configured to receive a detection signal 154 including both UV and IR signals and obtain an estimated value of a parameter of interest of the structure (s) to be measured based on both the UV and IR signals. Have. By simultaneously collecting the UV and IR spectra, the measurement time is shortened, and the entire spectrum is measured under the same alignment conditions. Thereby, since a common correction can be applied to all the spectral data sets, the wavelength error can be corrected more easily.

更なる態様では、計測中に合焦誤差補正用計測入力を提供すべく検出サブシステムにファインフォーカスセンサ(FFS)が組み込まれる。   In a further aspect, a fine focus sensor (FFS) is incorporated into the detection subsystem to provide a focus error correction measurement input during measurement.

図2に、計量システムの別の実施形態200でありFFS146を有するものを示す。図2に示した要素のうち、図1に示した計量システム100と同様に構成されているものは、同じ参照符号を用い指し示してある。図2に示す0次回折光140は、回折素子128により回折されたものでありビーム分岐素子143上に入射する。ビーム分岐素子143は透過性でも反射性でもかまわない。ビーム分岐素子143は、光のうちIR域内の部分145をIR格子147へと差し向け、光のうちIR域より下(即ちUV〜可視域)の部分144をFFS146へと差し向ける。こうして、回折素子128により0次回折されたUV〜可視光がFFS146により検出される。ある種の実施形態ではFFS146がフォトダイオードアレイとされ、ビーム分岐素子143が、IRの高効率反射及びUVの高効率透過が可能なダイクロイックビームスプリッタとされる。他のある種の実施形態では、ビーム分岐素子143が中性濃度フィルタ、部分反射鏡、未被覆基板その他、ビームを個別のチャネルに係りより低強度な2本以上のビームへと分割するのに適した何らかの集光素子とされる。   FIG. 2 illustrates another embodiment 200 of a metering system having an FFS 146. Of the elements shown in FIG. 2, those configured similarly to the weighing system 100 shown in FIG. 1 are indicated using the same reference numerals. 2 is diffracted by the diffraction element 128 and is incident on the beam branching element 143. The beam branching element 143 may be transmissive or reflective. The beam branching element 143 directs the portion 145 in the IR region of the light to the IR grating 147 and directs the portion 144 of the light below the IR region (that is, the UV to visible region) to the FFS 146. In this way, UV to visible light diffracted by zero order by the diffraction element 128 is detected by the FFS 146. In certain embodiments, the FFS 146 is a photodiode array, and the beam splitter 143 is a dichroic beam splitter capable of IR high efficiency reflection and UV high efficiency transmission. In certain other embodiments, the beam splitter 143 splits the beam into two or more beams that are neutral intensity filters, partial reflectors, uncoated substrates, etc., and are associated with individual channels and are less intense. Any suitable condensing element.

FFS146により生成された出力(図示せず)は情報処理システム130へと送られる。情報処理システム130は、FFS146の出力に基づきウェハ120の焦点位置(z位置)の変化を求める。ウェハ120の焦点位置変化のうち望ましいものは、全て、然るべくウェハ120のz位置を調整するウェハ位置決めシステム(図示せず)へと送られる。   An output (not shown) generated by the FFS 146 is sent to the information processing system 130. The information processing system 130 obtains a change in the focal position (z position) of the wafer 120 based on the output of the FFS 146. Any desired focal position change of the wafer 120 is sent to a wafer positioning system (not shown) that adjusts the z position of the wafer 120 accordingly.

図3に、計量システムの別の実施形態300でありFFS146を有するものを示す。図3に示した要素のうち、図1に示した計量システム100と同様に構成されているものは、同じ参照符号を用い指し示してある。図3に示す0次回折光149は回折素子147により回折されたものであり、それがFFS146上に入射する一方で、1次回折光148がIR検出器150上に入射する。   FIG. 3 shows another embodiment 300 of a metering system having an FFS 146. Of the elements shown in FIG. 3, those configured similarly to the weighing system 100 shown in FIG. 1 are indicated using the same reference numerals. The 0th-order diffracted light 149 shown in FIG. 3 is diffracted by the diffractive element 147, and enters the FFS 146, while the first-order diffracted light 148 enters the IR detector 150.

FFS146により生成された出力(図示せず)は情報処理システム130へと送られる。情報処理システム130は、FFS146の出力に基づきウェハ120の焦点位置(z位置)の変化を求める。ウェハ120の焦点位置変化のうち望ましいものは、全て、然るべくウェハ120のz位置を調整するウェハ位置決めシステム(図示せず)へと送られる。   An output (not shown) generated by the FFS 146 is sent to the information processing system 130. The information processing system 130 obtains a change in the focal position (z position) of the wafer 120 based on the output of the FFS 146. Any desired focal position change of the wafer 120 is sent to a wafer positioning system (not shown) that adjusts the z position of the wafer 120 accordingly.

別の更なる態様に係る計量システムは、別々のIRスペクトラム域内の光を同時に検出するよう構成された2個以上の検出器を有する。   A metering system according to another further aspect has two or more detectors configured to simultaneously detect light in different IR spectral ranges.

図4に、計量システムの別の実施形態400であり複数個の縦続接続されたIR検出器を有するものを示す。図4に示した要素のうち、図1に示した計量システム100と同様に構成されているものは、同じ参照符号を用い指し示してある。図4に示すように、光145がIR格子147に入射する。IR格子147は、その入射光145の一部分148を1次回折させるよう構成されている。その1次回折光148には、入射光145のIR波長域のうち一部分が含まれる。更に、IR格子147は、入射光145の一部分149を0次回折させるよう構成されている。その0次回折光149には、1次回折光148を組成するIR波長域の外側にあるIR波長が含まれる。0次回折光149はIR格子151へと伝搬し、そのIR格子はその入射光を1次回折させてIR検出器153に向かわせる。図4に示した実施形態における1次回折光152には入射光149の全IR波長が含まれる。他方、他のある種の実施形態では、入射光のうち一部分のみを1次回折させるようIR格子151が構成され、残る0次光が更に他のIR格子へと差し向けられる。このようにして、任意個数のIR検出器を縦続接続し、集光光127の個別IR波長域を検出することができる。   FIG. 4 shows another embodiment 400 of a metering system having a plurality of cascaded IR detectors. Of the elements shown in FIG. 4, those configured similarly to the weighing system 100 shown in FIG. 1 are indicated using the same reference numerals. As shown in FIG. 4, the light 145 enters the IR grating 147. The IR grating 147 is configured to first-order diffract a portion 148 of the incident light 145. The first-order diffracted light 148 includes a part of the IR wavelength region of the incident light 145. Further, the IR grating 147 is configured to diffract the portion 149 of the incident light 145 in the 0th order. The zero-order diffracted light 149 includes an IR wavelength that is outside the IR wavelength range composing the first-order diffracted light 148. The zero-order diffracted light 149 propagates to the IR grating 151, and the IR grating causes the incident light to be first-order diffracted and directed to the IR detector 153. The first order diffracted light 152 in the embodiment shown in FIG. 4 includes all IR wavelengths of the incident light 149. On the other hand, in certain other embodiments, the IR grating 151 is configured to first-order diffract only a portion of the incident light, and the remaining zeroth-order light is further directed to other IR gratings. In this way, an arbitrary number of IR detectors can be connected in cascade, and the individual IR wavelength region of the condensed light 127 can be detected.

図1〜図4を参照して記述した諸実施形態は非限定的な例を以て提示されたものであり、UV、可視及びIR波長を同時検出する他の多くの構成を想定することができる。ある例によれば、集光光127のうちIR波長を1次回折にて分散させるよう、且つ集光光127のうちUV波長を0次回折させてUV格子及び検出器に向かわせるよう、計量システムを構成することができる。ある種の例に従いビーム分岐素子を採用することで、集光光の全スペクトラムを2個以上のサブスペクトラムへと細分することができる。とはいえ、本願記載の如く回折素子を採用することは有益たりうることであり、それにより、ビーム分岐素子例えばダイクロイックビームスプリッタ、中性濃度フィルタ、部分反射鏡又は未被覆基板につきものの損失を回避することができる。   The embodiments described with reference to FIGS. 1-4 are presented with non-limiting examples, and many other configurations for simultaneous detection of UV, visible and IR wavelengths can be envisaged. According to an example, the IR wavelength of the collected light 127 is dispersed by first-order diffraction, and the UV wavelength of the collected light 127 is zero-order diffracted and directed toward the UV grating and the detector. The system can be configured. By employing a beam branching element according to a certain example, the entire spectrum of the condensed light can be subdivided into two or more sub-spectrums. Nevertheless, it may be beneficial to employ a diffractive element as described herein, thereby avoiding the loss associated with beam branching elements such as dichroic beam splitters, neutral density filters, partial reflectors or uncoated substrates. can do.

図1に示す照明光ビーム117はウェハ120の表面へとある斜め角にて供給されている。一般に、照明光は、ウェハ120の表面へと、どのような斜め角でも何通りの斜め角でも供給されうる。ある種の実施形態では、照明光群が、斜め照明に加え垂直入射にて(即ち表面法線に整列した態で)表面に供給される。   The illumination light beam 117 shown in FIG. 1 is supplied to the surface of the wafer 120 at an oblique angle. In general, the illumination light can be supplied to the surface of the wafer 120 at any oblique angle and any number of oblique angles. In certain embodiments, the illumination light group is supplied to the surface at normal incidence (ie, aligned with the surface normal) in addition to oblique illumination.

図1に示すように、Z軸はウェハ120の表面に対し垂直な方向を向いている。X軸及びY軸はウェハ120の表面と同じ平面内にあり、従ってZ軸に対し垂直である。照明光ビーム117の主光線118及び集光光ビーム127の主光線121により入射面が定まる。X軸は入射面と整列しており、Y軸はその入射面に直交している。この構成では、入射面がXZ平面内に存している。照明光ビーム117はウェハ120の表面上に入射しており、Z軸を基準にしたその入射角がαであり、また入射面内に存している。標本表面上への照明光ビームの形状射影が斜め角で行われているため、入射面に整列している方向に沿った照明ビーム断面に長尺化が生じている。非限定的な例を以て言えば、円形照明光ビームがウェハ表面上に投射されているので、照明エリアが楕円形になっている。このように、一般に、表面の斜め照明により照明断面に比べ長尺な射影照明エリアが生じ、またその長尺化方向が入射面に整列した方向になる。更に、入射角が大きいほど長尺化の程度が増す。より具体的には、入射面の方向に沿った入射角の余弦値に対しビーム形状が反比例する。回折及び収差効果がない場合、投射照明光は、照明平面に対し垂直な方向(例.Y方向)に沿い無歪みなままとなる。   As shown in FIG. 1, the Z axis is oriented in a direction perpendicular to the surface of the wafer 120. The X and Y axes are in the same plane as the surface of the wafer 120 and are therefore perpendicular to the Z axis. The incident surface is determined by the principal ray 118 of the illumination light beam 117 and the principal ray 121 of the condensed light beam 127. The X axis is aligned with the entrance surface, and the Y axis is orthogonal to the entrance surface. In this configuration, the incident surface exists in the XZ plane. The illumination light beam 117 is incident on the surface of the wafer 120, and the incident angle with respect to the Z axis is α and exists in the incident surface. Since the shape projection of the illumination light beam onto the specimen surface is performed at an oblique angle, the illumination beam cross section along the direction aligned with the incident surface is elongated. By way of non-limiting example, since the circular illumination light beam is projected onto the wafer surface, the illumination area is elliptical. Thus, generally, the oblique illumination of the surface produces a projected illumination area that is longer than the illumination cross section, and the lengthening direction is the direction aligned with the incident surface. Furthermore, the greater the incident angle, the greater the degree of lengthening. More specifically, the beam shape is inversely proportional to the cosine value of the incident angle along the direction of the incident surface. In the absence of diffraction and aberration effects, the projection illumination light remains undistorted along a direction perpendicular to the illumination plane (eg, the Y direction).

図5Aは、図1の照明光ビーム117により照明された計測スポット116の図示を含むウェハ120の頂面図である。図1に示した実施形態では、照明光ビーム117の断面が(例.照明野絞り113にて)円形となる。円形照明光ビームの場合、ウェハ120の表面上への投射による計測スポット116が、図5Aに示す如く楕円形になる。   FIG. 5A is a top view of wafer 120 including an illustration of measurement spot 116 illuminated by illumination light beam 117 of FIG. In the embodiment shown in FIG. 1, the section of the illumination light beam 117 is circular (eg, at the illumination field stop 113). In the case of a circular illumination light beam, the measurement spot 116 resulting from the projection onto the surface of the wafer 120 is elliptical as shown in FIG. 5A.

図1に示す計測スポット116は、検出器141及び150の表面上へと波長分散的形態で射影される。また、ある態様では、各検出器上への光分散の平面が各検出器上の入射面の射影に対し垂直な方向を向くよう、本願記載の計量システムの分光部材が構成される。この構成では、ウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向に対し垂直な方向を向くよう、計測スポット116が各検出器上に結像される。こうした配列では合焦誤差に対する計量システムの感度が大きく低減される。合焦誤差に対する感度が低いため、より短いMAM時間ひいてはより高いスループットで以て、精密な計測結果が得られる。このアーキテクチャの顕著な長所は、厚膜及び多層膜スタックを波長誤差招来無しで計測しうる能力にある。   The measurement spot 116 shown in FIG. 1 is projected onto the surfaces of the detectors 141 and 150 in a wavelength dispersive manner. Moreover, in a certain aspect, the spectroscopic member of the measurement system described in the present application is configured so that the plane of light dispersion on each detector is oriented in a direction perpendicular to the projection of the incident surface on each detector. In this configuration, the measurement spot 116 is imaged on each detector so that the direction aligned with the incident surface on the wafer surface is directed to the direction perpendicular to the wavelength dispersion direction on the detector surface. Such an arrangement greatly reduces the sensitivity of the weighing system to focus errors. Since the sensitivity to the focusing error is low, a precise measurement result can be obtained with a shorter MAM time and therefore with a higher throughput. A significant advantage of this architecture is the ability to measure thick film and multilayer stacks without incurring wavelength errors.

従来は、計測スポットの長尺方向の射影が検出器表面上で波長分散方向と整列するよう、計量システムが構成されていた。図5Bは従来構成を表している。図5Bに示すように、計測スポット116の長尺方向(即ちウェハにおけるX軸及び検出器におけるX’軸)の検出器23上への射影が、検出器23の表面上での波長分散の方向に整列している。例を以て言えば、スポット24A及び24Bの長尺方向が波長分散方向に整列している。検出器23の表面上のこれら波長依存像(例.スポット24A及び24B)を、波長分散方向に対し垂直な方向に沿い積分することでスペクトラム、即ち波長分散軸に沿った波長の関数たる強度が得られる。CCD型検出器の場合、波長分散に対し垂直な方向に沿い電荷を積分することでそのスペクトラムがもたらされる。   Conventionally, the measuring system is configured so that the projection in the longitudinal direction of the measurement spot is aligned with the chromatic dispersion direction on the detector surface. FIG. 5B shows a conventional configuration. As shown in FIG. 5B, the projection of the measurement spot 116 in the longitudinal direction (that is, the X axis on the wafer and the X ′ axis on the detector) onto the detector 23 is the direction of chromatic dispersion on the surface of the detector 23. Are aligned. For example, the long direction of the spots 24A and 24B is aligned with the chromatic dispersion direction. By integrating these wavelength-dependent images (eg, spots 24A and 24B) on the surface of the detector 23 along the direction perpendicular to the chromatic dispersion direction, the spectrum, that is, the intensity as a function of the wavelength along the chromatic dispersion axis is obtained. can get. In the case of a CCD detector, the spectrum is produced by integrating the charge along a direction perpendicular to the chromatic dispersion.

ウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向と整列するよう計測スポットを検出器上に結像させた場合、もたらされる点拡がり関数(PSF)が強い波長依存性を呈する。もたらされるPSFが高いピークを呈するのは、像強度が所与波長に係る長尺方向に沿い大きく変動するからである。この高ピークPSDを的確に捕捉するには、分光器によりスペクトルデータを高分解能で捕捉しなければならない。そのため計測時間が延びスループットが低下する。   When the measurement spot is imaged on the detector so that the direction aligned with the incident surface on the wafer surface is aligned with the chromatic dispersion direction on the detector surface, the resulting point spread function (PSF) is strongly wavelength dependent. Exhibits sex. The resulting PSF exhibits a high peak because the image intensity varies greatly along the lengthwise direction for a given wavelength. In order to accurately capture this high peak PSD, the spectral data must be captured with high resolution by a spectrometer. Therefore, the measurement time is extended and the throughput is lowered.

また例えば、長尺像と、それに対応する長尺な強度分布とをスペクトル分散の方向に整列させた場合、特定波長に関しもたらされるPSFは入射角に依存する。もたらされるPSFは入射角により拡がったり狭まったりする。   Also, for example, when a long image and a corresponding long intensity distribution are aligned in the direction of spectral dispersion, the PSF provided for a specific wavelength depends on the incident angle. The resulting PSF expands or narrows depending on the incident angle.

また例えば、もたらされるPSFは合焦誤差に対し高い感応性を呈する。ウェハ上の計測ターゲットが動き焦点が合ったり外れたりするにつれ、ウェハ上の計測スポットの検出像にサイズの変化や位置のシフトが生じる。加えて、ウェハ上での計測スポットの位置がシフトする。図6に示すように、ウェハ120に焦点が合っているときには、照明光ビーム117によりウェハの部位Aが照明される。従来形態で集光光ビーム127を波長分散させ検出器23上に結像させると、図7に示す通りその像がスポット24A及び24Bに現れる。ウェハ120がz方向に沿い上方に動き0超の量ΔZだけ離焦したときには、照明光ビーム117によりウェハの部位Cが照明される。従来形態にて集光光ビーム127’を波長分散させ検出器23上に結像させると、その像がスポット24A’及び24B’に現れる。現れる像は、光学システムの合焦面からウェハが離れるにつれ大きくなり、波長分散方向に整列した方向に沿い、その像の中心位置がシフトする。この波長分散方向沿いシフトにより、波長対画素マッピングが変化するためスペクトル計測誤差が発生する。ウェハ120がz方向に沿い下方に動き0未満の量ΔZだけ離焦したときには、照明光ビーム117によりウェハの部位Bが照明される。従来形態にて集光光ビーム127”を波長分散させ検出器23上に結像させると、その像がスポット24A”及び24B”に現れる。このときも、光学システムの合焦面からウェハが離れるにつれ、現れる像が大きくなり、波長分散方向に整列した方向に沿いその像の中心位置がシフトする。   Also, for example, the resulting PSF is highly sensitive to focus errors. As the measurement target on the wafer moves or is out of focus, the detection image of the measurement spot on the wafer changes in size or shifts in position. In addition, the position of the measurement spot on the wafer is shifted. As shown in FIG. 6, when the wafer 120 is in focus, the illumination light beam 117 illuminates the portion A of the wafer. When the condensed light beam 127 is wavelength-dispersed and imaged on the detector 23 in the conventional form, the image appears in the spots 24A and 24B as shown in FIG. When the wafer 120 moves upward along the z direction and is defocused by an amount ΔZ exceeding 0, the illumination light beam 117 illuminates the portion C of the wafer. When the focused light beam 127 ′ is wavelength-dispersed and imaged on the detector 23 in the conventional form, the image appears in the spots 24 </ b> A ′ and 24 </ b> B ′. The appearing image becomes larger as the wafer moves away from the focal plane of the optical system, and the center position of the image shifts along the direction aligned with the chromatic dispersion direction. Due to this shift along the chromatic dispersion direction, the wavelength-to-pixel mapping changes, which causes a spectrum measurement error. When the wafer 120 moves downward along the z direction and is defocused by an amount ΔZ of less than 0, the portion B of the wafer is illuminated by the illumination light beam 117. When the focused light beam 127 "is wavelength-dispersed and imaged on the detector 23 in the conventional form, the image appears at the spots 24A" and 24B ". Again, the wafer is separated from the focal plane of the optical system. As the image becomes larger, the center position of the image shifts along the direction aligned with the wavelength dispersion direction.

こうした状況では、ΔZ≠0なる合焦誤差に起因したウェハ120上での計測スポット移動により、波長の関数たる分光器分散軸沿い像移動が発生する。波長校正は合焦面即ちZ=0にて実行されるので、何であれ合焦誤差により引き起こされた分光器分散方向沿い像移動があると、波長校正からのずれに対し計測スペクトラムが非常に敏感になる。   In such a situation, the movement of the measurement spot on the wafer 120 caused by the focusing error ΔZ ≠ 0 causes image movement along the spectrometer dispersion axis as a function of wavelength. Wavelength calibration is performed on the in-focus plane, ie Z = 0, so if there is any image movement along the spectrometer dispersion direction caused by the focus error, the measurement spectrum is very sensitive to deviations from the wavelength calibration become.

これに対し、本願記載の如く波長分散方向に対し垂直に、検出器上へと入射面を射影することで、分散平面が入射面から分離され、従って合焦誤差が検出器上でのスペクトラム位置に影響を及ぼさなくなる。   On the other hand, by projecting the incident surface onto the detector perpendicular to the chromatic dispersion direction as described in this application, the dispersion plane is separated from the incident surface, so that the focusing error is the spectral position on the detector. No longer affect.

図1に示すように、計測スポット116は検出器141及び検出器150の表面上へと波長分散的形態で射影される。計量システム100は、計測スポット116の長尺方向の射影が検出器141及び150の表面上で波長分散方向に対し垂直な方向を向くよう、構成されている。図1に示すX’軸は、計測スポット116の長尺方向(即ちX軸)の、検出器141及び150上への射影を表している。図1に示すX’軸は、検出器141及び150の表面上で波長分散方向に対し垂直な方向を向いている。   As shown in FIG. 1, the measurement spot 116 is projected onto the surface of the detector 141 and the detector 150 in a chromatic dispersion form. The weighing system 100 is configured such that the projection in the longitudinal direction of the measurement spot 116 is directed in a direction perpendicular to the chromatic dispersion direction on the surfaces of the detectors 141 and 150. The X ′ axis shown in FIG. 1 represents the projection of the measurement spot 116 in the longitudinal direction (that is, the X axis) onto the detectors 141 and 150. The X ′ axis shown in FIG. 1 is oriented in a direction perpendicular to the chromatic dispersion direction on the surfaces of the detectors 141 and 150.

ある種の例によれば、ウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向に対し垂直な方向を向くよう計測スポットをその検出器上へと結像させることで、焦点位置に対する感度の20倍低減が達成される。この合焦誤差感度低減により、計測の正確性を損なうことなく、合焦正確性及び再現性条件の緩和、合焦時間の高速化並びに波長誤差に対する感度の低減を実現することができる。これらの効果はとりわけ大数値開口光学計量システムではっきり現れる。   According to certain examples, the measurement spot is imaged onto the detector such that the direction aligned with the entrance plane on the wafer surface is oriented perpendicular to the chromatic dispersion direction on the detector surface. Thus, a 20-fold reduction in sensitivity to the focal position is achieved. By reducing the focus error sensitivity, it is possible to reduce the focus accuracy and reproducibility conditions, increase the focusing time, and reduce the sensitivity to wavelength errors without degrading the measurement accuracy. These effects are especially evident in large numerical aperture optical metering systems.

図8は検出器141の表面の直視図である。図8に示すように、計測スポット116の長尺方向の射影(即ちX’軸)は、検出器141の表面上での波長分散方向に対し垂直な方向を向く。例を以て言えば、スポット142A及び142Bの長尺方向が波長分散方向に対し垂直な方向を向いている。検出器141の表面上のこれら波長依存像(例.スポット142A及び142B)を、波長分散方向に対し垂直な方向に沿い積分することでスペクトラム、即ち波長分散軸に沿った波長の関数たる強度が得られる。CCD型検出器の場合、波長分散に対し垂直な方向に沿い電荷を積分することでそのスペクトラムがもたらされる。   FIG. 8 is a direct view of the surface of the detector 141. As shown in FIG. 8, the projection in the longitudinal direction of the measurement spot 116 (that is, the X ′ axis) is directed in a direction perpendicular to the chromatic dispersion direction on the surface of the detector 141. For example, the long direction of the spots 142A and 142B is oriented in a direction perpendicular to the wavelength dispersion direction. By integrating these wavelength-dependent images (eg, spots 142A and 142B) on the surface of the detector 141 along the direction perpendicular to the chromatic dispersion direction, the spectrum, that is, the intensity as a function of the wavelength along the chromatic dispersion axis, is obtained. can get. In the case of a CCD detector, the spectrum is produced by integrating the charge along a direction perpendicular to the chromatic dispersion.

検出器(例.CCD141)の表面上に投射された像を、各波長にて分光器波長分散軸に対し垂直な方向に沿い積分することで、計測スペクトラムが得られる。各波長での個別スペクトル形状が、その特定波長における本システムの点拡がり関数(PSF)である。   A measurement spectrum is obtained by integrating the image projected on the surface of the detector (eg, CCD 141) along the direction perpendicular to the wavelength dispersion axis of the spectrometer at each wavelength. The individual spectral shape at each wavelength is the point spread function (PSF) of the system at that particular wavelength.

ウェハ表面上で入射面に整列している方向が検出器表面上で波長分散方向に対し垂直な方向を向くよう計測スポットを検出器上に結像させた場合、もたらされる点拡がり関数(PSF)は従来構成に比べかなり波長への依存性が少ないものとなる。もたらされるPSFが低いピークを呈するのは、像強度が、所与波長に係る長尺方向に対し垂直な方向に沿い(例.楕円の短軸に亘り)さほど大きくは変動しないからである。更に、像強度が長尺方向に沿い(例.楕円の長軸に亘り)大きく変動するけれども、長尺方向がCCDの電荷積分方向と整列しているので、そうした変動は積分平滑される。この構成によれば、分光器によりスペクトルデータを高分解能で捕捉しPSFを正確に構築する必要がない。そのため計測時間が縮まりスループットが高まる。   The point spread function (PSF) that results when the measurement spot is imaged on the detector such that the direction aligned with the entrance plane on the wafer surface is oriented perpendicular to the chromatic dispersion direction on the detector surface. Is much less dependent on the wavelength than the conventional configuration. The resulting PSF exhibits a low peak because the image intensity does not vary so much along the direction perpendicular to the long direction for a given wavelength (eg, along the minor axis of the ellipse). Further, although the image intensity varies greatly along the long direction (eg, along the long axis of the ellipse), such variation is integrated and smoothed because the long direction is aligned with the charge integration direction of the CCD. According to this configuration, it is not necessary to capture the spectral data with high resolution by the spectroscope and accurately construct the PSF. Therefore, measurement time is shortened and throughput is increased.

また例えば、長尺像をスペクトル分散の方向に対し垂直な方向に向けた場合、特定の波長に関しもたらされるPSFが、入射角から独立なものとなる。像と、長尺方向に対し垂直な方向に沿った(即ち楕円の短軸に亘る)対応する強度分布とが、入射角に対し概ね不変となる。即ち、像及びそれに対応する強度分布であり、スペクトル分散方向沿い投射によるものが、入射角に対し概ね不変となる。従って、算出されるPSFがほとんど入射角への依存性を呈さない。   Also, for example, when a long image is oriented in a direction perpendicular to the direction of spectral dispersion, the PSF produced for a particular wavelength is independent of the incident angle. The image and the corresponding intensity distribution along the direction perpendicular to the longitudinal direction (ie, along the minor axis of the ellipse) are generally invariant with respect to the incident angle. In other words, the image and the intensity distribution corresponding to the image, and those obtained by projection along the spectral dispersion direction are substantially unchanged with respect to the incident angle. Therefore, the calculated PSF has almost no dependence on the incident angle.

また例えば、もたらされるPSFが、従来の構成に比べ、合焦誤差に対しかなり低い感応性しか呈さなくなる。ウェハ上の計測ターゲットが動き焦点が合ったり外れたりするにつれ、ウェハ上の計測スポットの検出像に位置のシフトが生じる。図6に示したものと同様、ウェハ120に焦点が合っているときには、照明光ビーム117によりウェハの部位Aが照明される。その集光光ビーム127は波長分散され、図8に示すようにスポット142A及び142Bをなして検出器141上に結像される。ウェハ120がz方向に沿い上方に動き0超の量ΔZだけ離焦したときには、照明光ビーム117によりウェハの部位Cが照明される。集光光ビーム127’は波長分散され、スポット142A’及び142B’をなして検出器141上に結像される。波長分散方向に対し垂直なこの像位置シフトでは、波長対画素マッピングが変化しないため、合焦誤差により誘起されるスペクトル計測誤差が小さくなる。ウェハ120がz方向に沿い下方に動き0未満の量ΔZだけ離焦したときには、照明光ビーム117によりウェハの部位Bが照明される。集光光ビーム127”は波長分散され、スポット142A”及び142B”をなして検出器141上に結像される。このときも、その像位置シフトが波長分散方向に対し垂直なものであるため、合焦誤差により誘起されるスペクトル計測誤差が小さくなる。   Also, for example, the resulting PSF exhibits a much lower sensitivity to focus error compared to conventional configurations. As the measurement target on the wafer moves or is out of focus, a position shift occurs in the detection image of the measurement spot on the wafer. Similar to that shown in FIG. 6, when the wafer 120 is in focus, the illumination light beam 117 illuminates the portion A of the wafer. The condensed light beam 127 is wavelength-dispersed and forms an image on the detector 141 as spots 142A and 142B as shown in FIG. When the wafer 120 moves upward along the z direction and is defocused by an amount ΔZ exceeding 0, the illumination light beam 117 illuminates the portion C of the wafer. The condensed light beam 127 ′ is wavelength-dispersed and imaged on the detector 141 as spots 142 A ′ and 142 B ′. In this image position shift perpendicular to the chromatic dispersion direction, the wavelength-to-pixel mapping does not change, so the spectral measurement error induced by the focusing error is reduced. When the wafer 120 moves downward along the z direction and is defocused by an amount ΔZ of less than 0, the portion B of the wafer is illuminated by the illumination light beam 117. The condensed light beam 127 "is wavelength-dispersed and forms an image on the detector 141 in the form of spots 142A" and 142B ". Also at this time, the image position shift is perpendicular to the wavelength dispersion direction. The spectral measurement error induced by the focusing error is reduced.

この構成では、合焦誤差により、検出器上の像が波長分散軸に対し垂直な方向に沿いシフトする。分光器分散軸に対し垂直に像を積分することで算出スペクトルが得られるので、合焦誤差により誘起された像シフトは積分平滑され、実質的なスペクトル計測誤差を誘起するものとはならない。合焦誤差に対する感度のこうした低減により、原子線放射に基づき合焦誤差を追跡及び補正する必要がなくなる。この構成では、広帯域光源例えば高輝度レーザ駆動光源(LDLS)を、緩い焦点位置決め条件で以て、分光器量システム例えばシステム100内光源として採用することができる。   In this configuration, the image on the detector is shifted along the direction perpendicular to the wavelength dispersion axis due to the focusing error. Since the calculated spectrum is obtained by integrating the image perpendicular to the spectroscopic dispersion axis, the image shift induced by the focusing error is integrated and smoothed and does not induce a substantial spectrum measurement error. This reduction in sensitivity to focusing error eliminates the need to track and correct focusing error based on atomic beam radiation. In this configuration, a broadband light source, such as a high intensity laser driven light source (LDLS), can be employed as a spectroscopic system, such as a light source in the system 100, under mild focus positioning conditions.

上述の通り、分光器による射影でもたらされるPSFは、入射面(即ちXZ平面)に対し垂直な方向沿いの光分布によりほとんど定まる。そうしたわけでPSFは斜め入射角から独立となる。即ち、PSFの波長依存性が従来構成に比べかなり少なくなる。   As described above, the PSF caused by the projection by the spectroscope is almost determined by the light distribution along the direction perpendicular to the incident surface (that is, the XZ plane). That is why PSF is independent of the oblique incident angle. That is, the wavelength dependence of PSF is considerably less than that of the conventional configuration.

本願記載の通り、どのような垂直入射又は斜め入射広帯域光学計量システムであれ、計測スポットが検出器の表面上に結像されるよう、その際ウェハ表面上で入射面に整列している方向が検出器表面上での波長分散方向に対し垂直な方向を向くよう、構成することができる。ある種の実施形態に従いウェハ合焦軸(例.図1〜図4中のz軸)に対し垂直な方向に分光器分散軸を向けることで、合焦誤差に対するシステム感度を更に下げることができる。   As described herein, in any normal or oblique incidence broadband optical metrology system, the measurement spot is imaged on the detector surface such that the direction aligned with the entrance surface on the wafer surface is It can be configured to face a direction perpendicular to the chromatic dispersion direction on the detector surface. By directing the spectrometer dispersion axis in a direction perpendicular to the wafer focus axis (eg, the z-axis in FIGS. 1-4) according to certain embodiments, the system sensitivity to focus errors can be further reduced. .

また、ある態様では、単一の検出器パッケージ上の別々の個所にある幾つかの感度帯を組み合わせたマルチゾーン赤外線検出器が、本願記載の計量システムにて採用される。この検出器は、入射個所に応じ別々の感度で連続スペクトラムのデータを提供するよう構成される。   In some embodiments, multi-zone infrared detectors that combine several sensitivity bands at different locations on a single detector package are employed in the metering system described herein. The detector is configured to provide continuous spectrum data with different sensitivities depending on the location of incidence.

図10に、入手しうるインジウムガリウムヒ素(InGaAs)センサの典型的光感度曲線を示す。図10に示すように、入手しうるInGaAsセンサのうちいずれのセンサでも、1μm〜2.5μmの波長帯に亘り適切な光感度を提供することができない。即ち、個別に見ると、入手しうるセンサではある狭い波帯に亘る感知しか可能でない。実施形態のなかには、個々のセンサを例えば図4に示す如く縦続配列の態で配列するものがある。しかしながら、その場合、個別の格子構造か、ビーム分岐素子と格子構造との組合せによって、集光光を個別のスペクトル域へと細分すること並びに各スペクトル域を個々別体な検出器上へと分散させることが必要になる。その結果、不要な光損失及び光学システム複雑性が生じる。   FIG. 10 shows a typical photosensitivity curve for an available indium gallium arsenide (InGaAs) sensor. As shown in FIG. 10, none of the available InGaAs sensors can provide appropriate photosensitivity over a wavelength band of 1 μm to 2.5 μm. That is, when viewed individually, the available sensors can only sense across a narrow waveband. In some embodiments, the individual sensors are arranged in a cascade arrangement as shown in FIG. 4, for example. However, in that case, the collected light can be subdivided into individual spectral regions by means of individual grating structures or a combination of beam splitters and grating structures, and each spectral region can be distributed onto a separate detector. It is necessary to make it. The result is unnecessary light loss and optical system complexity.

ある態様では、それぞれ別の波帯に感応する複数個のセンサチップが組み合わされ、単一の検出器パッケージが構成される。更に、そのマルチゾーン検出器が本願記載の計量システム内に搭載される。   In one embodiment, a plurality of sensor chips sensitive to different wave bands are combined to form a single detector package. In addition, the multi-zone detector is mounted in the metering system described herein.

図9に、相異なる4個の波帯に由来する4個のセンサチップ150A〜Dでありマルチゾーン赤外線検出器150を組成するものを示す。図10に示したように、これら4個のセンサチップは、それぞれ異なる光感度特性を呈する別々の素材組成を有している。図10に示したように、センサチップ150Aが高感度を呈するのは波帯A内、センサチップ150Bが高感度を呈するのは波帯B内、センサチップ150Cが高感度を呈するのは波帯C内、センサチップ150Dが高感度を呈するのは波帯D内である。検出器150が組み込まれる計量システムは、波帯A内の波長をセンサチップ150A上へと分散させ、波帯B内の波長をセンサチップ150B上へと分散させ、波帯C内の波長をセンサチップ150C上へと分散させ、且つ波帯D内の波長をセンサチップ150Dへと分散させるよう構成される。このようにすることで、波帯A〜Dを含む集積的な波帯に亘り高い光感度(即ち高いSNR)が単一の検出器で実現される。   FIG. 9 shows the composition of the multi-zone infrared detector 150 which is four sensor chips 150A to 150D derived from four different wavebands. As shown in FIG. 10, these four sensor chips have different material compositions that exhibit different photosensitivity characteristics. As shown in FIG. 10, the sensor chip 150A exhibits high sensitivity in the wave band A, the sensor chip 150B exhibits high sensitivity in the wave band B, and the sensor chip 150C exhibits high sensitivity in the wave band. Within C, it is within waveband D that sensor chip 150D exhibits high sensitivity. The weighing system incorporating the detector 150 disperses the wavelength in the waveband A onto the sensor chip 150A, disperses the wavelength in the waveband B onto the sensor chip 150B, and detects the wavelength in the waveband C as a sensor. It is configured to disperse onto the chip 150C and disperse the wavelengths in the waveband D to the sensor chip 150D. By doing in this way, high photosensitivity (namely, high SNR) is implement | achieved by a single detector over the integrated waveband containing wavebands AD.

ある種の例に係るマルチゾーン検出器は、相異なるスペクトル領域に対し感度を呈するInGaAsセンサを有し、それを組み上げて単一センサパッケージにしたものであり、それにより、750nm〜3000nm或いは更にその外側の波長をカバーする単一且つ不断なスペクトラムを得ることができる。   A multi-zone detector according to certain examples has an InGaAs sensor that is sensitive to different spectral regions, assembled into a single sensor package, so that 750 nm to 3000 nm or even more A single and constant spectrum covering the outer wavelengths can be obtained.

総じて、何個の個別センサをマルチゾーン検出器の波長分散方向に沿い組み上げるのでも、不断なスペクトラムをその検出器から得ることができる。とはいえ、通常、マルチゾーン検出器例えば検出器150では2〜4個の個別センサが採用される。   In general, no matter how many individual sensors are assembled along the chromatic dispersion direction of the multi-zone detector, a continuous spectrum can be obtained from the detector. However, typically two to four individual sensors are employed in a multi-zone detector, such as detector 150.

別の更なる態様によれば、照明野絞りのウェハ平面上射影の入射面直交方向沿い寸法を調整することで、得られる計測正確性及び速度を計測下ターゲットの性質に基づき最適化することができる。   According to another further aspect, the measurement accuracy and speed obtained can be optimized based on the properties of the target under measurement by adjusting the dimensions along the plane orthogonal to the incident plane of the projection on the wafer plane of the illumination field stop. it can.

入射面直交方向に沿い照明野絞りのウェハ平面上射影を調整することで、波長に対しほとんど敏感でないフラットトッププロファイルが達成されるよう、計測アプリケーション毎にPSFを成形することができる。加えて、スペクトル分解能を調整することで、そのフラットトッププロファイルに基づき計測正確性及び速度の最適化を果たすことができる。   By adjusting the projection on the wafer plane of the illumination field stop along the direction perpendicular to the incident plane, the PSF can be shaped for each measurement application so that a flat top profile that is hardly sensitive to wavelength is achieved. In addition, by adjusting the spectral resolution, measurement accuracy and speed can be optimized based on the flat top profile.

ある種の例によれば、例えばそのサンプルが極厚膜又は格子構造である場合に、入射面直交方向に沿い照明野絞りのウェハ平面上射影を調整することで、視野サイズを抑えスペクトル分解能の向上を果たすことができる。ある種の例によれば、例えばそのサンプルが薄膜である場合に、入射面直交方向に沿い照明野絞りのウェハ平面上射影を調整することで、視野サイズを拡げスペクトル分解能の損失無しで計測時間の短縮を果たすことができる。   According to a certain example, for example, when the sample is a very thick film or a grating structure, by adjusting the projection on the wafer plane of the illumination field stop along the direction orthogonal to the incident surface, the field size is suppressed and the spectral resolution is reduced. Can improve. According to a certain example, for example, when the sample is a thin film, the projection time on the wafer plane of the illumination field stop is adjusted along the direction orthogonal to the incident plane, thereby widening the field size and measuring time without loss of spectral resolution. Can be shortened.

図1〜図4に示した実施形態では、検出器141、150及び153(該当する場合)により検出されたスペクトル応答を示す信号154を受け取るよう、情報処理システム130が構成されている。情報処理システム130は、更に、制御信号119を決めプログラマブル照明野絞り113に送るように構成されている。プログラマブル照明野絞り113は制御信号119を受け取り、所望の照明野サイズが実現されるよう照明開口のサイズを調整する。   In the embodiment illustrated in FIGS. 1-4, the information processing system 130 is configured to receive a signal 154 indicative of the spectral response detected by the detectors 141, 150, and 153 (if applicable). The information processing system 130 is further configured to determine the control signal 119 and send it to the programmable illumination field stop 113. The programmable illumination field stop 113 receives the control signal 119 and adjusts the size of the illumination aperture so that the desired illumination field size is achieved.

ある種の例によれば、照明野絞りを調整することで上述の通り計測正確性及び速度を最適化することができる。また、ある例によれば、照明野絞りを調整することで、分光器スリットによる像クリッピング及びそれに対応する計測結果劣化を防ぐことができる。この場合、計測ターゲットの像で分光器スリットが満たされないよう照明野サイズが調整されることになる。ある例では、照明光学系の偏光器スリットの射影で計量システムの分光器スリットが満たされないよう照明野絞りが調整される。   According to certain examples, the measurement accuracy and speed can be optimized as described above by adjusting the illumination field stop. Further, according to an example, by adjusting the illumination field stop, it is possible to prevent image clipping due to the spectroscopic slit and measurement result deterioration corresponding thereto. In this case, the illumination field size is adjusted so that the spectroscope slit is not filled with the image of the measurement target. In one example, the illumination field stop is adjusted so that the projection of the polarizer slit of the illumination optics does not fill the spectroscope slit of the metering system.

図11に、少なくとも1個の態様に係る分光計測実行方法500を示す。方法500は計量システム、例えば図1〜図4に示した本発明の計量システム100、200、300及び400それぞれでの実行に適している。ある態様によれば、認識頂けるように、事前にプログラミングされているアルゴリズムを情報処理システム130その他、任意の汎用情報処理システムに備わる1個又は複数個のプロセッサにより実行することで、方法500の諸データ処理ブロックを実行することができる。本願にて認識されている通り、計量システム100、200、300及び400の特定の構造的側面は限定を表すものではなく、専ら例証として解釈されるべきものである。   FIG. 11 shows a spectroscopic measurement execution method 500 according to at least one aspect. The method 500 is suitable for implementation in a weighing system, for example, the weighing systems 100, 200, 300 and 400 of the present invention shown in FIGS. According to an aspect, the methods 500 may be implemented by executing pre-programmed algorithms by one or more processors included in the information processing system 130 or any other general purpose information processing system so that it can be recognized. Data processing blocks can be executed. As recognized in this application, certain structural aspects of the metering systems 100, 200, 300 and 400 are not to be construed as limiting but are to be construed solely as examples.

ブロック501では、照明源からの広帯域照明光群を、計測下標本の表面上の計測スポットへと、入射面内で一通り又は複数通りの入射角にて差し向ける。   In block 501, the group of broadband illumination light from the illumination source is directed to a measurement spot on the surface of the sample under measurement at one or more incident angles within the incident surface.

ブロック502では、標本表面上の計測スポットから一群の光を集光する。   In block 502, a group of light is collected from a measurement spot on the specimen surface.

ブロック503では、集光光群のうち第1波長域内の第1部分を第1検出器の表面へと差し向け、且つ集光光群のうち第2波長域内の第2部分を第2検出器の表面へと差し向ける。   In block 503, the first portion in the first wavelength region of the condensed light group is directed to the surface of the first detector, and the second portion in the second wavelength region of the condensed light group is directed to the second detector. To the surface.

ブロック504では、第1波長域内の照明光群に対する標本の応答を検出する。   In block 504, a response of the specimen to the illumination light group in the first wavelength range is detected.

ブロック505では、第2波長域内の照明光群に対する標本の応答を、第1波長域内の照明光群に対する標本の応答が検出されるのと同時に検出する。   In block 505, the response of the sample to the illumination light group in the second wavelength range is detected at the same time as the response of the sample to the illumination light group in the first wavelength range is detected.

本願記載の如く構成可能な計測技術の例としては、これに限られるものではないが、分光エリプソメトリ(SE)例えばミュラー行列エリプソメトリ(MMSE)、回転偏光器SE(RPSE)、回転偏光器回転補償器SE(RPRC)、回転補償器回転補償器SE(RCRC)、分光リフレクトメトリ(SR)例えば偏光SR、非偏光SR、分光スキャタロメトリ、スキャタロメトリオーバレイ、ビームプロファイルリフレクトメトリ、角度分解又は偏光分解ビームプロファイルエリプソメトリ、単一又は複数離散波長エリプソメトリ等々がある。総じて、UV及びIR波長を有する照明を内包する計量技術は、いずれも、個別的に又は任意の組合せで想定することができる。例えば、像依拠計量技術を含め半導体構造の特性解明に適用可能なSR又はSE技術は、いずれも、個別的に又は任意の組合せで想定することができる。   Examples of measurement techniques that can be configured as described herein include, but are not limited to, spectroscopic ellipsometry (SE) such as Mueller matrix ellipsometry (MMSE), rotating polarizer SE (RPSE), rotating polarizer rotation Compensator SE (RPRC), rotation compensator rotation compensator SE (RCRC), spectral reflectometry (SR) such as polarized SR, non-polarized SR, spectral scatterometry, scatterometry overlay, beam profile reflectometry, angle resolution or There are polarization-resolved beam profile ellipsometry, single or multiple discrete wavelength ellipsometry, and so on. In general, any metrology that includes illumination with UV and IR wavelengths can be envisaged individually or in any combination. For example, any SR or SE technology applicable to semiconductor structure characterization, including image-based metrology, can be envisaged individually or in any combination.

更なる実施形態に係るシステム100、200、300及び400は、備わる1個又は複数個の情報処理システム130を利用し、本願記載の方法に従い収集された分光計測データに基づく実デバイス構造の計測を実行するものである。当該1個又は複数個の情報処理システム130を分光器に可通信結合させるとよい。ある態様では、1個又は複数個の情報処理システム130を、標本120の構造の計測に係る計測データ154を受け取るように構成する。   The systems 100, 200, 300, and 400 according to further embodiments use one or a plurality of information processing systems 130, and measure an actual device structure based on spectroscopic data collected according to the method described in the present application. It is something to execute. The one or more information processing systems 130 may be communicatively coupled to the spectrometer. In one aspect, one or more information processing systems 130 are configured to receive measurement data 154 related to measurement of the structure of the specimen 120.

ご認識頂くべきことに、本件開示の随所で述べられている1個又は複数個のステップは、単一コンピュータシステム130により実行することも、それに代え複数コンピュータシステム130により実行することも可能である。更に、システム100、200、300及び400の様々なサブシステムを、本願記載の諸ステップのうち少なくとも一部を実行するのに適したコンピュータシステムを含むものとすることができる。従って、上掲の記述は本発明に対する限定としてではなく単なる例証として解されるべきである。   It should be appreciated that one or more of the steps described throughout this disclosure may be performed by a single computer system 130 or alternatively by a multiple computer system 130. . Further, the various subsystems of the systems 100, 200, 300 and 400 may include computer systems suitable for performing at least some of the steps described herein. Accordingly, the above description should not be construed as limiting the invention but merely as exemplifications.

加えて、コンピュータシステム130は、本件技術分野で既知ないずれの形態で分光器に可通信結合させてもよい。例えば、1個又は複数個の情報処理システム130を分光器に係る情報処理システムに結合させることができる。また例えば、それら分光器を、コンピュータシステム130に結合された単一のコンピュータシステムにより直接制御することができる。   In addition, the computer system 130 may be communicatively coupled to the spectrometer in any form known in the art. For example, one or a plurality of information processing systems 130 can be coupled to an information processing system related to a spectroscope. Also, for example, the spectrometers can be controlled directly by a single computer system coupled to computer system 130.

計量システム100、200、300及び400のコンピュータシステム130は、伝送媒体例えば有線区間及び/又は無線区間を有するそれにより同システムのサブシステム(例.分光器等)からデータ又は情報を受信及び/又は捕捉しうるように、構成するとよい。この構成では、その伝送媒体を、コンピュータシステム130と、システム100、200、300及び400の他サブシステムと、の間のデータリンクとして働かせることができる。   The computer system 130 of the weighing systems 100, 200, 300 and 400 receives and / or receives data or information from a transmission medium such as a wired section and / or a wireless section thereof (eg, a spectrometer, etc.). It may be configured so that it can be captured. In this configuration, the transmission medium can serve as a data link between the computer system 130 and the other subsystems of the systems 100, 200, 300, and 400.

計量システム100、200、300及び400のコンピュータシステム130は、伝送媒体例えば有線区間及び/又は無線区間を有するそれにより他システムからデータ又は情報(例.計測結果、モデリング入力、モデリング結果、参照計測結果等々)を受信及び/又は捕捉しうるように、構成するとよい。この構成では、その伝送媒体を、コンピュータシステム130と、他システム(例.計量システム100、200、300及び400のオンボードメモリ、外部メモリその他の外部システム)と、の間のデータリンクとして働かせることができる。例えば、その情報処理システム130を、データリンクを介し格納媒体(即ちメモリ132又は外部メモリ)から計測データを受け取るよう構成するとよい。例えば、本願記載の分光器を用いて取得した分光結果を恒久的又は半恒久的記憶デバイス(例.メモリ132又は外部メモリ)に格納させるとよい。この構成によれば、分光結果をオンボードメモリから、或いは外部メモリシステムからインポートすることができる。更に、伝送媒体を介しコンピュータシステム130が他システムにデータを送るようにするとよい。例えば、計測モデルやコンピュータシステム130が求めた推定パラメタ値を送り、外部メモリに格納させればよい。この構成によれば、計測結果を他システムにエキスポートすることができる。   The computer system 130 of the weighing systems 100, 200, 300 and 400 has a transmission medium such as a wired section and / or a wireless section, whereby data or information (eg, measurement results, modeling inputs, modeling results, reference measurement results) from other systems. Etc.) may be received and / or captured. In this configuration, the transmission medium serves as a data link between the computer system 130 and other systems (eg, on-board memory, external memory or other external systems of the weighing systems 100, 200, 300 and 400). Can do. For example, the information processing system 130 may be configured to receive measurement data from a storage medium (that is, the memory 132 or an external memory) via a data link. For example, the spectroscopic result acquired using the spectroscope described in the present application may be stored in a permanent or semi-permanent storage device (eg, the memory 132 or an external memory). According to this configuration, the spectral result can be imported from the on-board memory or from the external memory system. Further, the computer system 130 may send data to other systems via a transmission medium. For example, an estimated parameter value obtained by the measurement model or the computer system 130 may be sent and stored in an external memory. According to this configuration, the measurement result can be exported to another system.

情報処理システム130には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、パラレルプロセッサその他、本件技術分野で既知なあらゆる装置が包括されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包括されるよう、広く定義することができる。   The information processing system 130 can include, but is not limited to, a personal computer system, a mainframe computer system, a workstation, an image computer, a parallel processor, and any other device known in the art. In general, the term “information processing system” can be broadly defined to include all devices having one or more processors that execute instructions obtained from a storage medium.

方法例えば本願記載のそれを実行するためのプログラム命令134を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送するようにしてもよい。例えば、図1に描かれているように、メモリ132に格納されているプログラム命令134がバス133上でプロセッサ131へと伝送される。プログラム命令134がコンピュータ可読媒体(例.メモリ132)内に格納される。コンピュータ可読媒体の例としてはリードオンリメモリ、ランダムアクセスメモリ、磁気又は光ディスク及び磁気テープがある。   The program instructions 134 for performing the method, eg, that described herein, may be transmitted over a transmission medium, such as a wire, cable, or wireless transmission link. For example, as depicted in FIG. 1, program instructions 134 stored in memory 132 are transmitted over bus 133 to processor 131. Program instructions 134 are stored in a computer readable medium (eg, memory 132). Examples of computer readable media include read only memory, random access memory, magnetic or optical disk and magnetic tape.

ある種の例では、計測モデルが、米国カリフォルニア州ミルピタス所在のKLA−Tencor Corporationから入手可能なSpectraShape(商品名)光学限界寸法計量システムの一構成要素として実現される。この構成では、本システムによりスペクトルが収集された直後の使用に備えモデルが生成及び準備される。   In certain examples, the metrology model is implemented as a component of a SpectraShape optical critical dimension metrology system available from KLA-Tencor Corporation of Milpitas, California. In this configuration, a model is generated and prepared for use immediately after the spectrum is collected by the system.

他種の例では、計測モデルが、例えば、米国カリフォルニア州ミルピタス所在のKLA−Tencor Corporationから入手可能なAcuShape(登録商標)ソフトウェアを情報処理システムにより実行することでオフライン実現される。得られる訓練済モデルは、計測を実行する計量システムによるアクセスが可能なAcuShape(登録商標)ライブラリの一構成要素として組み込むことができる。   In another type of example, the measurement model is realized off-line by executing AcuShape (registered trademark) software available from, for example, KLA-Tencor Corporation of Milpitas, California, USA, by an information processing system. The resulting trained model can be incorporated as a component of an AcuShape® library that can be accessed by the metrology system that performs the measurements.

また、ある態様では、本願記載の半導体デバイス分光計量方法及びシステムが、高アスペクト比(HAR)構造、大横寸法構造又はその双方の計測に適用される。本願記載のシステム及び方法による計測に適した構造の例としては、三次元NAND構造例えば垂直NAND(V−NAND(登録商標))構造、ダイナミックランダムアクセスメモリ構造(DRAM)等々があり、これらは様々な半導体製造業者例えばSamsung Inc.(韓国)、SK Hynix Inc.(韓国)、株式会社東芝(日本)、Micron Technology, Inc.(米国)等々により製造されている。こうした複雑なデバイスには、計測対象構造(群)内への透光率の低さなる問題がある。図12に、計測対象構造(群)内への透光率の低さなる問題がある高アスペクト比NAND構造の例600を示す。赤外に至る広帯域能力を有し本願記載の如くマルチゾーンセンサで以て同時スペクトル帯検出を行う分光エリプソメータは、こうした高アスペクト比構造の計測に適している。   Also, in certain aspects, the semiconductor device spectrometric methods and systems described herein are applied to the measurement of high aspect ratio (HAR) structures, large lateral dimension structures, or both. Examples of structures suitable for measurement by the systems and methods described herein include a three-dimensional NAND structure such as a vertical NAND (V-NAND (registered trademark)) structure, a dynamic random access memory structure (DRAM), and the like. Semiconductor manufacturers such as Samsung Inc. (Korea), SK Hynx Inc. (Korea), Toshiba Corporation (Japan), Micron Technology, Inc. (US) etc. Such a complicated device has a problem of low translucency into the structure (group) to be measured. FIG. 12 shows an example 600 of a high aspect ratio NAND structure that has a problem of low transmissivity into the structure (group) to be measured. A spectroscopic ellipsometer having a broadband capability extending to the infrared and performing simultaneous spectral band detection with a multi-zone sensor as described in the present application is suitable for measurement of such a high aspect ratio structure.

更に別の態様によれば、本願記載の計測結果を用い、処理ツール(例.リソグラフィツール、エッチングツール、堆積ツール等々)に能動フィードバックをかけることができる。例えば、本願記載の計測方法に依拠し導出された計測パラメタ値をリソグラフィツールに送り、所望出力が得られるようそのリソグラフィシステムを調整することができる。同様にしてエッチングパラメタ(例.エッチング時間、拡散率等々)や堆積パラメタ(例.時間、濃度等々)を計測モデルに組み込み、それぞれエッチングツールや堆積ツールに能動フィードバックをかけることができる。ある例によれば、訓練済計測モデル並びにデバイスパラメタ計測値に基づき求めた処理パラメタに対する補正を、リソグラフィツール、エッチングツール又は堆積ツールに送ることができる。   According to yet another aspect, the measurement results described herein can be used to provide active feedback to a processing tool (eg, lithography tool, etching tool, deposition tool, etc.). For example, measurement parameter values derived by relying on the measurement methods described herein can be sent to the lithography tool and the lithography system can be adjusted to obtain the desired output. Similarly, etching parameters (eg, etching time, diffusivity, etc.) and deposition parameters (eg, time, concentration, etc.) can be incorporated into the measurement model, and active feedback can be applied to the etching tool and the deposition tool, respectively. According to an example, corrections to the processing parameters determined based on the trained metrology model as well as the device parameter measurements can be sent to the lithography tool, etching tool or deposition tool.

本願記載の語「限界寸法」には、構造のあらゆる限界寸法(例.下部限界寸法、中部限界寸法、上部限界寸法、側壁角、格子高さ等々)、任意の2個以上の構造間の限界寸法(例.2個の構造間の距離)、並びに2個以上の構造間の位置ずれ(例.重なり合う格子構造間のオーバレイ位置ずれ等々)が包括される。構造の例としては三次元構造、パターン付構造、オーバレイ構造等々がある。   As used herein, the term “critical dimension” includes any critical dimension of the structure (eg, lower critical dimension, middle critical dimension, upper critical dimension, sidewall angle, grid height, etc.), and the limit between any two or more structures. Dimensions (eg, distance between two structures), as well as misalignment between two or more structures (eg, overlay misregistration between overlapping lattice structures, etc.) are encompassed. Examples of structures include a three-dimensional structure, a structure with a pattern, and an overlay structure.

本願記載の語「限界寸法アプリケーション」や「限界寸法計測アプリケーション」にはあらゆる限界寸法計測が包括される。   The term “limit dimension application” or “limit dimension measurement application” described in this application encompasses all critical dimension measurements.

本願記載の語「計量システム」には、限界寸法計量、オーバレイ計量、焦点/照射量計量及び組成計量等の計測アプリケーションを含め、任意の態様での標本の特性解明に少なくとも部分的に採用されるあらゆるシステムが包括される。とはいえ、これらの技術用語により本願記載の語「計量システム」の範囲が制限されるわけではない。加えて、計量システム100はパターニング済ウェハ及び/又は未パターニングウェハの計測向けに構成することができる。この計量システムはLED検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツール又はマルチモード検査ツール(1個又は複数個のプラットフォームからの同時データ取得を伴うもの)や、限界寸法データに基づくシステムパラメタの校正から利を受ける他のあらゆる計量又は検査ツールとして、構成することができる。   As used herein, the term “weighing system” is at least partially employed to characterize specimens in any manner, including metrology applications such as critical dimension metrology, overlay metrology, focus / dose metrology, and composition metrology. All systems are included. Nonetheless, these technical terms do not limit the scope of the word “metering system” described herein. In addition, the metrology system 100 can be configured for metrology of patterned and / or unpatterned wafers. This weighing system can be LED inspection tools, edge inspection tools, back inspection tools, macro inspection tools or multi-mode inspection tools (with simultaneous data acquisition from one or more platforms) and system parameters based on critical dimension data It can be configured as any other weighing or inspection tool that benefits from the calibration of

本願中には、任意の半導体処理ツール(例.検査システム又はリソグラフィシステム)内で標本の計測に使用可能な半導体計測システムに関し、様々な実施形態が述べられている。本願では、語「標本」が、本件技術分野で既知な手段により処理(例.印刷又は欠陥検査)可能なウェハ、レティクルその他のあらゆるサンプルを指す趣旨で用いられている。   Various embodiments are described herein with respect to a semiconductor metrology system that can be used to measure a specimen within any semiconductor processing tool (eg, inspection system or lithography system). In this application, the term “specimen” is used to refer to any sample such as a wafer, reticle or other material that can be processed (eg, printed or tested for defects) by means known in the art.

本願中の用語「ウェハ」は、総じて、半導体又は非半導体素材で形成された基板を指している。その素材の例としては、これに限られるものではないが、単結晶シリコン、ヒ化ガリウム及び燐化インジウムがある。そうした基板は半導体製造設備にて目にすること及び/又は処理されることが多い。場合によってはウェハが基板のみで構成されよう(いわゆるベアウェハ)。そうではなく、ウェハが1個又は複数個の層を有し、それらが基板上に別素材で形成されていることもある。ウェハ上に形成された1個又は複数個の層が「パターニング」されていることも「未パターニング」なこともありうる。例えば、可反復なパターンフィーチャを有するダイが複数個、ウェハ内に存することもありうる。   The term “wafer” in this application generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples of the material include, but are not limited to, single crystal silicon, gallium arsenide, and indium phosphide. Such substrates are often seen and / or processed in semiconductor manufacturing facilities. In some cases, the wafer may be composed only of a substrate (so-called bare wafer). Instead, the wafer may have one or more layers, which are formed of different materials on the substrate. One or more layers formed on the wafer may be “patterned” or “unpatterned”. For example, a plurality of dies having repeatable pattern features may exist in the wafer.

「レティクル」は、レティクル製造プロセスのいずれかの段階にあるレティクルでもレティクルの完成品でもよく、また半導体製造設備での使用向けにリリースされているものでもされていないものでもよい。レティクル或いは「マスク」は、一般に、その上に実質的に不透明な領域が形成されておりその領域がパターンをなしている実質的に透明な基板として定義される。その基板は、例えば、ガラス素材例えばアモルファスSiOを含有するものとすることができる。レティクルをレジスト被覆ウェハ上に配し、リソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストに転写することができる。 A “reticle” may be a reticle at any stage of the reticle manufacturing process or a finished product of the reticle, and may or may not be released for use in a semiconductor manufacturing facility. A reticle or “mask” is generally defined as a substantially transparent substrate having a substantially opaque area formed thereon, the area being patterned. The substrate may contain, for example, a glass material such as amorphous SiO 2 . By arranging the reticle on the resist-coated wafer and performing an exposure step in the lithography process, the pattern on the reticle can be transferred to the resist.

ウェハ上に形成された1個又は複数個の層は、パターニングしてもパターニングしなくてもかまわない。例えば、ウェハを構成する複数個のダイそれぞれに可反復なパターンフィーチャを設けることができる。そうした素材層の形成及び処理によって、最終的にはデバイスの完成品を得ることができる。多種類のデバイスをウェハ上に形成することが可能であり、本願中の用語ウェハは、本件技術分野で既知な任意種類のデバイスがその上に作り込まれるウェハを包括することを意図している。   One or more layers formed on the wafer may be patterned or unpatterned. For example, a repeatable pattern feature can be provided on each of a plurality of dies constituting a wafer. By forming and processing such a material layer, a final device can be finally obtained. Many types of devices can be formed on a wafer, and the term wafer in this application is intended to encompass a wafer on which any type of device known in the art is fabricated. .

1個又は複数個の例示的実施形態によれば、上述の機能をハードウェア、ソフトウェア、ファームウェア又はそれらの任意の組合せの態で実現することができる。ソフトウェアの態で実現する際には、それらの機能が1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納され又はその媒体上で伝送される。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体双方が包括され、またコンピュータプログラムをある場所から別の場所へと転送するのに役立つ媒体全てが包括される。格納媒体は、汎用又は専用コンピュータによるアクセスが可能な入手可能媒体ならどのようなものでもよい。限定としてではなく例として言うなら、そうしたコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置をはじめ、命令又はデータ構造の形態での所望のプログラムコード手段の搬送又は格納に使用することが可能で、且つ汎用又は専用コンピュータ或いは汎用又は専用プロセッサがアクセスすることが可能な、任意の他媒体を以て構成することができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、ソフトウェアをウェブサイト、サーバその他のリモートソースから送信するに当たり同軸ケーブル、光ファイバケーブル、ツイストペア、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波が用いられるのであれば、それら同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波は、媒体の定義に収まる。本願中の用語ディスク(disk/disc)には、コンパクトディスク(CD)、レーザディスク、光ディスク、ディジタルバーサタイルディスク(DVD(登録商標))、フロッピーディスク及びブルーレイ(登録商標)ディスクを含め、通常はデータが磁気的に再生されるディスク(disk)及びレーザで以て光学的に再生されるディスク(disc)が包括される。上掲のものの組合せもまたコンピュータ可読媒体の範囲内に含まれるべきである。   According to one or more exemplary embodiments, the functions described above can be implemented in hardware, software, firmware, or any combination thereof. When implemented in software, the functions are stored on or transmitted over a computer readable medium as one or more instructions or code. Computer-readable media includes both computer storage media and communication media, as well as all media useful for transferring a computer program from one place to another. The storage medium may be any available medium that can be accessed by a general purpose or special purpose computer. By way of example and not limitation, such computer readable media may be any desired form of instruction or data structure, including RAM, ROM, EEPROM, CD-ROM and other optical disk storage, magnetic disk storage and other magnetic storage devices. Any other medium that can be used to carry or store the program code means and that can be accessed by a general purpose or special purpose computer or a general purpose or special purpose processor can be constructed. In addition, any connection may be referred to as a computer-readable medium. For example, if software is transmitted from a website, server or other remote source, coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL) or wireless technology such as infrared, radio frequency or microwave is used. These coaxial cables, fiber optic cables, twisted pairs, DSL or wireless technologies such as infrared, radio frequency or microwave fall within the definition of the medium. The term disk / disc in this application includes compact discs (CD), laser discs, optical discs, digital versatile discs (DVD ™), floppy discs, and Blu-ray ™ discs, usually data. Includes a disk that is magnetically reproduced and a disk that is optically reproduced by a laser. Combinations of the above should also be included within the scope of computer-readable media.

教示目的である特定の諸実施形態につき上述してきたが、本件特許出願の教示は一般的な適用可能性を有するものであり、上述の具体的諸実施形態に限定されるものではない。従って、上述の諸実施形態の諸特徴については、特許請求の範囲に示す発明の技術的範囲から離隔することなく、様々な修正、適合化並びに組合せを実施することができる。   Although specific embodiments have been described above for teaching purposes, the teachings of the present patent application have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations can be made to the features of the above-described embodiments without departing from the technical scope of the present invention as set forth in the claims.

Claims (24)

広帯域照明光群を生成するよう構成された1個又は複数個の照明源と、
照明源からの照明光群を、計測下標本の表面上の計測スポットへと、ある入射面内で一通り又は複数通りの入射角にて差し向けるよう構成された照明光学系サブシステムと、
標本の表面上の計測スポットから集光光群を集光するよう構成された集光光学系サブシステムと、
入射光に感応する平坦で二次元的な表面を有する第1検出器であり、第1波長域内の照明光群に対する標本の応答を検出するよう構成された第1検出器と、
入射光に感応する平坦で二次元的な表面を有する第2検出器であり、第2波長域内の照明光群に対する標本の応答を、第1検出器が第1波長域内の照明光群に対する標本の応答を検出するのと同時に検出するよう構成された第2検出器と、
集光光群のうち第1波長域内の第1部分を第1検出器の表面に向かい分散させるよう構成された第1回折素子と、
集光光群のうち第2波長域内の第2部分を第2検出器の表面に向かい分散させるよう構成された第2回折素子と、
を備える計量システム。
One or more illumination sources configured to generate a broadband illumination light group;
An illumination optics subsystem configured to direct a group of illumination light from an illumination source to a measurement spot on the surface of the sample under measurement at one or more angles of incidence within a plane of incidence;
A collection optics subsystem configured to collect a collection of collected light from a measurement spot on the surface of the specimen;
A first detector having a flat, two-dimensional surface sensitive to incident light, the first detector configured to detect a response of the sample to a group of illumination light in the first wavelength range;
A second detector having a flat and two-dimensional surface that is sensitive to incident light, the response of the sample to the illumination light group in the second wavelength range, and the sample to the illumination light group in the first wavelength range. A second detector configured to detect simultaneously with the response of
A first diffractive element configured to disperse a first portion in the first wavelength region of the collected light group toward the surface of the first detector;
A second diffractive element configured to disperse a second portion in the second wavelength region of the collected light group toward the surface of the second detector;
A weighing system comprising.
請求項1に記載の計量システムであって、第1検出器上に射影された入射面に整列している方向が第1検出器の表面上での波長分散の方向に対し垂直な方向を向くよう、集光光学系サブシステムが計測スポットを第1検出器の表面上に結像させる計量システム。   2. The metering system according to claim 1, wherein a direction aligned with an incident surface projected on the first detector is a direction perpendicular to a direction of chromatic dispersion on the surface of the first detector. A metering system in which the collection optics subsystem images the measurement spot onto the surface of the first detector. 請求項2に記載の計量システムであって、第2検出器上に射影された入射面に整列している方向が第2検出器の表面上での波長分散の方向に対し垂直な方向を向くよう、集光光学系サブシステムが計測スポットを第2検出器の表面上に結像させる計量システム。   3. The metering system according to claim 2, wherein the direction aligned with the incident surface projected onto the second detector is oriented perpendicular to the direction of chromatic dispersion on the surface of the second detector. A metering system in which the collection optics subsystem images the measurement spot onto the surface of the second detector. 請求項1に記載の計量システムであって、第2検出器が、異なる光感度をそれぞれ呈する2個以上の相異なる表面エリアを有し、当該2個以上の相異なる表面エリアが第2検出器の表面を横断する波長分散方向に整列している計量システム。   2. The metering system according to claim 1, wherein the second detector has two or more different surface areas each exhibiting different light sensitivities, and the two or more different surface areas are the second detector. Weighing system aligned in the direction of chromatic dispersion across the surface. 請求項1に記載の計量システムであって、更に、
入射光に感応する平坦で二次元的な表面を有する第3検出器であり、第3波長域内の照明光群に対する標本の応答を、第1検出器が第1波長域内の照明光群に対する標本の応答を検出するのと同時に検出するよう構成された第3検出器と、
集光光群のうち第3波長域内の第3部分を第3検出器の表面に向かい分散させるよう構成された第3回折素子と、
を備える計量システム。
The weighing system of claim 1, further comprising:
A third detector having a flat and two-dimensional surface that is sensitive to incident light, the response of the sample to the illumination light group in the third wavelength range, and the sample to the illumination light group in the first wavelength range. A third detector configured to detect simultaneously with the response of
A third diffractive element configured to disperse a third portion in the third wavelength region of the collected light group toward the surface of the third detector;
A weighing system comprising.
請求項1に記載の計量システムであって、更に、
集光光群のうち一部分を検出するよう構成されたファインフォーカスセンサと、
集光光群のうち上記一部分をファインフォーカスセンサに差し向けるよう構成されたビーム分岐素子と、を備え、そのファインフォーカスセンサが、第1及び第2検出器が照明光群に対する標本の応答を検出するのと同時に標本合焦誤差が検出されるよう構成されている、
計量システム。
The weighing system of claim 1, further comprising:
A fine focus sensor configured to detect a portion of the collected light group;
A beam branching element configured to direct the part of the condensed light group to the fine focus sensor, and the first and second detectors detect the response of the sample to the illumination light group. At the same time the sample focusing error is detected,
Weighing system.
請求項1に記載の計量システムであって、照明光群が、赤外、可視及び紫外波長を含む波長域を有する広帯域照明光である計量システム。   The weighing system according to claim 1, wherein the illumination light group is broadband illumination light having a wavelength range including infrared, visible, and ultraviolet wavelengths. 請求項1に記載の計量システムであって、照明光群のうち少なくとも一部分が標本に垂直入射角にて供給される計量システム。   The weighing system according to claim 1, wherein at least a part of the illumination light group is supplied to the specimen at a normal incidence angle. 請求項1に記載の計量システムであって、照明光群のうち少なくとも一部分が標本に斜め入射角にて供給される計量システム。   The weighing system according to claim 1, wherein at least a part of the illumination light group is supplied to the specimen at an oblique incident angle. 請求項1に記載の計量システムであって、分光エリプソメータ及び分光リフレクトメータのうちいずれか1個又は複数個として構成された計量システム。   The weighing system according to claim 1, wherein the weighing system is configured as one or more of a spectroscopic ellipsometer and a spectroscopic reflectometer. 請求項1に記載の計量システムであって、計測下標本が高アスペクト比計量ターゲットである計量システム。   2. A weighing system according to claim 1, wherein the sample under measurement is a high aspect ratio weighing target. 請求項1に記載の計量システムであって、計測下標本が三次元NAND構造又はダイナミックランダムアクセスメモリ構造である計量システム。   2. The weighing system according to claim 1, wherein the sample under measurement has a three-dimensional NAND structure or a dynamic random access memory structure. 請求項1に記載の計量システムであって、更に、
計測下標本の注目パラメタの推定値を、第1及び第2検出器の出力の結合分析を踏まえ生成するよう構成された情報処理システムを備える計量システム。
The weighing system of claim 1, further comprising:
A weighing system including an information processing system configured to generate an estimated value of a target parameter of a sample under measurement based on a combined analysis of outputs of first and second detectors.
広帯域照明光群を生成するよう構成された1個又は複数個の照明源と、
照明源からの照明光群を、計測下標本の表面上の計測スポットへと、ある入射面内で一通り又は複数通りの入射角にて差し向けるよう構成された照明光学系サブシステムと、
標本の表面上の計測スポットから集光光群を集光するよう構成された集光光学系サブシステムと、
入射光に感応する平坦で二次元的な表面を有する第1検出器であり、第1波長域内の照明光群に対する標本の応答を検出するよう構成された第1検出器であり、異なる光感度をそれぞれ呈する2個以上の相異なる表面エリアを有し、当該2個以上の相異なる表面エリアが自第1検出器の表面を横断する波長分散方向に整列している第1検出器と、
集光光群のうち第1波長域内の第1部分を、第1検出器の表面を過ぎり分散させるよう構成された第1回折素子と、
を備える計量システム。
One or more illumination sources configured to generate a broadband illumination light group;
An illumination optics subsystem configured to direct a group of illumination light from an illumination source to a measurement spot on the surface of the sample under measurement at one or more angles of incidence within a plane of incidence;
A collection optics subsystem configured to collect a collection of collected light from a measurement spot on the surface of the specimen;
A first detector having a flat, two-dimensional surface that is sensitive to incident light, the first detector configured to detect a response of a specimen to a group of illumination light in a first wavelength region, and having different light sensitivities A first detector having two or more different surface areas each of which is aligned in a chromatic dispersion direction across the surface of the first detector;
A first diffractive element configured to disperse a first portion in the first wavelength region of the collected light group past the surface of the first detector;
A weighing system comprising.
請求項14に記載の計量システムであって、更に、
入射光に感応する平坦で二次元的な表面を有する第2検出器であり、第2波長域内の照明光群に対する標本の応答を、第1検出器が第1波長域内の照明光群に対する標本の応答を検出するのと同時に検出するよう構成された第2検出器と、
集光光群のうち第2波長域内の第2部分を、第2検出器の表面を過ぎり分散させるよう構成された第2回折素子と、
を備える計量システム。
15. The weighing system according to claim 14, further comprising:
A second detector having a flat and two-dimensional surface that is sensitive to incident light, the response of the sample to the illumination light group in the second wavelength range, and the sample to the illumination light group in the first wavelength range. A second detector configured to detect simultaneously with the response of
A second diffractive element configured to disperse a second portion in the second wavelength region of the collected light group past the surface of the second detector;
A weighing system comprising.
請求項14に記載の計量システムであって、第1検出器上に射影された入射面に整列している方向が第1検出器の表面上での波長分散の方向に対し垂直な方向を向くよう、集光光学系サブシステムが計測スポットを第1検出器の表面上に結像させる計量システム。   15. The metering system according to claim 14, wherein the direction aligned with the incident surface projected on the first detector is oriented perpendicular to the direction of chromatic dispersion on the surface of the first detector. A metering system in which the collection optics subsystem images the measurement spot onto the surface of the first detector. 請求項14に記載の計量システムであって、更に、
入射光に感応する平坦で二次元的な表面を有する第3検出器であり、第3波長域内の照明光群に対する標本の応答を、第1検出器が第1波長域内の照明光群に対する標本の応答を検出するのと同時に検出するよう構成された第3検出器と、
集光光群のうち第3波長域内の第3部分を、第3検出器の表面を過ぎり分散させるよう構成された第3回折素子と、
を備える計量システム。
15. The weighing system according to claim 14, further comprising:
A third detector having a flat and two-dimensional surface that is sensitive to incident light, the response of the sample to the illumination light group in the third wavelength range, and the sample to the illumination light group in the first wavelength range. A third detector configured to detect simultaneously with the response of
A third diffractive element configured to disperse a third portion in the third wavelength region of the collected light group past the surface of the third detector;
A weighing system comprising.
請求項14に記載の計量システムであって、更に、
集光光群のうち一部分を検出するよう構成されたファインフォーカスセンサと、
集光光群のうち上記一部分をファインフォーカスセンサに差し向けるよう構成されたビーム分岐素子と、
を備える計量システム。
15. The weighing system according to claim 14, further comprising:
A fine focus sensor configured to detect a portion of the collected light group;
A beam branching element configured to direct the portion of the collected light group to the fine focus sensor;
A weighing system comprising.
請求項14に記載の計量システムであって、計測下標本が三次元NAND構造又はダイナミックランダムアクセスメモリ構造である計量システム。   15. The weighing system according to claim 14, wherein the sample under measurement has a three-dimensional NAND structure or a dynamic random access memory structure. 照明源からの広帯域照明光群を、計測下標本の表面上の計測スポットへと、ある入射面内で一通り又は複数通りの入射角にて差し向けるステップと、
標本の表面上の計測スポットから集光光群を集光するステップと、
集光光群のうち第1波長域内の第1部分を第1検出器の表面へと差し向け且つ集光光群のうち第2波長域内の第2部分を第2検出器の表面へと差し向けるステップと、
第1波長域内の照明光群に対する標本の応答を検出するステップと、
第2波長域内の照明光群に対する標本の応答を、第1波長域内の照明光群に対する標本の応答の検出と同時に検出するステップと、
を有する方法。
Directing a group of broadband illumination light from an illumination source to a measurement spot on the surface of the sample under measurement at one or more incident angles within a certain incident surface;
Condensing a focused light group from a measurement spot on the surface of the specimen;
The first portion in the first wavelength region of the collected light group is directed toward the surface of the first detector, and the second portion in the second wavelength region of the condensed light group is directed to the surface of the second detector. Step to direct,
Detecting a response of the specimen to the illumination light group in the first wavelength range;
Detecting the response of the sample to the illumination light group in the second wavelength range simultaneously with the detection of the response of the sample to the illumination light group in the first wavelength range;
Having a method.
請求項20に記載の方法であって、更に、
第1検出器上に射影された入射面に整列している方向が第1検出器の表面上での波長分散の方向に対し垂直な方向を向くよう、計測スポットを第1検出器の表面上に結像させるステップを有する方法。
The method of claim 20, further comprising:
The measurement spot is placed on the surface of the first detector so that the direction aligned with the incident surface projected onto the first detector is oriented in a direction perpendicular to the direction of chromatic dispersion on the surface of the first detector. Having a step of imaging.
請求項20に記載の方法であって、第2検出器が、異なる光感度をそれぞれ呈する2個以上の相異なる表面エリアを有し、当該2個以上の相異なる表面エリアが第2検出器の表面を横断する波長分散方向に整列している方法。   21. The method of claim 20, wherein the second detector has two or more different surface areas each exhibiting different light sensitivities, the two or more different surface areas of the second detector. A method of aligning in the direction of chromatic dispersion across the surface. 請求項20に記載の方法であって、更に、
集光光群のうち第3波長域内の第3部分を第3検出器の表面へと差し向けるステップと、
第3波長域内の照明光群に対する標本の応答を、第1波長域内の照明光群に対する標本の応答の検出と同時に検出するステップと、
を有する方法。
The method of claim 20, further comprising:
Directing a third portion of the collected light group in the third wavelength region to the surface of the third detector;
Detecting the response of the sample to the illumination light group in the third wavelength range simultaneously with the detection of the response of the sample to the illumination light group in the first wavelength range;
Having a method.
請求項20に記載の方法であって、計測下標本が三次元NAND構造又はダイナミックランダムアクセスメモリ構造である方法。
21. The method of claim 20, wherein the sample under measurement is a three-dimensional NAND structure or a dynamic random access memory structure.
JP2018536816A 2016-01-15 2017-01-06 Extended Infrared Spectroscopy Ellipsometry Systems and Methods Active JP6858192B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662279469P 2016-01-15 2016-01-15
US62/279,469 2016-01-15
US15/336,705 US9921152B2 (en) 2016-01-15 2016-10-27 Systems and methods for extended infrared spectroscopic ellipsometry
US15/336,705 2016-10-27
PCT/US2017/012502 WO2017123467A1 (en) 2016-01-15 2017-01-06 Systems and methods for extended infrared spectroscopic ellipsometry

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021000416A Division JP7093429B2 (en) 2016-01-15 2021-01-05 Extended infrared spectroscopic ellipsometry system

Publications (2)

Publication Number Publication Date
JP2019503486A true JP2019503486A (en) 2019-02-07
JP6858192B2 JP6858192B2 (en) 2021-04-14

Family

ID=59311865

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018536816A Active JP6858192B2 (en) 2016-01-15 2017-01-06 Extended Infrared Spectroscopy Ellipsometry Systems and Methods
JP2021000416A Active JP7093429B2 (en) 2016-01-15 2021-01-05 Extended infrared spectroscopic ellipsometry system
JP2022098143A Pending JP2022121502A (en) 2016-01-15 2022-06-17 Methods for extended infrared spectroscopic ellipsometry

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2021000416A Active JP7093429B2 (en) 2016-01-15 2021-01-05 Extended infrared spectroscopic ellipsometry system
JP2022098143A Pending JP2022121502A (en) 2016-01-15 2022-06-17 Methods for extended infrared spectroscopic ellipsometry

Country Status (7)

Country Link
US (1) US9921152B2 (en)
JP (3) JP6858192B2 (en)
KR (1) KR102390308B1 (en)
CN (1) CN108463877B (en)
DE (1) DE112017000384T5 (en)
TW (1) TWI746498B (en)
WO (1) WO2017123467A1 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105091788B (en) * 2014-05-06 2017-11-07 北京智朗芯光科技有限公司 The device of the automatic wafer substrates two-dimensional appearance of detection real-time
US9921152B2 (en) * 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10495518B2 (en) * 2016-06-23 2019-12-03 Panasonic Intellectual Property Management Co., Ltd. Infrared detection apparatus
JP6765064B2 (en) * 2016-06-23 2020-10-07 パナソニックIpマネジメント株式会社 Infrared detector
US10215693B2 (en) * 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
US10690602B2 (en) * 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
EP3528048A1 (en) * 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
CN111542783A (en) * 2017-12-28 2020-08-14 Asml荷兰有限公司 Metrology apparatus and method for determining a characteristic of interest of a structure on a substrate
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
CN112262345B (en) 2018-06-13 2024-03-12 Asml荷兰有限公司 Measuring apparatus
CN109580551A (en) * 2018-11-30 2019-04-05 武汉颐光科技有限公司 A kind of Fourier transform infrared Muller matrix ellipsometer and its measurement method
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) * 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
US10598604B1 (en) * 2019-04-26 2020-03-24 Cark Zeiss Industrial Metrology, LLC Normal incidence phase-shifted deflectometry sensor, system, and method for inspecting a surface of a specimen
JP7336977B2 (en) * 2019-12-11 2023-09-01 株式会社ディスコ Laser beam spot shape correction method
US20230059471A1 (en) * 2020-01-29 2023-02-23 Asml Holding N.V. Optical designs of miniaturized overlay measurement system
US11346790B1 (en) * 2020-12-02 2022-05-31 Onto Innovation Inc. Focus system for oblique optical metrology device
US11741633B2 (en) * 2021-05-17 2023-08-29 Nvidia Corporation Converting discrete light attenuation into spectral data for rendering object volumes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004529327A (en) * 2001-02-14 2004-09-24 アプライド マテリアルズ インコーポレイテッド Laser scanning wafer inspection using nonlinear optical phenomena

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06288835A (en) * 1993-03-30 1994-10-18 Shimadzu Corp Ellipsometer
US5864394A (en) * 1994-06-20 1999-01-26 Kla-Tencor Corporation Surface inspection system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6975400B2 (en) * 1999-01-25 2005-12-13 Amnis Corporation Imaging and analyzing parameters of small moving objects such as cells
JP2001235368A (en) * 2000-02-24 2001-08-31 Matsushita Electric Works Ltd Micro spectrometer
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6603542B1 (en) * 2000-06-14 2003-08-05 Qc Optics, Inc. High sensitivity optical inspection system and method for detecting flaws on a diffractive surface
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7027142B2 (en) 2002-05-06 2006-04-11 Applied Materials, Israel, Ltd. Optical technique for detecting buried defects in opaque films
US9386241B2 (en) * 2003-07-02 2016-07-05 Verity Instruments, Inc. Apparatus and method for enhancing dynamic range of charge coupled device-based spectrograph
US7271921B2 (en) * 2003-07-23 2007-09-18 Kla-Tencor Technologies Corporation Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
JP2006153770A (en) * 2004-11-30 2006-06-15 Omron Corp Spectral measurement apparatus
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
ATE475862T1 (en) 2005-02-25 2010-08-15 Nanometrics Inc APPARATUS AND METHOD FOR IMPROVED CRITICAL DIMENSION SCATTEROMETRY
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
JP2009210457A (en) * 2008-03-05 2009-09-17 Omron Corp Spectropolarimetric measurement device
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8248609B2 (en) 2008-11-04 2012-08-21 The Johns Hopkins University Cylindrical illumination confocal spectroscopy system
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
JP2012104586A (en) * 2010-11-09 2012-05-31 Elpida Memory Inc Semiconductor measurement device
WO2012074087A1 (en) * 2010-12-03 2012-06-07 株式会社 東芝 Automatic analysis device
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
DE102011086018A1 (en) 2011-11-09 2013-05-16 Carl Zeiss Ag Method and arrangement for autofocusing a microscope
US8873050B1 (en) * 2012-08-16 2014-10-28 Kla-Tencor Corp. Selective diffraction with in-series gratings
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US9217717B2 (en) * 2012-12-17 2015-12-22 Kla-Tencor Corporation Two dimensional optical detector with multiple shift registers
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
JP6180311B2 (en) * 2013-12-13 2017-08-16 大塚電子株式会社 Ellipsometer
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US9970863B2 (en) * 2015-02-22 2018-05-15 Kla-Tencor Corporation Optical metrology with reduced focus error sensitivity
US9921152B2 (en) * 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004529327A (en) * 2001-02-14 2004-09-24 アプライド マテリアルズ インコーポレイテッド Laser scanning wafer inspection using nonlinear optical phenomena

Also Published As

Publication number Publication date
US9921152B2 (en) 2018-03-20
JP2021063828A (en) 2021-04-22
KR102390308B1 (en) 2022-04-22
KR20180095102A (en) 2018-08-24
US20170205342A1 (en) 2017-07-20
CN108463877A (en) 2018-08-28
JP2022121502A (en) 2022-08-19
DE112017000384T5 (en) 2018-09-27
JP6858192B2 (en) 2021-04-14
WO2017123467A1 (en) 2017-07-20
JP7093429B2 (en) 2022-06-29
TW201734417A (en) 2017-10-01
CN108463877B (en) 2020-04-03
TWI746498B (en) 2021-11-21

Similar Documents

Publication Publication Date Title
JP7093429B2 (en) Extended infrared spectroscopic ellipsometry system
JP7181211B2 (en) Metrology method and system for thick films and high aspect ratio structures
TWI721993B (en) Methods and apparatus for measuring height on a semiconductor wafer
KR102283409B1 (en) Infrared Spectral Reflectometer for Measurement of High Aspect Ratio Structures
CN110062952B (en) Simultaneous multiple angle spectroscopy
JP6758309B2 (en) Optical measurement with reduced focus error sensitivity

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210302

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210323

R150 Certificate of patent or registration of utility model

Ref document number: 6858192

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250