JP2017130505A - Heat treating device, heat treating method, and computer-readable recording medium - Google Patents

Heat treating device, heat treating method, and computer-readable recording medium Download PDF

Info

Publication number
JP2017130505A
JP2017130505A JP2016007609A JP2016007609A JP2017130505A JP 2017130505 A JP2017130505 A JP 2017130505A JP 2016007609 A JP2016007609 A JP 2016007609A JP 2016007609 A JP2016007609 A JP 2016007609A JP 2017130505 A JP2017130505 A JP 2017130505A
Authority
JP
Japan
Prior art keywords
substrate
cooling plate
wafer
light source
heating light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016007609A
Other languages
Japanese (ja)
Other versions
JP6537456B2 (en
Inventor
大塚 幸信
Yukinobu Otsuka
幸信 大塚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2016007609A priority Critical patent/JP6537456B2/en
Publication of JP2017130505A publication Critical patent/JP2017130505A/en
Application granted granted Critical
Publication of JP6537456B2 publication Critical patent/JP6537456B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Resistance Heating (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PROBLEM TO BE SOLVED: To achieve both reduction in processing time of a substrate and lower cost of substrate processing.SOLUTION: A heat treating unit U2 comprises a heating light source 113, a cooling plate 112, a lifting mechanism 114, a storage housing 111, a gas supply unit 116, and a controller 10. The controller 10 performs first processing to fifth processing. The first processing supplies an inert gas into the storage housing 111 by controlling the gas supply unit 116. The second processing holds a wafer W in a separation position in which the wafer W is separated from the cooling plate 112 by controlling the lifting mechanism 114. The third processing heats the wafer W by controlling the heating light source 113 after the first processing and the second processing. The fourth processing stops heating of the wafer W by controlling the heating light source 113 after the third processing. The fifth processing holds the wafer W in an adjacent position in which the wafer W approaches the cooling plate 112 by controlling the lifting mechanism 114 and cools the wafer W by the cooling plate 112 after the fourth processing.SELECTED DRAWING: Figure 4

Description

本開示は、熱処理装置、熱処理方法及びコンピュータ読み取り可能な記録媒体に関する。   The present disclosure relates to a heat treatment apparatus, a heat treatment method, and a computer-readable recording medium.

特許文献1は、熱処理室内において基板を加熱する熱板と、熱処理室外において基板を冷却すると共に熱処理室に対して基板を搬入出可能に構成された冷却板とを備える熱処理装置を開示している。当該熱処理装置は、例えばフォトリソグラフィ技術を用いて基板を微細加工する際に、基板の表面に形成された塗布膜に含まれる溶剤を蒸発させる熱処理、当該塗布膜の化学反応を促進させる熱処理、当該塗布膜を硬化させて硬化膜とする熱処理等を実施する。   Patent Document 1 discloses a heat treatment apparatus that includes a hot plate that heats a substrate in the heat treatment chamber, and a cooling plate that is configured to cool the substrate outside the heat treatment chamber and to carry the substrate into and out of the heat treatment chamber. . The heat treatment apparatus includes, for example, a heat treatment for evaporating a solvent contained in a coating film formed on the surface of the substrate when the substrate is finely processed using a photolithography technique, a heat treatment for promoting a chemical reaction of the coating film, The heat treatment etc. which harden a coating film and make it into a cured film are implemented.

特開2007−294753号公報JP 2007-294753 A

基板の表面には、例えば、SOC(SpinOn Carbon)膜、アモルファスカーボン膜等の、酸化しやすい膜が形成されることがある。このような膜を基板の表面に形成する際には、膜の酸化を防ぐために、熱処理室内に不活性ガスを供給しながら熱板による基板の加熱を行う。このときの基板の加熱温度は例えば200℃〜600℃程度の高温であるので、基板の加熱後すぐに基板が熱処理室から搬出されると、高温の基板が大気と接触するのでやはり膜が酸化してしまう。そのため、熱処理室内に基板を収容したまま、膜が酸化しない温度に基板の温度が下がるまで待たなければならない。しかも、基板の加熱に伴い、熱処理室を構成する部材も高温となっているので、図13において破線で示されるように、基板の温度が下がり難く(処理時間t1)、冷却後の基板の温度(温度T1)も依然として高い傾向にある。   A film that easily oxidizes, such as an SOC (SpinOn Carbon) film or an amorphous carbon film, may be formed on the surface of the substrate. When such a film is formed on the surface of the substrate, the substrate is heated by a hot plate while supplying an inert gas into the heat treatment chamber in order to prevent oxidation of the film. Since the heating temperature of the substrate at this time is a high temperature of about 200 ° C. to 600 ° C., for example, if the substrate is taken out of the heat treatment chamber immediately after the heating of the substrate, the high temperature substrate comes into contact with the atmosphere so Resulting in. Therefore, it is necessary to wait until the temperature of the substrate is lowered to a temperature at which the film is not oxidized while the substrate is accommodated in the heat treatment chamber. In addition, as the substrate is heated, the members constituting the heat treatment chamber are also at a high temperature. Therefore, as shown by the broken line in FIG. 13, the temperature of the substrate is hardly lowered (processing time t1), and the temperature of the substrate after cooling is reduced. (Temperature T1) also tends to be high.

これに対し、冷却板をも収容する大型の熱処理室を採用して、冷却板の周囲も不活性ガス雰囲気とすることも考えられる。この場合、冷却板の周囲も不活性ガス雰囲気であるので、基板の温度が下がるのを待つことなく、基板を熱板から冷却板に移送できる。しかしながら、熱処理室が熱板及び冷却板の双方を収容するために大型化するので、熱処理室内を不活性ガスで満たすのに時間がかかると共に、多量の不活性ガスを消費してしまいコストが増加する。   On the other hand, it is also conceivable to employ a large heat treatment chamber that also accommodates the cooling plate, so that the periphery of the cooling plate is also an inert gas atmosphere. In this case, since the periphery of the cooling plate is also an inert gas atmosphere, the substrate can be transferred from the hot plate to the cooling plate without waiting for the temperature of the substrate to drop. However, since the heat treatment chamber is enlarged to accommodate both the hot plate and the cooling plate, it takes time to fill the heat treatment chamber with the inert gas and consumes a large amount of the inert gas, which increases the cost. To do.

そこで、本開示は、酸化しやすい膜を基板の表面に形成するにあたり、基板の熱処理時間の短縮化と熱処理の低コスト化との両立を図ることが可能な熱処理装置、熱処理方法及びコンピュータ読み取り可能な記録媒体を説明する。   Accordingly, the present disclosure provides a heat treatment apparatus, a heat treatment method, and a computer-readable device capable of reducing both the heat treatment time of the substrate and reducing the heat treatment cost when forming an easily oxidizable film on the surface of the substrate. A simple recording medium will be described.

本開示の一つの観点に係る熱処理装置は、基板に輻射熱を付与するように構成された加熱光源と、基板を冷却可能に構成された冷却板と、基板を冷却板に対して近接及び離間可能に構成された駆動部と、基板を出し入れ可能であると共に駆動部に保持された基板を収容可能に構成された収容筐体と、収容筐体内に不活性ガスを供給可能に構成された供給部と、制御部とを備え、制御部は、駆動部を制御して基板が冷却板から離れた離間位置において基板を保持させる第1の処理と、供給部を制御して収容筐体内に不活性ガスを供給させる第2の処理と、第1及び第2の処理の後に、加熱光源を制御して基板を加熱させる第3の処理と、第3の処理の後に、加熱光源を制御して基板の加熱を停止させる第4の処理と、第4の処理の後に、駆動部を制御して基板が冷却板に近づく近接位置において基板を保持させることにより、基板を冷却板で冷却する第5の処理とを実行する。   A heat treatment apparatus according to an aspect of the present disclosure includes a heating light source configured to apply radiant heat to a substrate, a cooling plate configured to cool the substrate, and a substrate close to and away from the cooling plate. A drive unit configured to be capable of accommodating a substrate that can be taken in and out and that can hold a substrate held by the drive unit, and a supply unit configured to be able to supply an inert gas in the storage case And a control unit, and the control unit controls the driving unit to hold the substrate at a separated position away from the cooling plate, and controls the supply unit to inactivate the housing. After the second process for supplying the gas, the third process for controlling the heating light source to heat the substrate after the first and second processes, and the substrate for controlling the heating light source after the third process. A fourth process for stopping the heating of the motor, and a drive unit after the fourth process By holding the substrate in proximity position control to a substrate closer to the cooling plate, to perform a fifth process of cooling the substrate in the cooling plate.

本開示の一つの観点に係る熱処理装置では、加熱光源が基板に輻射熱を付与しているので、冷却板が加熱光源によってほとんど加熱されない。そのため、制御部が、駆動部を制御して基板が冷却板から離れた離間位置において基板を保持させる第1の処理と、供給部を制御して収容筐体内に不活性ガスを供給させる第2の処理と、第1及び第2の処理の後に、加熱光源を制御して基板を加熱させる第3の処理とを実行することで、基板は、冷却板から熱をほとんど奪われることなく、不活性ガス雰囲気で加熱される。一方、制御部が、第3の処理の後に、加熱光源を制御して基板の加熱を停止させる第4の処理と、第4の処理の後に、駆動部を制御して基板が冷却板に近づく近接位置において基板を保持させて、基板を冷却板により冷却する第5の処理とを実行することで、基板は、不活性ガス雰囲気で効果的に冷却される。従って、基板の表面に形成されている塗布膜の酸化を抑制しつつ、基板の熱処理時間を短縮化できる。また、加熱光源によって基板を輻射熱によって加熱しているので、収容筐体が高温になり難く、基板を冷却板によって特に効果的に冷却できる。加えて、少なくとも基板を収容可能な大きさの収容筐体内に不活性ガスを満たせばよいので、不活性ガスの使用量を低減できる。以上により、酸化しやすい膜を基板の表面に形成するにあたり、基板の熱処理時間の短縮化と熱処理の低コスト化との両立を図ることが可能となる。   In the heat treatment apparatus according to one aspect of the present disclosure, since the heating light source applies radiant heat to the substrate, the cooling plate is hardly heated by the heating light source. Therefore, the control unit controls the driving unit to hold the substrate at a separated position where the substrate is separated from the cooling plate, and the control unit controls the supply unit to supply the inert gas into the housing case. And the third process of controlling the heating light source to heat the substrate after the first and second processes, the substrate is hardly deprived of heat from the cooling plate. Heated in an active gas atmosphere. On the other hand, after the third process, the control unit controls the heating light source to stop the heating of the substrate, and after the fourth process, the control unit controls the drive unit to bring the substrate closer to the cooling plate. The substrate is effectively cooled in an inert gas atmosphere by holding the substrate at the close position and executing the fifth process of cooling the substrate by the cooling plate. Therefore, the heat treatment time of the substrate can be shortened while suppressing the oxidation of the coating film formed on the surface of the substrate. In addition, since the substrate is heated by the radiant heat by the heating light source, the housing is difficult to reach a high temperature, and the substrate can be cooled particularly effectively by the cooling plate. In addition, since it is sufficient to fill the housing with a size sufficient to accommodate at least the substrate, the amount of the inert gas used can be reduced. As described above, in forming a film that easily oxidizes on the surface of the substrate, it is possible to reduce both the heat treatment time of the substrate and the cost reduction of the heat treatment.

本開示の一つの観点に係る熱処理装置は、収容筐体の外側に位置し、収容筐体に対して基板を搬入出可能に構成された搬送アームをさらに備えてもよい。この場合、比較的大きな部材である搬送アームが収容筐体の外側に位置するので、収容筐体の大きさが小さくてすむ。そのため、不活性ガスの使用量をより低減することが可能となる。   The heat treatment apparatus according to one aspect of the present disclosure may further include a transfer arm that is positioned outside the housing case and configured to be able to load and unload the substrate with respect to the housing case. In this case, since the transfer arm, which is a relatively large member, is located outside the housing case, the size of the housing case can be reduced. As a result, the amount of inert gas used can be further reduced.

冷却板は、加熱光源から放射される光に対して透過性を有する材料で構成され、加熱光源は、冷却板のうちの一方の主面側に位置しており、冷却板のうちの他方の主面側において駆動部によって保持される基板に輻射熱を付与するように構成されていてもよい。この場合、基板と加熱光源とが冷却板によって隔てられているので、基板の加熱によって生ずる異物等が加熱光源に付着し難い。従って、加熱光源の清掃を省力化することが可能となる。   The cooling plate is made of a material that is transmissive to light emitted from the heating light source, and the heating light source is located on one main surface side of the cooling plate, and the other of the cooling plates. You may be comprised so that radiant heat may be provided to the board | substrate hold | maintained by the drive part in the main surface side. In this case, since the substrate and the heating light source are separated from each other by the cooling plate, foreign matter or the like generated by heating the substrate is difficult to adhere to the heating light source. Therefore, it is possible to save labor for cleaning the heating light source.

冷却板の内部には、冷媒が流通する複数の流路が設けられており、複数の流路のうち冷却板の中央部寄りに位置する流路における冷媒の流量は、複数の流路のうち冷却板の周縁部寄りに位置する流路における冷媒の流量よりも大きくなるように設定されていてもよい。冷却板の中央部ほど熱が逃げにくく外部との熱交換により温度が高くなりやすいので、上記の場合、冷却板の面内における温度分布を均一化することが可能となる。   A plurality of flow paths through which the refrigerant flows are provided inside the cooling plate, and the flow rate of the refrigerant in the flow path located near the center of the cooling plate among the plurality of flow paths is out of the plurality of flow paths. You may set so that it may become larger than the flow volume of the refrigerant | coolant in the flow path located near the peripheral part of a cooling plate. In the case of the above, the temperature distribution in the plane of the cooling plate can be made uniform because heat is less likely to escape from the center of the cooling plate and the temperature is likely to increase due to heat exchange with the outside.

本開示の他の観点に係る熱処理方法は、基板が冷却板から離れた離間位置で、基板を出し入れ可能な収容筐体内に搬入された基板を保持する第1の工程と、収容筐体内に不活性ガスを供給する第2の工程と、第1及び第2の工程の後に、基板に輻射熱を付与するように構成された加熱光源により基板を加熱する第3の工程と、第3の工程の後に加熱光源を停止する第4の工程と、第4の工程の後に、基板が冷却板に近づく近接位置において基板を保持し、基板を冷却板により冷却する第5の工程とを含む。   The heat treatment method according to another aspect of the present disclosure includes a first step of holding a substrate carried in a housing case in which the substrate can be taken in and out at a separated position away from the cooling plate, A second step of supplying an active gas, a third step of heating the substrate with a heating light source configured to apply radiant heat to the substrate after the first and second steps, and a third step of A fourth step of stopping the heating light source later, and a fifth step of holding the substrate at a position close to the cooling plate after the fourth step and cooling the substrate with the cooling plate.

本開示の他の観点に係る熱処理方法では、加熱光源が基板に輻射熱を付与しているので、冷却板が加熱光源によってほとんど加熱されない。そのため、第1の工程において、基板が冷却板から離れた離間位置で、基板を出し入れ可能な収容筐体内に搬入された基板を保持し、第2の工程において、収容筐体内に不活性ガスを供給し、第1及び第2の工程の後の第3の工程において、基板に輻射熱を付与するように構成された加熱光源により基板を加熱することで、基板は、冷却板から熱をほとんど奪われることなく、不活性ガス雰囲気で加熱される。一方、第3の工程の後の第4の工程において加熱光源を停止し、第4の工程の後の第5の工程において、板が冷却板に近づく近接位置において基板を保持し、基板を冷却板により冷却することで、基板は、不活性ガス雰囲気で効果的に冷却される。従って、基板の表面に形成されている塗布膜の酸化を抑制しつつ、基板の熱処理時間を短縮化できる。また、少なくとも基板を収容可能な大きさの収容筐体内に不活性ガスを満たせばよいので、不活性ガスの使用量を低減できる。以上により、酸化しやすい膜を基板の表面に形成するにあたり、基板の熱処理時間の短縮化と熱処理の低コスト化との両立を図ることが可能となる。   In the heat treatment method according to another aspect of the present disclosure, since the heating light source applies radiant heat to the substrate, the cooling plate is hardly heated by the heating light source. For this reason, in the first step, the substrate is held in a housing case where the substrate can be taken in and out at a separated position away from the cooling plate, and in the second step, inert gas is introduced into the housing case. In the third step after the first and second steps, the substrate is almost deprived of heat from the cooling plate by heating the substrate with a heating light source configured to provide radiant heat to the substrate. Without being heated, it is heated in an inert gas atmosphere. On the other hand, in the fourth step after the third step, the heating light source is stopped, and in the fifth step after the fourth step, the substrate is held at a position close to the cooling plate and the substrate is cooled. By cooling with the plate, the substrate is effectively cooled in an inert gas atmosphere. Therefore, the heat treatment time of the substrate can be shortened while suppressing the oxidation of the coating film formed on the surface of the substrate. In addition, since the inert gas may be filled in at least the housing case that can accommodate the substrate, the amount of inert gas used can be reduced. As described above, in forming a film that easily oxidizes on the surface of the substrate, it is possible to reduce both the heat treatment time of the substrate and the cost reduction of the heat treatment.

冷却板は、加熱光源から放射される光に対して透過性を有する材料で構成され、加熱光源は、第3の工程において、冷却板を間において基板とは反対側から基板を加熱してもよい。この場合、基板と加熱光源とが冷却板によって隔てられているので、基板の加熱によって生ずる異物等が加熱光源に付着し難い。従って、加熱光源の清掃を省力化することが可能となる。   The cooling plate is made of a material that is transparent to the light emitted from the heating light source, and the heating light source can heat the substrate from the opposite side of the substrate between the cooling plates in the third step. Good. In this case, since the substrate and the heating light source are separated from each other by the cooling plate, foreign matter or the like generated by heating the substrate is difficult to adhere to the heating light source. Therefore, it is possible to save labor for cleaning the heating light source.

冷却板の内部には、冷媒が流通する複数の流路が設けられており、第5の工程では、複数の流路のうち冷却板の中央部寄りに位置する流路における冷媒の流量は、複数の流路のうち冷却板の周縁部寄りに位置する流路における冷媒の流量よりも大きくなるように、複数の流路に冷媒を流通させてもよい。冷却板の中央部ほど熱が逃げにくく外部との熱交換により温度が高くなりやすいので、上記の場合、冷却板の面内における温度分布を均一化することが可能となる。   A plurality of flow paths through which the refrigerant flows are provided inside the cooling plate, and in the fifth step, the flow rate of the refrigerant in the flow path located near the center of the cooling plate among the plurality of flow paths is: The refrigerant may be circulated through the plurality of flow paths so as to be larger than the flow rate of the refrigerant in the flow path located near the periphery of the cooling plate among the plurality of flow paths. In the case of the above, the temperature distribution in the plane of the cooling plate can be made uniform because heat is less likely to escape from the center of the cooling plate and the temperature is likely to increase due to heat exchange with the outside.

本開示の他の観点に係るコンピュータ読み取り可能な記録媒体は、上述の方法を熱処理装置に実行させるためのプログラムを記録している。本開示の他の観点に係るコンピュータ読み取り可能な記録媒体では、上記の熱処理方法と同様に、酸化しやすい膜を基板の表面に形成するにあたり、基板の熱処理時間の短縮化と熱処理の低コスト化との両立を図ることが可能となる。本明細書において、コンピュータ読み取り可能な記録媒体には、一時的でない有形の媒体(non-transitory computer recording medium)(例えば、各種の主記憶装置又は補助記憶装置)や、伝播信号(transitory computer recording medium)(例えば、ネットワークを介して提供可能なデータ信号)が含まれる。   A computer-readable recording medium according to another aspect of the present disclosure records a program for causing a heat treatment apparatus to execute the above-described method. In the computer-readable recording medium according to another aspect of the present disclosure, in the same way as the above heat treatment method, when forming a film that easily oxidizes on the surface of the substrate, the heat treatment time of the substrate is shortened and the heat treatment cost is reduced. It is possible to achieve both. In this specification, a computer-readable recording medium includes a non-transitory tangible medium (non-transitory computer recording medium) (for example, various main storage devices or auxiliary storage devices) and a propagation signal (transitory computer recording medium). (E.g., a data signal that can be provided over a network).

本開示に係る熱処理装置、熱処理方法及びコンピュータ読み取り可能な記録媒体によれば、基板の処理時間の短縮化と基板処理の低コスト化との両立を図ることが可能となる。   According to the heat treatment apparatus, the heat treatment method, and the computer-readable recording medium according to the present disclosure, it is possible to achieve both reduction in substrate processing time and cost reduction in substrate processing.

図1は、基板処理システムを示す斜視図である。FIG. 1 is a perspective view showing a substrate processing system. 図2は、図1のII−II線断面図である。2 is a cross-sectional view taken along line II-II in FIG. 図3は、図2のIII−III線断面図である。3 is a cross-sectional view taken along line III-III in FIG. 図4は、熱処理ユニットを側方から見た断面図である。FIG. 4 is a cross-sectional view of the heat treatment unit as viewed from the side. 図5は、熱処理ユニットを上方から見た断面図である。FIG. 5 is a cross-sectional view of the heat treatment unit as viewed from above. 図6(a)は冷却板を上方から見た図であり、図6(b)は図6(a)のA−A線断面図である。6A is a view of the cooling plate as viewed from above, and FIG. 6B is a cross-sectional view taken along the line AA of FIG. 6A. 図7は、熱処理ユニット及びコントローラを示すブロック図である。FIG. 7 is a block diagram showing the heat treatment unit and the controller. 図8は、コントローラのハードウェア構成を示す概略図である。FIG. 8 is a schematic diagram illustrating a hardware configuration of the controller. 図9は、ウエハの加熱処理を説明するためのフローチャートである。FIG. 9 is a flowchart for explaining the wafer heating process. 図10は、ウエハの加熱処理の一過程を示す図である。FIG. 10 is a diagram illustrating a process of heating the wafer. 図11は、ウエハの加熱処理の一過程を示す図である。FIG. 11 is a diagram illustrating one process of wafer heat treatment. 図12は、ウエハの加熱処理の一過程を示す図である。FIG. 12 is a diagram showing a process of wafer heat treatment. 図13は、熱処理時間とウエハ温度との関係の一例を示すグラフである。FIG. 13 is a graph showing an example of the relationship between the heat treatment time and the wafer temperature. 図14は、シリコンの光吸収特性を示すグラフである。FIG. 14 is a graph showing the light absorption characteristics of silicon.

以下に説明される本開示に係る実施形態は本発明を説明するための例示であるので、本発明は以下の内容に限定されるべきではない。以下の説明において、同一要素又は同一機能を有する要素には同一符号を用いることとし、重複する説明は省略する。   Since the embodiment according to the present disclosure described below is an example for explaining the present invention, the present invention should not be limited to the following contents. In the following description, the same reference numerals are used for the same elements or elements having the same function, and redundant description is omitted.

[基板処理システム]
図1に示されるように、基板処理システム1(基板処理装置)は、塗布現像装置2(基板処理装置)と、露光装置3と、コントローラ10(制御部;熱処理装置)とを備える。露光装置3は、ウエハW(基板)の表面に形成されたレジスト膜(感光性被膜)の露光処理(パターン露光)を行う。具体的には、液浸露光等の方法によりレジスト膜の露光対象部分に選択的にエネルギー線を照射する。エネルギー線としては、例えばArFエキシマレーザー、KrFエキシマレーザー、g線、i線、又は極端紫外線(EUV:Extreme Ultraviolet)が挙げられる。
[Substrate processing system]
As shown in FIG. 1, a substrate processing system 1 (substrate processing apparatus) includes a coating and developing apparatus 2 (substrate processing apparatus), an exposure apparatus 3, and a controller 10 (control unit; heat treatment apparatus). The exposure apparatus 3 performs an exposure process (pattern exposure) of a resist film (photosensitive film) formed on the surface of the wafer W (substrate). Specifically, the exposure target portion of the resist film is selectively irradiated with energy rays by a method such as immersion exposure. Examples of the energy rays include ArF excimer laser, KrF excimer laser, g-line, i-line, and extreme ultraviolet (EUV).

塗布現像装置2は、露光装置3による露光処理の前に、ウエハWの表面にレジスト膜等の塗布膜を形成する処理を行い、露光処理後にレジスト膜の現像処理を行う。ウエハWは、円板状を呈してもよいし、円形の一部が切り欠かれていてもよいし、多角形など円形以外の形状を呈していてもよい。ウエハWは、例えば、半導体基板、ガラス基板、マスク基板、FPD(Flat Panel Display)基板その他の各種基板であってもよい。ウエハWの直径は、例えば200mm〜450mm程度であってもよい。ウエハWの材料は、例えばシリコンであってもよい。なお、シリコンは、図14に示されるように、幅広い温度域において1.2μm程度以下の波長の光に対して0.5〜0.6程度の光吸収率を有する。   The coating and developing apparatus 2 performs a process of forming a coating film such as a resist film on the surface of the wafer W before the exposure process by the exposure apparatus 3, and performs a developing process of the resist film after the exposure process. The wafer W may have a disk shape, a part of a circle may be cut off, or may have a shape other than a circle such as a polygon. The wafer W may be, for example, a semiconductor substrate, a glass substrate, a mask substrate, an FPD (Flat Panel Display) substrate, or other various substrates. The diameter of the wafer W may be about 200 mm to 450 mm, for example. The material of the wafer W may be silicon, for example. Note that, as shown in FIG. 14, silicon has a light absorption rate of about 0.5 to 0.6 with respect to light having a wavelength of about 1.2 μm or less in a wide temperature range.

図1〜図3に示されるように、塗布現像装置2は、キャリアブロック4と、処理ブロック5と、インターフェースブロック6とを備える。キャリアブロック4、処理ブロック5及びインターフェースブロック6は、水平方向に並んでいる。   As shown in FIGS. 1 to 3, the coating and developing apparatus 2 includes a carrier block 4, a processing block 5, and an interface block 6. The carrier block 4, the processing block 5, and the interface block 6 are arranged in the horizontal direction.

キャリアブロック4は、図1及び図3に示されるように、キャリアステーション12と、搬入搬出部13とを有する。キャリアステーション12は複数のキャリア11を支持する。キャリア11は、少なくとも一つのウエハWを密封状態で収容する。キャリア11の側面11aには、ウエハWを出し入れするための開閉扉(図示せず)が設けられている。キャリア11は、側面11aが搬入搬出部13側に面するように、キャリアステーション12上に着脱自在に設置される。   As shown in FIGS. 1 and 3, the carrier block 4 includes a carrier station 12 and a carry-in / carry-out unit 13. The carrier station 12 supports a plurality of carriers 11. The carrier 11 accommodates at least one wafer W in a sealed state. On the side surface 11a of the carrier 11, an opening / closing door (not shown) for taking in and out the wafer W is provided. The carrier 11 is detachably installed on the carrier station 12 so that the side surface 11a faces the loading / unloading unit 13 side.

搬入搬出部13は、キャリアステーション12及び処理ブロック5の間に位置している。搬入搬出部13は、複数の開閉扉13aを有する。キャリアステーション12上にキャリア11が載置される際には、キャリア11の開閉扉が開閉扉13aに面した状態とされる。開閉扉13a及び側面11aの開閉扉を同時に開放することで、キャリア11内と搬入搬出部13内とが連通する。搬入搬出部13は、受け渡しアームA1を内蔵している。受け渡しアームA1は、キャリア11からウエハWを取り出して処理ブロック5に渡し、処理ブロック5からウエハWを受け取ってキャリア11内に戻す。   The carry-in / carry-out unit 13 is located between the carrier station 12 and the processing block 5. The carry-in / carry-out unit 13 includes a plurality of opening / closing doors 13a. When the carrier 11 is placed on the carrier station 12, the opening / closing door of the carrier 11 faces the opening / closing door 13a. By opening the open / close door 13a and the open / close door on the side surface 11a at the same time, the inside of the carrier 11 and the inside of the carry-in / out unit 13 are communicated. The carry-in / carry-out unit 13 incorporates a delivery arm A1. The transfer arm A1 takes out the wafer W from the carrier 11 and transfers it to the processing block 5, receives the wafer W from the processing block 5, and returns it to the carrier 11.

処理ブロック5は、図1及び図2に示されるように、BCTモジュール14と、HMCTモジュール15と、COTモジュール16と、DEVモジュール17とを有する。BCTモジュール14は下層膜形成モジュールである。HMCTモジュール15は中間膜(ハードマスク)形成モジュールである。COTモジュール16はレジスト膜形成モジュールである。DEVモジュール17は現像処理モジュールである。これらのモジュールは、床面側からDEVモジュール17、BCTモジュール14、HMCTモジュール15、COTモジュール16の順に並んでいる。   As illustrated in FIGS. 1 and 2, the processing block 5 includes a BCT module 14, an HMCT module 15, a COT module 16, and a DEV module 17. The BCT module 14 is a lower layer film forming module. The HMCT module 15 is an intermediate film (hard mask) forming module. The COT module 16 is a resist film forming module. The DEV module 17 is a development processing module. These modules are arranged in the order of the DEV module 17, the BCT module 14, the HMCT module 15, and the COT module 16 from the floor side.

BCTモジュール14は、ウエハWの表面上に下層膜を形成するように構成されている。BCTモジュール14は、複数の塗布ユニット(図示せず)と、複数の熱処理ユニット(図示せず)と、これらのユニットにウエハWを搬送する搬送アームA2(図2参照)とを内蔵している。塗布ユニットは、下層膜形成用の塗布液をウエハWの表面に塗布して塗布膜を形成するように構成されている。熱処理ユニットは、例えば熱板によりウエハWを加熱し、加熱後のウエハWを例えば冷却板により冷却して熱処理を行うように構成されている。BCTモジュール14において行われる熱処理の具体例としては、塗布膜を硬化させて下層膜とするための加熱処理が挙げられる。下層膜としては、例えば、反射防止(SiARC)膜が挙げられる。   The BCT module 14 is configured to form a lower layer film on the surface of the wafer W. The BCT module 14 incorporates a plurality of coating units (not shown), a plurality of heat treatment units (not shown), and a transfer arm A2 (see FIG. 2) for transferring the wafer W to these units. . The coating unit is configured to form a coating film by coating a coating solution for forming a lower layer film on the surface of the wafer W. The heat treatment unit is configured to heat the wafer W by, for example, a hot plate, and perform heat treatment by cooling the heated wafer W by, for example, a cooling plate. A specific example of the heat treatment performed in the BCT module 14 is a heat treatment for curing the coating film to form a lower layer film. Examples of the lower layer film include an antireflection (SiARC) film.

HMCTモジュール15は、下層膜上に中間膜R(図4参照)を形成するように構成されている。HMCTモジュール15は、図2及び図3に示されるように、複数の塗布ユニットU1と、複数の熱処理ユニットU2(熱処理装置)と、これらのユニットにウエハWを搬送する搬送アームA3とを内蔵している。塗布ユニットU1は、中間膜形成用の塗布液をウエハWの表面に塗布して塗布膜を形成するように構成されている。熱処理ユニットU2は、例えば熱板によりウエハWを加熱し、加熱後のウエハWを例えば冷却板により冷却して熱処理を行うように構成されている。HMCTモジュール15において行われる熱処理の具体例としては、塗布膜を硬化させて中間膜Rとするための加熱処理が挙げられる。中間膜としては、例えば、SOC(Spin On Carbon)膜、アモルファスカーボン膜が挙げられる。熱処理ユニットU2の詳細については後述する。   The HMCT module 15 is configured to form an intermediate film R (see FIG. 4) on the lower layer film. As shown in FIGS. 2 and 3, the HMCT module 15 includes a plurality of coating units U1, a plurality of heat treatment units U2 (heat treatment apparatus), and a transfer arm A3 for transferring the wafer W to these units. ing. The coating unit U1 is configured to apply a coating liquid for forming an intermediate film on the surface of the wafer W to form a coating film. The heat treatment unit U2 is configured to heat the wafer W by, for example, a hot plate, and to perform the heat treatment by cooling the heated wafer W by, for example, a cooling plate. A specific example of the heat treatment performed in the HMCT module 15 is a heat treatment for curing the coating film to form the intermediate film R. Examples of the intermediate film include an SOC (Spin On Carbon) film and an amorphous carbon film. Details of the heat treatment unit U2 will be described later.

COTモジュール16は、中間膜R上に熱硬化性且つ感光性のレジスト膜を形成するように構成されている。COTモジュール16は、複数の塗布ユニット(図示せず)と、複数の熱処理ユニット(図示せず)と、これらのユニットにウエハWを搬送する搬送アームA4とを内蔵している。塗布ユニットは、レジスト膜形成用の処理液(レジスト剤)を中間膜Rの上に塗布して塗布膜を形成するように構成されている。熱処理ユニットU2は、例えば熱板によりウエハWを加熱し、加熱後のウエハWを例えば冷却板により冷却して熱処理を行うように構成されている。COTモジュール16において行われる熱処理の具体例としては、塗布膜を硬化させてレジスト膜とするための加熱処理(PAB:Pre Applied Bake)が挙げられる。   The COT module 16 is configured to form a thermosetting and photosensitive resist film on the intermediate film R. The COT module 16 includes a plurality of coating units (not shown), a plurality of heat treatment units (not shown), and a transfer arm A4 that transfers the wafer W to these units. The coating unit is configured to apply a processing liquid (resist agent) for forming a resist film on the intermediate film R to form a coating film. The heat treatment unit U2 is configured to heat the wafer W by, for example, a hot plate, and to perform the heat treatment by cooling the heated wafer W by, for example, a cooling plate. Specific examples of the heat treatment performed in the COT module 16 include a heat treatment (PAB: Pre Applied Bake) for curing the coating film to form a resist film.

DEVモジュール17は、露光されたレジスト膜の現像処理を行うように構成されている。DEVモジュール17は、複数の現像ユニット(図示せず)と、複数の熱処理ユニット(図示せず)と、これらのユニットにウエハWを搬送する搬送アームA5と、これらのユニットを経ずにウエハWを搬送する直接搬送アームA6とを内蔵している。現像ユニットは、レジスト膜を部分的に除去してレジストパターンを形成するように構成されている。熱処理ユニットは、例えば熱板によりウエハWを加熱し、加熱後のウエハWを例えば冷却板により冷却して熱処理を行うように構成されている。DEVモジュール17において行われる熱処理の具体例としては、現像処理前の加熱処理(PEB:Post Exposure Bake)、現像処理後の加熱処理(PB:Post Bake)等が挙げられる。   The DEV module 17 is configured to perform development processing of the exposed resist film. The DEV module 17 includes a plurality of developing units (not shown), a plurality of heat treatment units (not shown), a transfer arm A5 that transfers the wafer W to these units, and the wafer W without passing through these units. And a direct transfer arm A6 for transferring the. The developing unit is configured to form a resist pattern by partially removing the resist film. The heat treatment unit is configured to heat the wafer W by, for example, a hot plate, and perform heat treatment by cooling the heated wafer W by, for example, a cooling plate. Specific examples of the heat treatment performed in the DEV module 17 include a heat treatment before development processing (PEB: Post Exposure Bake), a heat treatment after development processing (PB: Post Bake), and the like.

処理ブロック5内におけるキャリアブロック4側には、図2及び図3に示されるように、棚ユニットU10が設けられている。棚ユニットU10は、床面からHMCTモジュール15にわたって設けられており、上下方向に並ぶ複数のセルに区画されている。棚ユニットU10の近傍には昇降アームA7が設けられている。昇降アームA7は、棚ユニットU10のセル同士の間でウエハWを昇降させる。   As shown in FIGS. 2 and 3, a shelf unit U <b> 10 is provided on the carrier block 4 side in the processing block 5. The shelf unit U10 is provided from the floor surface to the HMCT module 15, and is partitioned into a plurality of cells arranged in the vertical direction. An elevating arm A7 is provided in the vicinity of the shelf unit U10. The raising / lowering arm A7 raises / lowers the wafer W between the cells of the shelf unit U10.

処理ブロック5内におけるインターフェースブロック6側には、棚ユニットU11が設けられている。棚ユニットU11は床面からDEVモジュール17の上部にわたって設けられており、上下方向に並ぶ複数のセルに区画されている。   A shelf unit U11 is provided on the interface block 6 side in the processing block 5. The shelf unit U11 is provided from the floor to the top of the DEV module 17, and is partitioned into a plurality of cells arranged in the vertical direction.

インターフェースブロック6は、受け渡しアームA8を内蔵しており、露光装置3に接続される。受け渡しアームA8は、棚ユニットU11のウエハWを取り出して露光装置3に渡し、露光装置3からウエハWを受け取って棚ユニットU11に戻すように構成されている。   The interface block 6 incorporates a delivery arm A8 and is connected to the exposure apparatus 3. The delivery arm A8 is configured to take out the wafer W of the shelf unit U11 and deliver it to the exposure apparatus 3, and to receive the wafer W from the exposure apparatus 3 and return it to the shelf unit U11.

コントローラ10は、基板処理システム1を部分的又は全体的に制御する。コントローラ10の詳細については後述する。   The controller 10 controls the substrate processing system 1 partially or entirely. Details of the controller 10 will be described later.

[熱処理ユニットの構成]
次に、熱処理ユニットU2の構成について、図4〜図6を参照してさらに詳しく説明する。なお、本明細書ではHMCTモジュール15の熱処理ユニットU2の構成を説明しているが、BCTモジュール14、COTモジュール16及びDEVモジュール17の熱処理ユニットの構成も熱処理ユニットU2と同等である。
[Configuration of heat treatment unit]
Next, the configuration of the heat treatment unit U2 will be described in more detail with reference to FIGS. In this specification, the configuration of the heat treatment unit U2 of the HMCT module 15 is described, but the configurations of the heat treatment units of the BCT module 14, the COT module 16, and the DEV module 17 are also equivalent to the heat treatment unit U2.

熱処理ユニットU2は、図4及び図5に示されるように、筐体100内に、ウエハWを加熱する加熱部110と、ウエハWを冷却する冷却部120とを有する。筐体100のうち冷却部120に対応する部分の両側壁には、ウエハWを筐体100の内部に搬入すると共にウエハWを筐体100外へと搬出するための搬入出口101が形成されている。   As shown in FIGS. 4 and 5, the heat treatment unit U <b> 2 includes a heating unit 110 that heats the wafer W and a cooling unit 120 that cools the wafer W in the housing 100. A loading / unloading port 101 for carrying the wafer W into the housing 100 and carrying the wafer W out of the housing 100 is formed on both side walls of the portion corresponding to the cooling unit 120 in the housing 100. Yes.

加熱部110は、収容筐体111と、冷却板112と、加熱光源113と、昇降機構114(駆動部)と、昇降機構115と、ガス供給部116(供給部)とを有する。収容筐体111は、ウエハWを出し入れ可能に構成されている。収容筐体111は、基部111aと、蓋部111bとを有する。基部111aは、例えば円筒状を呈しており、筐体100の底壁上に立設されている。基部111aの側壁には、収容筐体111内にガスを供給するための供給孔111cが設けられている。供給孔111cには収容筐体111外に延びる配管D1が接続されており、配管D1には上流側から順にガス源116a、ポンプP及びバルブV1が配置されている(詳しくは後述する。)。   The heating unit 110 includes a housing case 111, a cooling plate 112, a heating light source 113, an elevating mechanism 114 (drive unit), an elevating mechanism 115, and a gas supply unit 116 (supply unit). The housing case 111 is configured to allow the wafer W to be taken in and out. The housing 111 has a base 111a and a lid 111b. The base 111 a has a cylindrical shape, for example, and is erected on the bottom wall of the housing 100. A supply hole 111 c for supplying gas into the housing case 111 is provided on the side wall of the base 111 a. A pipe D1 extending outside the housing case 111 is connected to the supply hole 111c, and a gas source 116a, a pump P, and a valve V1 are arranged in this order from the upstream side (details will be described later).

蓋部111bは、例えば有底円筒状を呈している。蓋部111bは、その開放端側が基部111aの上端側と対向するように配置されている。蓋部111bの天壁には、蓋部111b内のガスを排気するための排気孔111dが設けられている。排気孔111dには収容筐体111外に延びる配管D2が接続されており、配管D2上にはバルブV2が配置されている。   The lid portion 111b has, for example, a bottomed cylindrical shape. The lid portion 111b is arranged so that the open end side thereof faces the upper end side of the base portion 111a. An exhaust hole 111d for exhausting the gas in the lid 111b is provided in the top wall of the lid 111b. A pipe D2 extending outside the housing case 111 is connected to the exhaust hole 111d, and a valve V2 is disposed on the pipe D2.

冷却板112は、図6に示されるように、円形状を呈する平板である。冷却板112は、基部111aの上端部において保持されている。冷却板112の外形は、ウエハWの外形よりも大きい。冷却板112は、本実施形態において、後述する加熱光源113から放射される光に対して透過性を有する材料で構成されている。冷却板112は、例えば、石英ガラス、サファイア等で構成されていてもよい。石英ガラスは、0.16μm〜3μm程度の波長の光を透過可能な性質を有する。サファイアは、0.17μm〜6.5μm程度の波長の光を透過可能な性質を有する。   As shown in FIG. 6, the cooling plate 112 is a flat plate having a circular shape. The cooling plate 112 is held at the upper end of the base 111a. The outer shape of the cooling plate 112 is larger than the outer shape of the wafer W. In this embodiment, the cooling plate 112 is made of a material that is transmissive to light emitted from a heating light source 113 to be described later. The cooling plate 112 may be made of, for example, quartz glass, sapphire, or the like. Quartz glass has a property of transmitting light having a wavelength of about 0.16 μm to 3 μm. Sapphire has a property of transmitting light having a wavelength of about 0.17 μm to 6.5 μm.

冷却板112には、複数の貫通孔112a,112bと、複数の流路112cとが形成されている。貫通孔112a,112bはいずれも、冷却板112の厚さ方向に貫通して延びている。複数の貫通孔112aはそれぞれ、後述する昇降ピン114bに対応する位置に設けられており、昇降ピン114bの外形よりもやや大きい。   A plurality of through holes 112a and 112b and a plurality of flow paths 112c are formed in the cooling plate 112. Each of the through holes 112a and 112b extends through the cooling plate 112 in the thickness direction. Each of the plurality of through holes 112a is provided at a position corresponding to an elevating pin 114b described later, and is slightly larger than the outer shape of the elevating pin 114b.

複数の貫通孔112bは、所定の第1の方向(図6(a)において左右方向)に沿って複数の列を形成するよう、第1の方向と交差する第2の方向(図6(a)において上下方向)に沿って並んでいる。換言すれば、一つの列を構成する複数の貫通孔112bは、第2の方向(図6(a)において上下方向)に沿って並んでいる。各列は、第1の方向(図6(a)において左右方向)に沿って並んでいる。貫通孔112bは、ガスが流通可能な大きさであればよく、貫通孔112aよりも小さくてもよい。   The plurality of through holes 112b are formed in a second direction (FIG. 6A) that intersects the first direction so as to form a plurality of rows along a predetermined first direction (left-right direction in FIG. 6A). ) In the vertical direction). In other words, the plurality of through holes 112b constituting one row are arranged along the second direction (vertical direction in FIG. 6A). Each row is arranged along the first direction (left-right direction in FIG. 6A). The through hole 112b may be any size as long as gas can flow therethrough, and may be smaller than the through hole 112a.

複数の流路112c内には冷媒が流通可能である。すなわち、冷却板112は、複数の流路112c内に冷媒が流れることにより、冷却板112の近傍に位置するウエハWを冷却可能に構成されている。複数の流路112cはそれぞれ、第2の方向に沿って延びている。複数の流路112cは、第1の方向に沿って並んでいる。複数の流路112cは、複数の貫通孔112bが構成する各列の間に位置している。   A refrigerant can flow in the plurality of flow paths 112c. That is, the cooling plate 112 is configured to be able to cool the wafer W located in the vicinity of the cooling plate 112 by allowing the coolant to flow in the plurality of flow paths 112c. Each of the plurality of flow paths 112c extends along the second direction. The plurality of flow paths 112c are arranged along the first direction. The plurality of flow paths 112c are located between the rows formed by the plurality of through holes 112b.

各流路112cの断面積は、本実施形態において、第1の方向において冷却板112の中央部寄りに位置する流路112cほど大きく、第1の方向において冷却板112の周縁部寄りに位置する流路112cほど小さくなるように設定されている。すなわち、複数の流路112cのうち冷却板112の中央部寄りに位置する流路112cにおける冷媒の流量は、複数の流路112cのうち冷却板112の周縁部寄りに位置する流路112cにおける冷媒の流量よりも大きくなるように設定されている。各流路112cにおける冷媒の流通方向は、図6(a)に示されるように、隣り合う流路112cにおいて逆向きであってもよい。冷媒は、冷却板112を冷却することができれば特に限定されず、例えば、水、空気等であってもよい。冷却板112の設定温度は、ウエハWの表面に形成される塗布膜が酸素雰囲気下で酸化しないか酸化がほとんど進行しない温度以下であってもよい。   In this embodiment, the cross-sectional area of each flow path 112c is larger as the flow path 112c is located near the center of the cooling plate 112 in the first direction, and is located near the peripheral edge of the cooling plate 112 in the first direction. The channel 112c is set to be smaller. That is, the flow rate of the refrigerant in the flow path 112c located near the center of the cooling plate 112 among the plurality of flow paths 112c is the refrigerant in the flow path 112c located near the peripheral edge of the cooling plate 112 among the multiple flow paths 112c. It is set to be larger than the flow rate. As shown in FIG. 6A, the flow direction of the refrigerant in each flow path 112c may be reversed in the adjacent flow paths 112c. The refrigerant is not particularly limited as long as the cooling plate 112 can be cooled, and may be water, air, or the like, for example. The set temperature of the cooling plate 112 may be equal to or lower than a temperature at which the coating film formed on the surface of the wafer W does not oxidize in an oxygen atmosphere or hardly oxidizes.

加熱光源113は、基部111a及び冷却板112で囲まれる空間内に配置されている。すなわち、本実施形態において、加熱光源113は、冷却板112の一方の主面側(冷却板112の下方)に位置している。加熱光源113は、ウエハWに輻射熱を付与するように構成されている。具体的には、加熱光源113は、輻射熱により対象物を例えば400℃〜1000℃程度に加熱可能な光源である。   The heating light source 113 is disposed in a space surrounded by the base 111 a and the cooling plate 112. That is, in the present embodiment, the heating light source 113 is located on one main surface side of the cooling plate 112 (below the cooling plate 112). The heating light source 113 is configured to apply radiant heat to the wafer W. Specifically, the heating light source 113 is a light source capable of heating an object to, for example, about 400 ° C. to 1000 ° C. by radiant heat.

加熱光源113としては、例えば、LED素子、レーザ光素子、ハロゲンランプ等が挙げられる。LED素子としては、例えば、GaN(放射波長360nm〜520nm程度)、GaAs(放射波長950nm〜970nm程度)、GaAlAs(放射波長880nm程度)等を用いてもよい。図14に示されるように、これらのLED素子からの出射光に対してシリコンは0.5〜0.6程度の吸収率を有するので、ウエハWがシリコン製の場合にはウエハWを効率よく加熱することができる。また、冷却板112が石英ガラス又はサファイアで構成されている場合には、これらのLED素子からの出射光は、冷却板112を透過可能である。   Examples of the heating light source 113 include an LED element, a laser light element, and a halogen lamp. As the LED element, for example, GaN (radiation wavelength of about 360 nm to 520 nm), GaAs (radiation wavelength of about 950 nm to 970 nm), GaAlAs (radiation wavelength of about 880 nm) or the like may be used. As shown in FIG. 14, since silicon has an absorptance of about 0.5 to 0.6 with respect to the light emitted from these LED elements, when the wafer W is made of silicon, the wafer W is efficiently processed. Can be heated. Further, when the cooling plate 112 is made of quartz glass or sapphire, the emitted light from these LED elements can pass through the cooling plate 112.

昇降機構114は、モータ114aと、3つの昇降ピン114bとを有する。モータ114aは、筐体100外に配置されており、昇降ピン114bを上下動させる。昇降ピン114bは、基部111a及び冷却板112で囲まれる空間内に配置されている。すなわち、本実施形態において、昇降ピン114bは、冷却板112の一方の主面側(冷却板112の下方)に位置している。昇降ピン114bはそれぞれ、対応する貫通孔112b内に挿通可能である。昇降ピン114bの先端が冷却板112よりも上方に突出している場合、昇降ピン114bの先端上にウエハWを載置可能である。昇降ピン114bの先端上に載置されたウエハWは、昇降ピン114bの上下動に伴い昇降する。すなわち、昇降機構114は、ウエハWを冷却板112に対して近接及び離間可能に構成されている。換言すれば、昇降機構114は、ウエハWが冷却板112から離間した離間位置とウエハWが冷却板112に近接した近接位置との間でウエハWを昇降可能に構成されている。   The elevating mechanism 114 includes a motor 114a and three elevating pins 114b. The motor 114a is disposed outside the housing 100 and moves the elevating pin 114b up and down. The raising / lowering pin 114b is arrange | positioned in the space enclosed by the base 111a and the cooling plate 112. FIG. That is, in the present embodiment, the elevating pins 114b are located on one main surface side of the cooling plate 112 (below the cooling plate 112). The elevating pins 114b can be inserted into the corresponding through holes 112b. When the tips of the lift pins 114b protrude above the cooling plate 112, the wafer W can be placed on the tips of the lift pins 114b. The wafer W placed on the tip of the lift pins 114b moves up and down as the lift pins 114b move up and down. That is, the elevating mechanism 114 is configured to be able to approach and separate the wafer W from the cooling plate 112. In other words, the elevating mechanism 114 is configured to be able to raise and lower the wafer W between a separation position where the wafer W is separated from the cooling plate 112 and a proximity position where the wafer W is close to the cooling plate 112.

昇降機構115は、モータ115aと、昇降ピン115bとを有する。モータ115aは、筐体100外に配置されており、昇降ピン115bを上下動させる。昇降ピン115bは、筐体100内においてモータ115aと蓋部111bとを接続している。そのため、昇降機構115は、蓋部111bを基部111aに対して近接及び離間可能に構成されている。換言すれば、昇降機構115は、蓋部111bが基部111aから離間した離間位置と蓋部111bが基部111aに載置された載置位置との間で蓋部111bを昇降可能に構成されている。蓋部111bが離間位置にある場合、ウエハWを収容筐体111内に出し入れ可能である。蓋部111bが載置位置にある場合、蓋部111bと冷却板112とは、これらによって囲まれた処理空間117を構成する。   The elevating mechanism 115 includes a motor 115a and elevating pins 115b. The motor 115a is disposed outside the housing 100 and moves the elevating pin 115b up and down. The elevating pins 115b connect the motor 115a and the lid portion 111b in the housing 100. Therefore, the elevating mechanism 115 is configured to be able to approach and separate the lid 111b from the base 111a. In other words, the elevating mechanism 115 is configured to be able to raise and lower the lid portion 111b between a separated position where the lid portion 111b is separated from the base portion 111a and a placement position where the lid portion 111b is placed on the base portion 111a. . When the lid 111 b is in the separated position, the wafer W can be taken in and out of the housing case 111. When the lid portion 111b is at the placement position, the lid portion 111b and the cooling plate 112 constitute a processing space 117 surrounded by them.

ガス供給部116は、収容筐体111内に不活性ガスを供給可能に構成されている。具体的には、ガス供給部116は、ガス源116aと、ポンプPと、バルブV1と、配管D1とを有する。ガス源116aは、不活性ガス(例えば、窒素)の供給源として機能する。ポンプPは、ガス源116aから不活性ガスを吸引し、配管D1及びバルブV1を介して収容筐体111内(基部111a内)に送り出す。   The gas supply unit 116 is configured to be able to supply an inert gas into the housing case 111. Specifically, the gas supply unit 116 includes a gas source 116a, a pump P, a valve V1, and a pipe D1. The gas source 116a functions as a supply source of an inert gas (for example, nitrogen). The pump P sucks an inert gas from the gas source 116a and sends it out into the housing case 111 (inside the base 111a) via the pipe D1 and the valve V1.

冷却部120は、図4及び図5に示されるように、加熱部110に隣接して収容筐体111の外側に位置している。冷却部120は、載置されたウエハWを冷却する冷却板121(搬送アーム)を有する。冷却板121は、図5に示されるように、略円形状を呈する平板であり、ウエハWを移送可能に構成されている。冷却板121内には図示しない冷却機構(例えばペルチェ素子)が設けられており、冷却機構によって冷却板121の温度が所定温度(例えば室温程度)に保たれる。   As shown in FIGS. 4 and 5, the cooling unit 120 is located outside the housing case 111 adjacent to the heating unit 110. The cooling unit 120 includes a cooling plate 121 (transfer arm) that cools the mounted wafer W. As shown in FIG. 5, the cooling plate 121 is a flat plate having a substantially circular shape, and is configured to be able to transfer the wafer W. A cooling mechanism (for example, a Peltier element) (not shown) is provided in the cooling plate 121, and the temperature of the cooling plate 121 is maintained at a predetermined temperature (for example, about room temperature) by the cooling mechanism.

冷却板121は、加熱部110側に向かって延伸するレール122に取付けられている。冷却板121は、移動機構123により駆動され、レール122上を水平移動可能である。加熱部110側まで移動した冷却板121は、冷却板112の上方に位置する。そのため、冷却板121は、冷却板112に近づいた近接位置と冷却板112から離れた離間位置との間で移動可能である。   The cooling plate 121 is attached to a rail 122 extending toward the heating unit 110 side. The cooling plate 121 is driven by a moving mechanism 123 and can move horizontally on the rail 122. The cooling plate 121 moved to the heating unit 110 side is located above the cooling plate 112. Therefore, the cooling plate 121 can be moved between a proximity position approaching the cooling plate 112 and a separation position away from the cooling plate 112.

冷却板121には、図5に示されるように、レール122の延在方向に沿って延びる2本のスリット121aが形成されている。スリット121aは、冷却板121における加熱部110側の端部から冷却板121の中央部付近まで延びるように形成されている。スリット121aにより、加熱部110側に移動した冷却板121と冷却板112上に突出した昇降ピン114bとの干渉が防止される。そのため、冷却板121は、ウエハWを冷却板112に受け渡し且つウエハWを冷却板112から受け取ることが可能である。換言すれば、冷却板121は、収容筐体111に対してウエハWを搬入出可能に構成されている。   As shown in FIG. 5, the cooling plate 121 is formed with two slits 121 a extending along the extending direction of the rail 122. The slit 121 a is formed so as to extend from the end of the cooling plate 121 on the heating unit 110 side to the vicinity of the center of the cooling plate 121. The slit 121a prevents interference between the cooling plate 121 moved to the heating unit 110 side and the elevating pins 114b protruding on the cooling plate 112. Therefore, the cooling plate 121 can transfer the wafer W to the cooling plate 112 and receive the wafer W from the cooling plate 112. In other words, the cooling plate 121 is configured so that the wafer W can be carried in and out of the housing case 111.

図4に示されるように、冷却板121の下方には昇降機構125が配置されている。昇降機構125は、筐体100外に配置されたモータ125aと、モータ125aによって上下動する3つの昇降ピン125bとを有する。昇降ピン125bはそれぞれ、スリット121aを通過可能に構成されている。昇降ピン125bの先端が冷却板121よりも上方に突出している場合、昇降ピン125bの先端上にウエハWを載置可能である。昇降ピン125bの先端上に載置されたウエハWは、昇降ピン125bの上下動に伴い昇降する。   As shown in FIG. 4, an elevating mechanism 125 is disposed below the cooling plate 121. The elevating mechanism 125 includes a motor 125a disposed outside the housing 100 and three elevating pins 125b that move up and down by the motor 125a. Each of the elevating pins 125b is configured to be able to pass through the slit 121a. When the tip of the lift pins 125b protrudes above the cooling plate 121, the wafer W can be placed on the tip of the lift pins 125b. The wafer W placed on the tip of the lift pins 125b moves up and down as the lift pins 125b move up and down.

[コントローラの構成]
コントローラ10は、図7に示されるように、機能モジュールとして、読取部M1と、記憶部M2と、処理部M3と、指示部M4とを有する。これらの機能モジュールは、コントローラ10の機能を便宜上複数のモジュールに区切ったものに過ぎず、コントローラ10を構成するハードウェアがこのようなモジュールに分かれていることを必ずしも意味するものではない。各機能モジュールは、プログラムの実行により実現されるものに限られず、専用の電気回路(例えば論理回路)、又は、これを集積した集積回路(ASIC:Application Specific Integrated Circuit)により実現されるものであってもよい。
[Controller configuration]
As shown in FIG. 7, the controller 10 includes a reading unit M1, a storage unit M2, a processing unit M3, and an instruction unit M4 as functional modules. These functional modules are merely the functions of the controller 10 divided into a plurality of modules for convenience, and do not necessarily mean that the hardware constituting the controller 10 is divided into such modules. Each functional module is not limited to that realized by executing a program, but is realized by a dedicated electric circuit (for example, a logic circuit) or an integrated circuit (ASIC: Application Specific Integrated Circuit) in which this is integrated. May be.

読取部M1は、コンピュータ読み取り可能な記録媒体RMからプログラムを読み取る。記録媒体RMは、基板処理システム1の各部を動作させるためのプログラムを記録している。記録媒体RMとしては、例えば、半導体メモリ、光記録ディスク、磁気記録ディスク、光磁気記録ディスクであってもよい。   The reading unit M1 reads a program from a computer-readable recording medium RM. The recording medium RM records a program for operating each part of the substrate processing system 1. As the recording medium RM, for example, a semiconductor memory, an optical recording disk, a magnetic recording disk, or a magneto-optical recording disk may be used.

記憶部M2は、種々のデータを記憶する。記憶部M2は、例えば、読取部M1において記録媒体RMから読み取られたプログラム、加熱光源113によってウエハWを加熱する際の加熱設定温度、冷却板112,121によってウエハWを冷却する際の冷却設定温度等を記憶する。   The storage unit M2 stores various data. The storage unit M2 includes, for example, a program read from the recording medium RM by the reading unit M1, a heating setting temperature when the wafer W is heated by the heating light source 113, and a cooling setting when the wafer W is cooled by the cooling plates 112 and 121. Memorize temperature etc.

処理部M3は、各種データを処理する。処理部M3は、例えば、記憶部M2に記憶されている各種データに基づいて、基板処理システム1の各部(例えば、ポンプP、バルブV1,V2、加熱光源113、昇降機構114,115,125、移動機構123)を動作させるための信号を生成する。   The processing unit M3 processes various data. The processing unit M3, for example, based on various data stored in the storage unit M2, each part of the substrate processing system 1 (for example, pump P, valves V1, V2, heating light source 113, lifting mechanisms 114, 115, 125, A signal for operating the moving mechanism 123) is generated.

指示部M4は、処理部M3において生成された信号を基板処理システム1の各部(例えば、ポンプP、バルブV1,V2、加熱光源113、昇降機構114,115,125、移動機構123)に送信する。具体的には、指示部M4は、ポンプPにON/OFF信号を送信し、ガス源116aからの不活性ガスの供給及び停止を切り替える。指示部M4は、バルブV1,V2にON/OFF信号を送信し、バルブV1,V2の開放及び閉鎖を切り替える。指示部M4は、加熱光源113にON/OFF信号を送信し、加熱光源113の点灯及び消灯を切り替える。指示部M4は、昇降機構114に上昇信号又は下降信号を送信し、昇降ピン124bを昇降させる。指示部M4は、昇降機構115に上昇信号又は下降信号を送信し、蓋部111bを昇降させる。指示部M4は、昇降機構125に上昇信号又は下降信号を送信し、昇降ピン125bを昇降させる。指示部M4は、移動機構123に駆動信号を送信し、上方位置と離間位置との間で冷却板121をレール122に沿って水平移動させる。   The instruction unit M4 transmits the signal generated in the processing unit M3 to each unit (for example, the pump P, the valves V1 and V2, the heating light source 113, the elevating mechanisms 114, 115, 125, and the moving mechanism 123). . Specifically, the instruction unit M4 transmits an ON / OFF signal to the pump P, and switches between supply and stop of the inert gas from the gas source 116a. The instruction unit M4 transmits an ON / OFF signal to the valves V1 and V2, and switches between opening and closing of the valves V1 and V2. The instruction unit M4 transmits an ON / OFF signal to the heating light source 113 to switch the heating light source 113 on and off. The instruction unit M4 transmits an ascending signal or a descending signal to the elevating mechanism 114, and elevates the elevating pin 124b. The instruction unit M4 transmits an ascending signal or a descending signal to the elevating mechanism 115 and elevates the lid 111b. The instruction unit M4 transmits an ascending signal or a descending signal to the elevating mechanism 125, and elevates the elevating pin 125b. The instruction unit M4 transmits a drive signal to the moving mechanism 123, and horizontally moves the cooling plate 121 along the rail 122 between the upper position and the separated position.

コントローラ10のハードウェアは、例えば一つ又は複数の制御用のコンピュータにより構成される。コントローラ10は、ハードウェア上の構成として、例えば図8に示される回路10Aを有する。回路10Aは、電気回路要素(circuitry)で構成されていてもよい。回路10Aは、具体的には、プロセッサ10Bと、メモリ10Cと、ストレージ10Dと、ドライバ10Eと、入出力ポート10Fとを有する。プロセッサ10Bは、メモリ10C及びストレージ10Dの少なくとも一方と協働してプログラムを実行し、入出力ポート10Fを介した信号の入出力を実行することで、上述した各機能モジュールを構成する。ドライバ10Eは、基板処理システム1の各種装置をそれぞれ駆動する回路である。入出力ポート10Fは、ドライバ10Eと基板処理システム1の各種装置(例えば、ポンプP、バルブV1,V2、加熱光源113、昇降機構114,115,125、移動機構123)との間で、信号の入出力を行う。   The hardware of the controller 10 is configured by one or a plurality of control computers, for example. The controller 10 includes, for example, a circuit 10A illustrated in FIG. 8 as a hardware configuration. The circuit 10A may be composed of electric circuit elements (circuitry). Specifically, the circuit 10A includes a processor 10B, a memory 10C, a storage 10D, a driver 10E, and an input / output port 10F. The processor 10B executes the program in cooperation with at least one of the memory 10C and the storage 10D, and executes the input / output of signals through the input / output port 10F, thereby configuring each functional module described above. The driver 10 </ b> E is a circuit that drives various devices of the substrate processing system 1. The input / output port 10F is used for signal transmission between the driver 10E and various devices of the substrate processing system 1 (for example, pump P, valves V1 and V2, heating light source 113, elevating mechanisms 114, 115, and 125, moving mechanism 123). Perform input / output.

本実施形態では、基板処理システム1は、一つのコントローラ10を備えているが、複数のコントローラ10で構成されるコントローラ群(制御部)を備えていてもよい。基板処理システム1がコントローラ群を備えている場合には、上記の機能モジュールがそれぞれ、一つのコントローラ10によって実現されていてもよいし、2個以上のコントローラ10の組み合わせによって実現されていてもよい。コントローラ10が複数のコンピュータ(回路10A)で構成されている場合には、上記の機能モジュールがそれぞれ、一つのコンピュータ(回路10A)によって実現されていてもよいし、2つ以上のコンピュータ(回路10A)の組み合わせによって実現されていてもよい。コントローラ10は、複数のプロセッサ10Bを有していてもよい。この場合、上記の機能モジュールがそれぞれ、一つのプロセッサ10Bによって実現されていてもよいし、2つ以上のプロセッサ10Bの組み合わせによって実現されていてもよい。   In the present embodiment, the substrate processing system 1 includes one controller 10, but may include a controller group (control unit) including a plurality of controllers 10. When the substrate processing system 1 includes a controller group, each of the functional modules may be realized by a single controller 10 or may be realized by a combination of two or more controllers 10. . When the controller 10 is composed of a plurality of computers (circuit 10A), each of the above functional modules may be realized by one computer (circuit 10A), or two or more computers (circuit 10A). ) May be realized. The controller 10 may have a plurality of processors 10B. In this case, each of the functional modules may be realized by one processor 10B, or may be realized by a combination of two or more processors 10B.

[ウエハの熱処理方法]
続いて、図9〜図12を参照して、熱処理ユニットU2におけるウエハWの熱処理方法について説明する。なお、本明細書では、中間膜Rが表面に形成されたウエハWをHMCTモジュール15の熱処理ユニットU2により熱処理する方法を説明しているが、各種膜が表面に形成されたウエハWをBCTモジュール14、COTモジュール16及びDEVモジュール17において熱処理する方法も下記と同様である。
[Wafer heat treatment method]
Subsequently, a heat treatment method for the wafer W in the heat treatment unit U2 will be described with reference to FIGS. In this specification, the method of heat-treating the wafer W on which the intermediate film R is formed by the heat treatment unit U2 of the HMCT module 15 is described. However, the wafer W on which various films are formed on the surface is described as a BCT module. 14, the heat treatment method in the COT module 16 and the DEV module 17 is the same as the following.

まず、コントローラ10は、基板処理システム1の各部を制御して、表面に中間膜Rが形成されたウエハWを冷却板121に載置させる。次に、コントローラ10は、蓋部111bが離間位置に位置した状態で移動機構123を制御して、冷却板112に対する離間位置から近接位置へと冷却板121を移動させる(図10(a)参照)。次に、コントローラ10は、昇降機構114を制御して、昇降ピン114bを上昇させる。これにより、昇降ピン114bは、貫通孔112a及びスリット121aを通ってウエハWを保持し、その状態で冷却板112に対する離間位置にウエハWを位置させる。換言すれば、ウエハWは、冷却板112のうち他方の主面側(冷却板112の上方)において昇降ピン114bに保持される(第1の処理;第1の工程)。こうして、ウエハWが冷却板121から収容筐体111内に搬送される(ステップS11;図10(b)参照)。次に、コントローラ10は、移動機構123を制御して、冷却板112に対する近接位置から離間位置へと冷却板121を移動させる(同参照)。   First, the controller 10 controls each part of the substrate processing system 1 to place the wafer W having the intermediate film R formed on the surface thereof on the cooling plate 121. Next, the controller 10 controls the moving mechanism 123 in a state where the lid portion 111b is located at the separation position, and moves the cooling plate 121 from the separation position to the proximity position with respect to the cooling plate 112 (see FIG. 10A). ). Next, the controller 10 controls the elevating mechanism 114 to raise the elevating pin 114b. Thereby, the raising / lowering pins 114b hold | maintain the wafer W through the through-hole 112a and the slit 121a, and position the wafer W in the separation position with respect to the cooling plate 112 in the state. In other words, the wafer W is held by the lift pins 114b on the other main surface side (above the cooling plate 112) of the cooling plate 112 (first process; first step). Thus, the wafer W is transferred from the cooling plate 121 into the housing case 111 (step S11; see FIG. 10B). Next, the controller 10 controls the moving mechanism 123 to move the cooling plate 121 from the proximity position to the cooling plate 112 to the separation position (see the same).

次に、コントローラ10は、昇降機構115を制御して、昇降ピン115bを下降させる。これにより、昇降ピン115bは、基部111aに対する離間位置から近接位置へと蓋部111bを移動させる(図11(a)参照)。そのため、蓋部111bが基部111aに載置され、処理空間117が構成される。すなわち、収容筐体111は、昇降ピン114bによって保持されたウエハWを収容可能に構成されている。   Next, the controller 10 controls the elevating mechanism 115 to lower the elevating pin 115b. Thereby, the raising / lowering pin 115b moves the cover part 111b from the separation position with respect to the base part 111a to a proximity position (refer Fig.11 (a)). Therefore, the lid portion 111b is placed on the base portion 111a, and the processing space 117 is configured. That is, the housing case 111 is configured to be able to house the wafer W held by the lifting pins 114b.

次に、コントローラ10は、ポンプP及びバルブV1,V2を制御して、ポンプPを駆動させると共に、バルブV1,V2を開放させる。これにより、ガス源116aから不活性ガスが収容筐体111内(基部111a内)に供給される(ステップS12;第2の処理;第2の工程)。不活性ガスは、基部111a内に供給された後、貫通孔112bを介して処理空間117に供給され、配管D2を通じて排気される。従って、収容筐体111内は、不活性ガスによって満たされた状態となる。   Next, the controller 10 controls the pump P and the valves V1 and V2 to drive the pump P and open the valves V1 and V2. Thereby, the inert gas is supplied from the gas source 116a into the housing case 111 (inside the base 111a) (step S12; second processing; second step). The inert gas is supplied into the base 111a, then supplied to the processing space 117 through the through hole 112b, and exhausted through the pipe D2. Accordingly, the inside of the housing case 111 is filled with the inert gas.

次に、コントローラ10は、収容筐体111内が不活性ガスによって満たされた状態で加熱光源113を制御して、加熱光源113を点灯させる。これにより、加熱光源113によるウエハWの加熱が行われる(ステップS13;第3の処理;第3の工程)。加熱光源113によるウエハWの加熱時間は、中間膜Rの材料によって適切な時間に設定しうるが、例えば60秒〜600秒程度であってもよい。次に、ウエハWが所定温度に達したと判断すると、コントローラ10は、加熱光源113を制御して、加熱光源113を消灯させる(第4の処理;第4の工程)。   Next, the controller 10 controls the heating light source 113 to light the heating light source 113 in a state in which the housing case 111 is filled with the inert gas. Thereby, the wafer W is heated by the heating light source 113 (step S13; third processing; third step). The heating time of the wafer W by the heating light source 113 can be set to an appropriate time depending on the material of the intermediate film R, but may be, for example, about 60 seconds to 600 seconds. Next, when determining that the wafer W has reached a predetermined temperature, the controller 10 controls the heating light source 113 to turn off the heating light source 113 (fourth process; fourth process).

次に、コントローラ10は、昇降機構114を制御して、昇降ピン114bを下降させる。これにより、昇降ピン114bは、ウエハWを保持した状態でその状態で冷却板112に対する近接位置にウエハWを位置させる。これにより、冷却板112によるウエハWの冷却が行われる(図11(b);ステップS14;第5の処理;第5の工程)。冷却板121によるウエハWの冷却時間は、中間膜Rの材料によって適切な時間に設定しうるが、例えば10秒〜200秒程度であってもよい。   Next, the controller 10 controls the elevating mechanism 114 to lower the elevating pin 114b. Thus, the lift pins 114b hold the wafer W and place the wafer W at a position close to the cooling plate 112 in that state. Thereby, the wafer W is cooled by the cooling plate 112 (FIG. 11B; step S14; fifth process; fifth process). The cooling time of the wafer W by the cooling plate 121 can be set to an appropriate time depending on the material of the intermediate film R, but may be, for example, about 10 seconds to 200 seconds.

次に、コントローラ10は、ポンプP及びバルブV1,V2を制御して、ポンプPを停止させると共に、バルブV1,V2を閉鎖させる。これにより、不活性ガスの収容筐体111内(基部111a内)への供給が停止する(ステップS15)。次に、コントローラ10は、昇降機構115を制御して、昇降ピン115bを上昇させる。これにより、昇降ピン115bは、基部111aに対する近接位置から離間位置へと蓋部111bを移動させる(図12(a)参照)。次に、コントローラ10は、昇降機構114を制御して、昇降ピン114bを上昇させる(同参照)。次に、コントローラ10は、移動機構123を制御して、冷却板112に対する離間位置から近接位置へと冷却板121を移動させる(同参照)。   Next, the controller 10 controls the pump P and the valves V1 and V2 to stop the pump P and close the valves V1 and V2. Thereby, the supply of the inert gas into the housing case 111 (in the base 111a) is stopped (step S15). Next, the controller 10 controls the elevating mechanism 115 to raise the elevating pin 115b. Thereby, the raising / lowering pin 115b moves the cover part 111b from the proximity position with respect to the base part 111a to a separation position (refer Fig.12 (a)). Next, the controller 10 controls the elevating mechanism 114 to raise the elevating pin 114b (see the same). Next, the controller 10 controls the moving mechanism 123 to move the cooling plate 121 from the separation position to the proximity position with respect to the cooling plate 112 (see the same).

次に、コントローラ10は、昇降機構114を制御して、昇降ピン114bを下降させる。これにより、昇降ピン114bは、貫通孔112a及びスリット121aを通って冷却板112の下方に移動し、ウエハWを冷却板121に載置する(図12(b)参照)。次に、コントローラ10は、移動機構123を制御して、冷却板112に対する近接位置から離間位置へと冷却板121を移動させる(同参照)。こうして、ウエハWが冷却板121から収容筐体111外に搬出される(ステップS16;同参照)。   Next, the controller 10 controls the elevating mechanism 114 to lower the elevating pin 114b. Thereby, the raising / lowering pins 114b move below the cooling plate 112 through the through holes 112a and the slits 121a, and place the wafer W on the cooling plate 121 (see FIG. 12B). Next, the controller 10 controls the moving mechanism 123 to move the cooling plate 121 from the proximity position to the cooling plate 112 to the separation position (see the same). Thus, the wafer W is carried out of the housing case 111 from the cooling plate 121 (step S16; see the same).

[作用]
以上のような本実施形態では、加熱光源113がウエハWに輻射熱を付与しているので、冷却板112が加熱光源113によってほとんど加熱されない。そのため、コントローラ10が、ガス供給部116を制御して収容筐体111内に不活性ガスを供給させる第1の処理と、昇降機構114を制御してウエハWが冷却板112から離れた離間位置においてウエハWを保持させる第2の処理と、第1及び第2の処理の後に、加熱光源113を制御してウエハWを加熱させる第3の処理とを実行することで、ウエハWは、冷却板112から熱をほとんど奪われることなく、不活性ガス雰囲気で加熱される。一方、コントローラ10が、第3の処理の後に、加熱光源113を制御してウエハWの加熱を停止させる第4の処理と、第4の処理の後に、昇降機構114を制御してウエハWが冷却板112に近づく近接位置においてウエハWを保持させて、ウエハWを冷却板112により冷却する第5の処理とを実行することで、ウエハWは、不活性ガス雰囲気で効果的に冷却される。従って、ウエハWの表面に形成されている中間膜Rの酸化を抑制しつつ、ウエハWの熱処理時間を短縮化できる。また、加熱光源113によってウエハWを輻射熱によって加熱しているので、収容筐体111が高温になり難く、ウエハWを冷却板112によって特に効果的に冷却できる。具体的には、図13において実線で示されるように、ウエハWの処理時間t2が従来の処理時間t1よりも短く、冷却後のウエハWの温度T2も従来の温度T1よりも低くなる。加えて、少なくともウエハWを収容可能な大きさの収容筐体111内に不活性ガスを満たせばよいので、不活性ガスの使用量を低減できる。以上により、酸化しやすい膜をウエハWの表面に形成するにあたり、ウエハWの熱処理時間の短縮化と熱処理の低コスト化との両立を図ることが可能となる。
[Action]
In the present embodiment as described above, since the heating light source 113 applies radiant heat to the wafer W, the cooling plate 112 is hardly heated by the heating light source 113. Therefore, the controller 10 controls the gas supply unit 116 to supply the inert gas into the housing case 111 and the separation position where the wafer W is separated from the cooling plate 112 by controlling the lifting mechanism 114. The wafer W is cooled by executing the second process for holding the wafer W in step S3 and the third process for controlling the heating light source 113 to heat the wafer W after the first and second processes. The plate 112 is heated in an inert gas atmosphere with almost no heat removed. On the other hand, after the third process, the controller 10 controls the heating light source 113 to stop the heating of the wafer W, and after the fourth process, the controller 10 controls the lifting mechanism 114 to control the wafer W. The wafer W is effectively cooled in an inert gas atmosphere by holding the wafer W at a position close to the cooling plate 112 and performing the fifth process of cooling the wafer W by the cooling plate 112. . Therefore, the heat treatment time of the wafer W can be shortened while suppressing the oxidation of the intermediate film R formed on the surface of the wafer W. Further, since the wafer W is heated by radiant heat by the heating light source 113, the housing case 111 is unlikely to become high temperature, and the wafer W can be cooled particularly effectively by the cooling plate 112. Specifically, as indicated by a solid line in FIG. 13, the processing time t2 of the wafer W is shorter than the conventional processing time t1, and the temperature T2 of the cooled wafer W is also lower than the conventional temperature T1. In addition, since it is sufficient to fill the housing case 111 having a size that can accommodate at least the wafer W with the inert gas, the amount of the inert gas used can be reduced. As described above, in forming a film that easily oxidizes on the surface of the wafer W, it is possible to reduce both the heat treatment time of the wafer W and the cost of the heat treatment.

本実施形態では、冷却板121が、収容筐体111の外側に位置し、収容筐体111に対してウエハWを搬入出可能に構成されている。そのため、比較的大きな部材である冷却板121が収容筐体111を含む空間を不活性ガスで満たす必要がない。従って、不活性ガスの使用量をより低減することが可能となる。   In the present embodiment, the cooling plate 121 is positioned outside the housing case 111 so that the wafer W can be loaded into and unloaded from the housing case 111. Therefore, it is not necessary for the cooling plate 121, which is a relatively large member, to fill the space including the housing case 111 with an inert gas. Accordingly, it is possible to further reduce the amount of inert gas used.

本実施形態では、冷却板112が、加熱光源113から放射される光に対して透過性を有する材料で構成されている。また、加熱光源113は、冷却板112のうちの一方の主面側(冷却板112の下方)に位置しており、冷却板112のうちの他方の主面側(冷却板112の上方)において昇降機構114によって保持されるウエハWに輻射熱を付与するように構成されている。そのため、ウエハWと加熱光源113とが冷却板112によって隔てられている。加えて、不活性ガスは、基部111a側から貫通孔112bを通って蓋部111b側(処理空間117内)に流れるようになっている。従って、ウエハWの加熱によって生ずる異物等が加熱光源113に極めて付着し難い。従って、加熱光源113の清掃を省力化することが可能となる。   In the present embodiment, the cooling plate 112 is made of a material that is transmissive to the light emitted from the heating light source 113. Further, the heating light source 113 is located on one main surface side of the cooling plate 112 (below the cooling plate 112), and on the other main surface side of the cooling plate 112 (above the cooling plate 112). It is configured to apply radiant heat to the wafer W held by the elevating mechanism 114. Therefore, the wafer W and the heating light source 113 are separated by the cooling plate 112. In addition, the inert gas flows from the base portion 111a side to the lid portion 111b side (in the processing space 117) through the through hole 112b. Therefore, foreign matter or the like generated by heating the wafer W is extremely difficult to adhere to the heating light source 113. Therefore, it is possible to save labor for cleaning the heating light source 113.

本実施形態では、冷却板112の内部に、冷媒が流通する複数の流路112cが設けられており、複数の流路112cのうち冷却板112の中央部寄りに位置する流路112cにおける冷媒の流量は、複数の流路112cのうち冷却板112の周縁部寄りに位置する流路112cにおける冷媒の流量よりも大きくなるように設定されている。冷却板112の中央部ほど熱が逃げにくく外部との熱交換により温度が高くなりやすいので、上記の場合、冷却板112の面内における温度分布を均一化することが可能となる。   In the present embodiment, a plurality of flow paths 112c through which refrigerant flows are provided inside the cooling plate 112, and the refrigerant in the flow path 112c located near the center of the cooling plate 112 among the plurality of flow paths 112c. The flow rate is set to be larger than the flow rate of the refrigerant in the flow channel 112c located near the peripheral edge of the cooling plate 112 among the plurality of flow channels 112c. In the above case, the temperature distribution in the surface of the cooling plate 112 can be made uniform because heat is less likely to escape from the center of the cooling plate 112 and the temperature is likely to increase due to heat exchange with the outside.

[他の実施形態]
以上、本開示に係る実施形態について詳細に説明したが、本発明の要旨の範囲内で種々の変形を上記の実施形態に加えてもよい。例えば、加熱光源113は、蓋部111b側(処理空間117内)に配置されていてもよい。この場合、冷却板112は、加熱光源113から放射される光に対して透過性を有する材料で構成されていなくてもよい。
[Other Embodiments]
As mentioned above, although embodiment concerning this indication was described in detail, you may add various deformation | transformation to said embodiment within the range of the summary of this invention. For example, the heating light source 113 may be disposed on the lid 111b side (in the processing space 117). In this case, the cooling plate 112 may not be made of a material that is transmissive to the light emitted from the heating light source 113.

複数の流路112cのうち冷却板112の中央部寄りに位置する流路112cにおける冷媒の流量を、複数の流路112cのうち冷却板112の周縁部寄りに位置する流路112cにおける冷媒の流量よりも大きくなるように設定するための構成は、上記の実施形態の構成に限られない。例えば、複数の流路112cは同心円状に並んでおり、各流路112cの断面積が冷却板112の中心部寄りほど大きく周縁部寄りほど小さくなるように設定されていてもよい。あるいは、各流路112cの断面積がいずれも略一定の場合には、複数の流路112cのうち冷却板112の中央部寄りに位置する流路112cにおける冷媒の流速を、複数の流路112cのうち冷却板112の周縁部寄りに位置する流路112cにおける冷媒の流速よりも大きくなるように設定されていてもよい。流路112cの形状は、管状のみならず、溝状であってもよい。   Among the plurality of channels 112c, the flow rate of the refrigerant in the channel 112c located near the center of the cooling plate 112, and the flow rate of the refrigerant in the channel 112c located near the periphery of the cooling plate 112 among the plurality of channels 112c. The configuration for setting to be larger than the above is not limited to the configuration of the above embodiment. For example, the plurality of flow paths 112c may be arranged concentrically, and the cross-sectional area of each flow path 112c may be set so as to increase toward the center of the cooling plate 112 and decrease toward the periphery. Alternatively, when the cross-sectional area of each flow path 112c is substantially constant, the flow rate of the refrigerant in the flow path 112c located near the center of the cooling plate 112 among the plurality of flow paths 112c is set to the plurality of flow paths 112c. Among these, it may be set to be larger than the flow rate of the refrigerant in the flow path 112c located near the peripheral edge of the cooling plate 112. The shape of the flow path 112c is not limited to a tubular shape, and may be a groove shape.

1…基板処理システム(基板処理装置)、2…塗布現像装置(基板処理装置)、10…コントローラ(制御部)、110…加熱部、111…収容筐体、112…冷却板、112c…流路、113…加熱光源、114…昇降機構(駆動部)、116…ガス供給部(供給部)、121…冷却板(搬送アーム)、R…中間膜、RM…記録媒体、U2…熱処理ユニット(熱処理装置)、W…ウエハ(基板)。   DESCRIPTION OF SYMBOLS 1 ... Substrate processing system (substrate processing apparatus), 2 ... Coating and developing apparatus (substrate processing apparatus), 10 ... Controller (control part), 110 ... Heating part, 111 ... Housing | casing housing, 112 ... Cooling plate, 112c ... Flow path , 113 ... heating light source, 114 ... elevating mechanism (drive unit), 116 ... gas supply unit (supply unit), 121 ... cooling plate (conveying arm), R ... intermediate film, RM ... recording medium, U2 ... heat treatment unit (heat treatment) Apparatus), W ... wafer (substrate).

Claims (8)

基板に輻射熱を付与するように構成された加熱光源と、
前記基板を冷却可能に構成された冷却板と、
前記基板を前記冷却板に対して近接及び離間可能に構成された駆動部と、
前記基板を出し入れ可能であると共に前記駆動部に保持された前記基板を収容可能に構成された収容筐体と、
前記収容筐体内に不活性ガスを供給可能に構成された供給部と、
制御部とを備え、
前記制御部は、
前記駆動部を制御して前記基板が前記冷却板から離れた離間位置において前記基板を保持させる第1の処理と、
前記供給部を制御して前記収容筐体内に不活性ガスを供給させる第2の処理と、
前記第1及び第2の処理の後に、前記加熱光源を制御して前記基板を加熱させる第3の処理と、
前記第3の処理の後に、前記加熱光源を制御して前記基板の加熱を停止させる第4の処理と、
前記第4の処理の後に、前記駆動部を制御して前記基板が前記冷却板に近づく近接位置において前記基板を保持させて、前記基板を前記冷却板により冷却する第5の処理とを実行する、熱処理装置。
A heating light source configured to impart radiant heat to the substrate;
A cooling plate configured to cool the substrate;
A drive unit configured to be able to approach and separate the substrate from the cooling plate;
An accommodating housing configured to accommodate the substrate held in the driving unit and capable of taking in and out the substrate;
A supply unit configured to be able to supply an inert gas into the housing case;
A control unit,
The controller is
A first process for controlling the driving unit to hold the substrate at a separated position where the substrate is separated from the cooling plate;
A second process for controlling the supply unit to supply an inert gas into the housing case;
A third process for controlling the heating light source to heat the substrate after the first and second processes;
A fourth process for controlling the heating light source to stop the heating of the substrate after the third process;
After the fourth process, the drive unit is controlled to hold the substrate at a close position where the substrate approaches the cooling plate, and a fifth process is performed to cool the substrate by the cooling plate. , Heat treatment equipment.
前記収容筐体の外側に位置し、前記収容筐体に対して前記基板を搬入出可能に構成された搬送アームをさらに備える、請求項1に記載の熱処理装置。   The heat treatment apparatus according to claim 1, further comprising a transfer arm that is located outside the storage case and configured to be able to carry the substrate in and out of the storage case. 前記冷却板は、前記加熱光源から放射される光に対して透過性を有する材料で構成され、
前記加熱光源は、
前記冷却板のうちの一方の主面側に位置しており、
前記冷却板のうちの他方の主面側において前記駆動部によって保持される前記基板に輻射熱を付与するように構成されている、請求項1又は2に記載の熱処理装置。
The cooling plate is made of a material that is transparent to the light emitted from the heating light source,
The heating light source is
Located on one main surface side of the cooling plate,
The heat processing apparatus of Claim 1 or 2 comprised so that a radiant heat may be provided to the said board | substrate hold | maintained by the said drive part in the other main surface side among the said cooling plates.
前記冷却板の内部には、冷媒が流通する複数の流路が設けられており、
前記複数の流路のうち前記冷却板の中央部寄りに位置する流路における冷媒の流量は、前記複数の流路のうち前記冷却板の周縁部寄りに位置する流路における冷媒の流量よりも大きくなるように設定されている、請求項1〜3のいずれか一項に記載の熱処理装置。
Inside the cooling plate, there are provided a plurality of flow paths through which the refrigerant flows,
Among the plurality of channels, the flow rate of the refrigerant in the channel located near the center of the cooling plate is larger than the flow rate of the refrigerant in the channel located near the periphery of the cooling plate among the plurality of channels. The heat processing apparatus as described in any one of Claims 1-3 set so that it may become large.
基板が冷却板から離れた離間位置で、前記基板を出し入れ可能な収容筐体内に搬入された前記基板を保持する第1の工程と、
前記収容筐体内に不活性ガスを供給する第2の工程と、
前記第1及び第2の工程の後に、前記基板に輻射熱を付与するように構成された加熱光源により前記基板を加熱する第3の工程と、
前記第3の工程の後に前記加熱光源を停止する第4の工程と、
前記第4の工程の後に、前記基板が前記冷却板に近づく近接位置において前記基板を保持し、前記基板を冷却板により冷却する第5の工程とを含む、熱処理方法。
A first step of holding the substrate carried in a housing case in which the substrate can be taken in and out at a separated position away from the cooling plate;
A second step of supplying an inert gas into the housing case;
After the first and second steps, a third step of heating the substrate with a heating light source configured to apply radiant heat to the substrate;
A fourth step of stopping the heating light source after the third step;
And a fifth step of holding, after the fourth step, the substrate in a proximity position where the substrate approaches the cooling plate, and cooling the substrate with the cooling plate.
前記冷却板は、前記加熱光源から放射される光に対して透過性を有する材料で構成され、
前記加熱光源は、前記第3の工程において、前記冷却板を間において前記基板とは反対側から前記基板を加熱する、請求項5に記載の熱処理方法。
The cooling plate is made of a material that is transparent to the light emitted from the heating light source,
The heat treatment method according to claim 5, wherein the heating light source heats the substrate from a side opposite to the substrate with the cooling plate interposed therebetween in the third step.
前記冷却板の内部には、冷媒が流通する複数の流路が設けられており、
前記第5の工程では、前記複数の流路のうち前記冷却板の中央部寄りに位置する流路における冷媒の流量は、前記複数の流路のうち前記冷却板の周縁部寄りに位置する流路における冷媒の流量よりも大きくなるように、前記複数の流路に冷媒を流通させる、請求項5又は6に記載の熱処理方法。
Inside the cooling plate, there are provided a plurality of flow paths through which the refrigerant flows,
In the fifth step, among the plurality of flow paths, the flow rate of the refrigerant in the flow path positioned near the center of the cooling plate is the flow rate of the plurality of flow paths positioned near the periphery of the cooling plate. The heat processing method of Claim 5 or 6 which distribute | circulates a refrigerant | coolant to these flow paths so that it may become larger than the flow volume of the refrigerant | coolant in a path.
請求項5〜7のいずれか一項に記載の熱処理方法を熱処理装置に実行させるためのプログラムを記録したコンピュータ読み取り可能な記録媒体。   The computer-readable recording medium which recorded the program for making the heat processing apparatus perform the heat processing method as described in any one of Claims 5-7.
JP2016007609A 2016-01-19 2016-01-19 Heat treatment apparatus, heat treatment method and computer readable recording medium Active JP6537456B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2016007609A JP6537456B2 (en) 2016-01-19 2016-01-19 Heat treatment apparatus, heat treatment method and computer readable recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016007609A JP6537456B2 (en) 2016-01-19 2016-01-19 Heat treatment apparatus, heat treatment method and computer readable recording medium

Publications (2)

Publication Number Publication Date
JP2017130505A true JP2017130505A (en) 2017-07-27
JP6537456B2 JP6537456B2 (en) 2019-07-03

Family

ID=59395768

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016007609A Active JP6537456B2 (en) 2016-01-19 2016-01-19 Heat treatment apparatus, heat treatment method and computer readable recording medium

Country Status (1)

Country Link
JP (1) JP6537456B2 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07254545A (en) * 1994-03-15 1995-10-03 Oki Electric Ind Co Ltd Heat treatment method for semiconductor substrate and device therefor
JPH1154393A (en) * 1997-08-04 1999-02-26 Komatsu Ltd Wafer temperature adjusting equipment and its control method
JPH11329926A (en) * 1998-05-11 1999-11-30 Dainippon Screen Mfg Co Ltd Device and method for cooling substrate
JP2003264137A (en) * 2002-03-08 2003-09-19 Canon Inc Method and apparatus for film formation
JP2005150506A (en) * 2003-11-18 2005-06-09 Sumitomo Electric Ind Ltd Semiconductor manufacturing apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07254545A (en) * 1994-03-15 1995-10-03 Oki Electric Ind Co Ltd Heat treatment method for semiconductor substrate and device therefor
JPH1154393A (en) * 1997-08-04 1999-02-26 Komatsu Ltd Wafer temperature adjusting equipment and its control method
JPH11329926A (en) * 1998-05-11 1999-11-30 Dainippon Screen Mfg Co Ltd Device and method for cooling substrate
JP2003264137A (en) * 2002-03-08 2003-09-19 Canon Inc Method and apparatus for film formation
JP2005150506A (en) * 2003-11-18 2005-06-09 Sumitomo Electric Ind Ltd Semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
JP6537456B2 (en) 2019-07-03

Similar Documents

Publication Publication Date Title
JP4937559B2 (en) Substrate processing apparatus and substrate processing method
KR102624099B1 (en) Heat treating apparatus, cooling method for heat plate and recording medium
JP4079861B2 (en) Substrate processing equipment
JP2018018860A (en) Heat treatment device, substrate processing device, and heat treatment method
JP6391558B2 (en) Heat treatment apparatus, method for heat treatment of substrate, and computer-readable recording medium
KR101207172B1 (en) Substrate processing method, computer-readable recording medium, and substrate processing system
US7512456B2 (en) Substrate processing apparatus
KR20100012813A (en) Heat treatment apparatus and substrate processing apparatus
WO2014132927A1 (en) Hydrophobization treatment device, hydrophobization treatment method, and hydrophobization-treatment recording medium
JP2000124127A (en) Resist application/developing apparatus, substrate heat treatment device and substrate transfer apparatus used therefor
JP5936853B2 (en) Substrate processing apparatus and substrate processing method
KR102324405B1 (en) Apparatus and Method for treating substrate
JP6537456B2 (en) Heat treatment apparatus, heat treatment method and computer readable recording medium
JP2000091218A (en) Heating method and apparatus
JP5270108B2 (en) Substrate processing equipment
TW202101531A (en) Heat treatment apparatus and heat treatment method
JP6450303B2 (en) Heat treatment apparatus, temperature control method, and computer-readable recording medium
CN221326934U (en) Substrate processing apparatus
JP4262037B2 (en) Substrate processing equipment
US20240027923A1 (en) Substrate processing apparatus, substrate processing method, and recording medium
JP7117143B2 (en) SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM
JP4323905B2 (en) Substrate processing equipment
JP2018195849A (en) Thermal treatment equipment, method for thermal-processing substrate, and computer readable recording medium
CN117434801A (en) Substrate processing apparatus, substrate processing method, and substrate processing program
JP2023082685A (en) Apparatus for treating substrate and method for treating substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170922

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180718

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180724

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190604

R150 Certificate of patent or registration of utility model

Ref document number: 6537456

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250