JP2017106826A - Scan test circuit generation device and scan test circuit generation method - Google Patents

Scan test circuit generation device and scan test circuit generation method Download PDF

Info

Publication number
JP2017106826A
JP2017106826A JP2015241216A JP2015241216A JP2017106826A JP 2017106826 A JP2017106826 A JP 2017106826A JP 2015241216 A JP2015241216 A JP 2015241216A JP 2015241216 A JP2015241216 A JP 2015241216A JP 2017106826 A JP2017106826 A JP 2017106826A
Authority
JP
Japan
Prior art keywords
flip
scan
flops
flop
test circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015241216A
Other languages
Japanese (ja)
Inventor
紘行 森田
Hiroyuki Morita
紘行 森田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ricoh Co Ltd
Original Assignee
Ricoh Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ricoh Co Ltd filed Critical Ricoh Co Ltd
Priority to JP2015241216A priority Critical patent/JP2017106826A/en
Publication of JP2017106826A publication Critical patent/JP2017106826A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a scan test circuit generation device and a scan test circuit generation method with which it is possible to suppress an increase in circuit scale when generating a scan test circuit in which FFs of shift structure having a branch in the middle are scanned.SOLUTION: A scan test circuit generation device is constituted so as to include: circuit analysis means for analyzing a circuit constituted by a plurality of flip-flops; non-scanized object determination means for determining at least some of the flip-flops as being excluded from alteration to scan flip-flops having a scannable structure; and scanization means for altering the flip-flops, out of the plurality of flip-flops, which were not determined as being excluded from scanization, to scan flip-flops, and generating a scan chain in which the scan flip-flops are cascaded.SELECTED DRAWING: Figure 2

Description

本発明は、スキャンテスト回路生成装置およびスキャンテスト回路生成方法に関する。   The present invention relates to a scan test circuit generation device and a scan test circuit generation method.

半導体集積回路のテストを容易にする設計技術(DFT:Design For Testability)の一手法として、フリップフロップ(以下「FF」ともいう。)に対して値の設定および読み出しを可能とする回路を付加するスキャン方式がある。スキャン方式の1つに、値の設定および読み出しの対象となるFFをスキャン可能な構造に修正(以下「スキャン化」ともいう。)し、スキャン化されたFF(スキャンフリップフロップ、以下「SFF」ともいう。)をチェーン状に接続したスキャンチェーンを構成するシフトスキャン方式がある。図4(b)はシフト構成のFFをスキャン化したスキャンテスト回路を構成する例であり、詳細は後述する。   As a design technique (DFT: Design For Testability) that facilitates testing of a semiconductor integrated circuit, a circuit that allows setting and reading of a value to a flip-flop (hereinafter also referred to as “FF”) is added. There is a scanning method. As one of the scanning methods, a FF that is a target for setting and reading a value is corrected to a scannable structure (hereinafter also referred to as “scanning”), and the scanned FF (scan flip-flop, hereinafter referred to as “SFF”) is scanned. There is also a shift scan method in which a scan chain is connected in a chain shape. FIG. 4B shows an example of configuring a scan test circuit in which a shift-structured FF is scanned, details of which will be described later.

FFが連続して接続されたシフト構成の回路をスキャン化する場合、先頭と最後尾のFFのみをスキャン化し、その間のFFのスキャン化を行わないようにすることにより、テスト回路の増加を抑制可能なことが知られている。図5は先頭と最後尾のFFのみをスキャン化したスキャンテスト回路を構成する例であり、詳細は後述する。   When scanning a circuit with a shift configuration in which FFs are connected in series, only the first and last FFs are scanned and the FFs are not scanned between them, thereby suppressing the increase in test circuits. It is known to be possible. FIG. 5 shows an example of configuring a scan test circuit in which only the first and last FFs are scanned, details of which will be described later.

特許文献1には、スキャンフリップフロップとフリップフロップとを備え、フリップフロップに入力するデータをキャプチャ動作時とシフト動作時および通常動作時とで切り替えるセレクタを備えたスキャンテスト回路が記載されている。   Patent Document 1 describes a scan test circuit that includes a scan flip-flop and a flip-flop, and includes a selector that switches data input to the flip-flop between a capture operation, a shift operation, and a normal operation.

FFの構成は、連続して接続された完全なシフト構成に限られない。例えば前段の出力の他に別の論理回路の出力が入力される分岐した構成を取る場合がある。このような構成をスキャン化するときは、完全なシフト構成ではないため、含まれるすべてのFFがスキャン化され、その結果回路規模が増加するという問題が生ずる。   The configuration of the FF is not limited to a complete shift configuration connected in series. For example, there may be a branched configuration in which the output of another logic circuit is input in addition to the output of the previous stage. When scanning such a configuration, since it is not a complete shift configuration, all the included FFs are scanned, resulting in a problem that the circuit scale increases.

本発明は、上記のような課題を解決するためになされたものであり、途中に分岐を有するシフト構成のFFをスキャン化したスキャンテスト回路を生成するときに、回路規模の増加を抑制可能なスキャンテスト回路生成装置およびスキャンテスト回路生成方法を提供することを目的とする。   The present invention has been made to solve the above-described problems, and can suppress an increase in circuit scale when generating a scan test circuit in which a FF having a shift structure having a branch in the middle is scanned. An object of the present invention is to provide a scan test circuit generation device and a scan test circuit generation method.

上述した課題を解決するために、本発明にかかるスキャンテスト回路生成装置は、
複数のフリップフロップで構成される回路を解析する回路解析手段と、
前記フリップフロップの少なくとも一部をスキャン可能な構造を有するスキャンフリップフロップへの変更の対象外と判断するスキャン化対象外判断手段と、
前記複数のフリップフロップのうち、前記スキャン化対象外と判断されなかったフリップフロップを、前記スキャンフリップフロップに変更し、該スキャンフリップフロップを縦続接続したスキャンチェーンを生成するスキャン化手段と、
を有することを特徴とする。
In order to solve the above-described problem, a scan test circuit generation device according to the present invention includes:
Circuit analysis means for analyzing a circuit composed of a plurality of flip-flops;
Non-scanning target judging means for judging that the flip-flop has a structure capable of scanning at least a part of the flip-flop, and that is not subject to change.
Of the plurality of flip-flops, the flip-flops that are not determined to be excluded from the scan target are changed to the scan flip-flops, and a scan unit that generates a scan chain in which the scan flip-flops are cascade-connected,
It is characterized by having.

本発明にかかるスキャンテスト回路生成装置およびスキャンテスト回路生成方法は、途中に分岐を有するシフト構成のFFをスキャン化したスキャンテスト回路を生成するときに、回路規模の増加を抑制することができる。   The scan test circuit generation device and the scan test circuit generation method according to the present invention can suppress an increase in circuit scale when generating a scan test circuit obtained by scanning a FF having a shift structure having a branch in the middle.

本発明の実施形態にかかるスキャンテスト回路生成装置1のハードウェア構成図である。1 is a hardware configuration diagram of a scan test circuit generation device 1 according to an embodiment of the present invention. 本発明の実施形態にかかるスキャンテスト回路生成装置1の機能ブロック図である。1 is a functional block diagram of a scan test circuit generation device 1 according to an embodiment of the present invention. フリップフロップとスキャンフリップフロップの概略構成図である。It is a schematic block diagram of a flip-flop and a scan flip-flop. シフト構成の回路とそれを従来技術によりスキャン化した回路の例である。It is an example of the circuit of the shift structure, and the circuit which made it scan by the prior art. シフト構成の先頭と最後尾のフリップフロップのみを従来技術によりスキャン化した例である。This is an example in which only the first and last flip-flops of the shift configuration are scanned by the prior art. フリップフロップの間に分岐があり、論理回路が接続された回路を従来技術によりスキャン化した回路構成である。This is a circuit configuration in which a circuit having a branch between flip-flops and connected to a logic circuit is scanned by a conventional technique. フリップフロップの間に分岐があり、論理回路が接続された回路を本発明によりスキャン化した回路構成の第1の例である。1 is a first example of a circuit configuration in which a circuit having a branch between flip-flops and connected to a logic circuit is scanned according to the present invention. フリップフロップの間に分岐があり、論理回路が接続された回路を本発明によりスキャン化した回路構成の第2の例である。It is the 2nd example of the circuit structure which made the scan according to this invention which has the branch between flip-flops, and the circuit to which the logic circuit was connected. フリップフロップの間に分岐があり、別のシフト構成が接続された回路を本発明によりスキャン化した回路構成の例である。This is an example of a circuit configuration in which a circuit having a branch between flip-flops and connected to another shift configuration is scanned according to the present invention. 非同期関係にあるパスを含む回路を従来技術でスキャン化した回路構成の例である。It is an example of the circuit structure which scanned the circuit containing the path | route which has an asynchronous relationship by the prior art. 非同期関係にあるパスを含む回路を本発明によりスキャン化した回路構成の例である。It is an example of the circuit configuration which scanned the circuit containing the path | route which has an asynchronous relationship by this invention. 本実施形態のスキャンテスト回路生成装置1におけるスキャンテスト回路生成処理のフローチャートである。It is a flowchart of a scan test circuit generation process in the scan test circuit generation device 1 of the present embodiment.

まず、本発明の実施形態にかかるスキャンテスト回路生成装置1のハードウェア構成を説明する。図1は、本発明の実施形態にかかるスキャンテスト回路生成装置1のハードウェア構成図である。図1に示すように、本発明の実施形態にかかるスキャンテスト回路生成装置1は、情報処理部10と、記憶部20と、入力部30と、出力部40とを有する。   First, the hardware configuration of the scan test circuit generation device 1 according to the embodiment of the present invention will be described. FIG. 1 is a hardware configuration diagram of a scan test circuit generation device 1 according to an embodiment of the present invention. As shown in FIG. 1, the scan test circuit generation device 1 according to the embodiment of the present invention includes an information processing unit 10, a storage unit 20, an input unit 30, and an output unit 40.

情報処理部10は、プログラムに含まれるコードの演算を行い、かかる演算により後述する各種処理を実行する。情報処理部10は、メモリに読み込まれたプログラムを実行するCPUなどのプロセッサにより構成可能である。   The information processing unit 10 performs an operation on a code included in the program, and executes various processes described later by the operation. The information processing unit 10 can be configured by a processor such as a CPU that executes a program read into a memory.

記憶部20は、所定の情報を不揮発に記憶し、必要に応じて読み出す。記憶部20には、例えばスキャンテスト回路生成前のデータや生成後のデータ、および、スキャンテスト回路生成プログラムなどを記憶させることができる。記憶部20は、HDD(Hard Disk Drive)やSSD(Solid State Drive)などにより構成可能である。   The storage unit 20 stores predetermined information in a nonvolatile manner and reads it as necessary. The storage unit 20 can store, for example, data before scan test circuit generation, data after generation, a scan test circuit generation program, and the like. The storage unit 20 can be configured by an HDD (Hard Disk Drive), an SSD (Solid State Drive), or the like.

入力部30は、情報処理部10の処理対象となるデータおよびその情報処理を実行させる指示を入力する。入力部30は、例えばUSB(登録商標)、SDメモリーカード(登録商標)などの既存接続規格に準拠したコネクタおよびドライバのようなデータインタフェース、または、キーボードやポインティングデバイスなどのユーザインタフェースにより構成可能である。   The input unit 30 inputs data to be processed by the information processing unit 10 and an instruction to execute the information processing. The input unit 30 can be configured by a data interface such as a connector and a driver conforming to an existing connection standard such as USB (registered trademark) or SD memory card (registered trademark), or a user interface such as a keyboard or a pointing device. is there.

出力部40は、情報処理部10の処理結果を出力する。処理結果は、例えば生成されたスキャンテスト回路であって、データファイルとして出力される。出力部40は、例えばUSB(登録商標)、SDメモリーカード(登録商標)などの既存接続規格に準拠したコネクタおよびドライバのようなデータインタフェース、または、ディスプレイやプリンタなどのデバイスにより構成可能である。   The output unit 40 outputs the processing result of the information processing unit 10. The processing result is, for example, a generated scan test circuit, and is output as a data file. The output unit 40 can be configured by a data interface such as a connector and a driver conforming to an existing connection standard such as USB (registered trademark) or SD memory card (registered trademark), or a device such as a display or a printer.

続いて、本発明の実施形態にかかるスキャンテスト回路生成装置1の機能ブロックを説明する。図2は、本発明の実施形態にかかるスキャンテスト回路生成装置1の機能ブロック図である。図2に示すように、スキャンテスト回路生成装置1は、回路解析手段100と、スキャン化対象外判断手段110と、スキャン化手段120とを有する。   Subsequently, functional blocks of the scan test circuit generation device 1 according to the embodiment of the present invention will be described. FIG. 2 is a functional block diagram of the scan test circuit generation device 1 according to the embodiment of the present invention. As shown in FIG. 2, the scan test circuit generation device 1 includes a circuit analysis unit 100, a non-scan target determination unit 110, and a scan unit 120.

回路解析手段100は、複数のフリップフロップで構成される回路を解析する。回路の解析として、回路解析手段100は、複数のフリップフロップが縦続接続されたシフト構成を特定することができる。回路解析手段100は、情報処理部10にて所定のプログラムを実行することにより実施可能である。   The circuit analysis unit 100 analyzes a circuit composed of a plurality of flip-flops. As circuit analysis, the circuit analysis means 100 can specify a shift configuration in which a plurality of flip-flops are cascade-connected. The circuit analysis unit 100 can be implemented by executing a predetermined program in the information processing unit 10.

スキャン化対象外判断手段110は、回路解析手段100で解析されたフリップフロップの少なくとも一部を、スキャンフリップフロップへの変更の対象外と判断する。スキャンフリップフロップは、フリップフロップにスキャン可能な構造を追加した回路であり、詳細は後述する。スキャン化対象外判断手段110は、情報処理部10にて所定のプログラムを実行することにより実施可能である。   The non-scanning target determination unit 110 determines that at least a part of the flip-flops analyzed by the circuit analysis unit 100 is out of the change to the scan flip-flops. The scan flip-flop is a circuit in which a scanable structure is added to the flip-flop, and details will be described later. The non-scan target determination unit 110 can be implemented by executing a predetermined program in the information processing unit 10.

図3を参照して、フリップフロップとスキャンフリップフロップの構成を説明する。図3はフリップフロップとスキャンフリップフロップの概略構成図である。図3(a)はフリップフロップであり、Dはデータ入力、CKはクロック、Qはデータ出力を示す。図3(b)はマルチプレクサ方式でスキャン化したスキャンフリップフロップであり、フリップフロップにMUX(マルチプレクサ)を付加して構成される。スキャンフリップフロップの構成はマルチプレクサ方式に限られず、LSSD(Level Sensitive Scan Design)方式でも構成可能である。ここで、SINはスキャン入力、SEはスキャンイネーブルを示す。図3(c)は、スキャンフリップフロップ回路を簡易表記したものである。   The configuration of the flip-flop and the scan flip-flop will be described with reference to FIG. FIG. 3 is a schematic configuration diagram of a flip-flop and a scan flip-flop. FIG. 3A shows a flip-flop, where D is a data input, CK is a clock, and Q is a data output. FIG. 3B shows a scan flip-flop scanned by a multiplexer method, and is configured by adding a MUX (multiplexer) to the flip-flop. The configuration of the scan flip-flop is not limited to the multiplexer method, and can be configured by an LSSD (Level Sensitive Scan Design) method. Here, SIN indicates a scan input, and SE indicates a scan enable. FIG. 3C is a simplified representation of the scan flip-flop circuit.

スキャン化手段120は、スキャン化対象外と判断されなかったフリップフロップをスキャンフリップフロップに変更し、そのスキャンフリップフロップを縦続接続してスキャンチェーンを生成する。スキャン化手段120は、情報処理部10にて所定のプログラムを実行することにより実施可能である。   Scanning means 120 changes a flip-flop that has not been determined to be a scan target to a scan flip-flop, and cascades the scan flip-flops to generate a scan chain. The scanning unit 120 can be implemented by executing a predetermined program in the information processing unit 10.

ここで、具体的な回路を元にしたスキャンテスト回路の生成を説明する。図4は、シフト構成の回路とそれを従来技術によりスキャン化した回路の例である。図4(a)は、7つのフリップフロップが縦続接続されたシフト構成を示している。この構成におけるすべてのフリップフロップを従来技術によりスキャン化し、スキャンフリップフロップに変更したものが図4(b)である。図4(b)において、左端のSINから右端まで続く太線が、スキャンチェーンを示している。   Here, generation of a scan test circuit based on a specific circuit will be described. FIG. 4 is an example of a circuit having a shift configuration and a circuit obtained by scanning the circuit with a conventional technique. FIG. 4A shows a shift configuration in which seven flip-flops are connected in cascade. FIG. 4B shows a configuration in which all flip-flops in this configuration are scanned by the prior art and changed to scan flip-flops. In FIG. 4B, the bold line that continues from the left SIN to the right end indicates the scan chain.

図5は、図4(a)のシフト構成の先頭と最後尾のフリップフロップのみを従来技術によりスキャン化した例である。先頭のフリップフロップと最後尾のフリップフロップは太線でつながれ、スキャンチェーンが形成されている。   FIG. 5 shows an example in which only the first and last flip-flops of the shift configuration of FIG. The first flip-flop and the last flip-flop are connected by a thick line to form a scan chain.

図5の構成において、スキャン化されなかったフリップフロップに直接値を設定することはできない。しかし、先頭のスキャンフリップフロップに値を設定して所定段数分のCLKサイクルが経過すれば所望のフリップフロップまで値が伝搬されるため、スキャンテストが可能になっている。   In the configuration of FIG. 5, a value cannot be directly set to a flip-flop that has not been scanned. However, when a value is set in the first scan flip-flop and a CLK cycle for a predetermined number of stages elapses, the value is propagated to a desired flip-flop, so that a scan test is possible.

図6は、フリップフロップの間に分岐があり、論理回路が接続された回路を従来技術によりスキャン化した回路構成である。図6のようにスキャン化される前の回路では、あるフリップフロップのデータ出力が次のフリップフロップのデータ入力に接続されているが、その間で回路が分岐されており、その先に別の論理回路が接続されている。図6では、左端のSINから始まる連続したシフト構成のすべてのフリップフロップがスキャン化されている。これは、回路中に分岐があることにより、回路がシフト構成と認識されなくなるためである。なお、図6では分岐先のスキャンチェーンの記載は省略されており、以下も同様である。   FIG. 6 shows a circuit configuration in which a circuit having a branch between flip-flops and connected to a logic circuit is scanned by a conventional technique. In the circuit before being scanned as shown in FIG. 6, the data output of one flip-flop is connected to the data input of the next flip-flop, and the circuit is branched between them, followed by another logic. The circuit is connected. In FIG. 6, all flip-flops having a continuous shift structure starting from the leftmost SIN are scanned. This is because the circuit is not recognized as a shift configuration due to a branch in the circuit. In FIG. 6, the description of the scan chain at the branch destination is omitted, and the same applies to the following.

図7は、フリップフロップの間に分岐があり、論理回路が接続された回路を本発明によりスキャン化した回路構成の第1の例である。図7では、分岐して論理回路が接続されているにもかかわらず、シフト構成の先頭と最後尾のフリップフロップのみがスキャン化されている。他のフリップフロップが縦続接続されていないフリップフロップから順に縦続接続されているものをバックトレースすることによりシフト構成を特定しているため、このようなスキャン化が可能となっている。   FIG. 7 is a first example of a circuit configuration in which a circuit having a branch between flip-flops and connected to a logic circuit is scanned according to the present invention. In FIG. 7, only the first and last flip-flops of the shift configuration are scanned, although the logic circuit is branched and connected. Since the shift configuration is specified by back-tracing the flip-flops in which other flip-flops are cascade-connected in order from the flip-flops in which the flip-flops are not cascade-connected, such scanning can be performed.

図7の構成において、先頭のスキャンフリップフロップのデータ出力と最後尾のスキャンフリップフロップのスキャンデータ入力とが接続され、スキャンチェーンが形成されている。このようなスキャンチェーンにより、先頭のスキャンフリップフロップに値を設定して所定段数分のCLKサイクルが経過することによりスキャン化されなかった所望のフリップフロップのスキャンテストが可能なことは図5の構成と同様である。   In the configuration of FIG. 7, the data output of the first scan flip-flop and the scan data input of the last scan flip-flop are connected to form a scan chain. The configuration of FIG. 5 is that a scan test of a desired flip-flop that has not been scanned can be performed by setting a value in the first scan flip-flop and elapse of a predetermined number of CLK cycles. It is the same.

図8は、フリップフロップの間に分岐があり、論理回路が接続された回路を本発明によりスキャン化した回路構成の第2の例である。図8では、シフト構成のうち先頭と最後尾と間の1つのフリップフロップを除くフリップフロップがスキャン化対象とされていない。すなわち、シフト構成の先頭と最後尾と間の1つのフリップフロップがスキャン化されている。   FIG. 8 shows a second example of a circuit configuration in which a circuit having a branch between flip-flops and connected to a logic circuit is scanned according to the present invention. In FIG. 8, flip-flops other than one flip-flop between the beginning and the end of the shift configuration are not targeted for scanning. That is, one flip-flop between the head and the tail of the shift configuration is scanned.

図8の構成において、先頭のスキャンフリップフロップのデータ出力と間のスキャンフリップフロップのうち最先のものスキャンデータ入力とが接続されている。また、間の1つのスキャンフリップフロップのうち最終のもののデータ出力と最後尾のスキャンフリップフロップのスキャンデータ入力とが接続されている。図8の構成では先頭のスキャンフリップフロップと最後尾のスキャンフリップフロップとの間のスキャンフリップフロップは1つなので、間のスキャンフリップフロップのうち最先のものと最終のものは同一である。間のスキャンフリップフロップが2つ以上あるときは、同様に縦続接続してスキャンチェーンを形成する。このように形成されたスキャンチェーンにより、最後尾近傍のフリップフロップまでに値が伝搬するCLKサイクルが短くなるので、テスト時間を短縮できる。   In the configuration of FIG. 8, the scan data input of the first scan flip-flop between the data output of the first scan flip-flop is connected. Also, the data output of the last one of the scan flip-flops in between is connected to the scan data input of the last scan flip-flop. In the configuration of FIG. 8, since there is one scan flip-flop between the first scan flip-flop and the last scan flip-flop, the first and last scan flip-flops are the same. When there are two or more scan flip-flops between them, a scan chain is formed in the same manner in a cascade connection. Since the scan chain formed in this way shortens the CLK cycle in which the value propagates to the flip-flop near the tail end, the test time can be shortened.

スキャン化対象外判断手段110が、先頭のフリップフロップから所定数おきにスキャン化対象外にしないようにしたり、先頭と最後尾の中間など所定位置のフリップフロップをスキャン化対象外にしないようにしたりすることで、このような構成が可能となる。図8の例では、先頭と最後尾以外の1つのフリップフロップがスキャン化されているが、先頭と最後尾以外にスキャン化されるフリップフロップの数はこれに限られない。   The non-scan target determination unit 110 may not exclude the scan from the first flip-flop every predetermined number, or may not exclude the flip-flop at a predetermined position, such as between the top and the end, from the scan target. By doing so, such a configuration becomes possible. In the example of FIG. 8, one flip-flop other than the head and tail is scanned, but the number of flip-flops scanned other than the head and tail is not limited to this.

図9は、フリップフロップの間に分岐があり、別のシフト構成が接続された回路を本発明によりスキャン化した回路構成の例である。図6から図8までの説明で前提とした回路と異なり、図9の例ではフリップフロップ間の分岐の先に別のシフト構成が接続されている。このような構成であっても、シフト構成の最後尾のフリップフロップから順に縦続接続されているものをバックトレースすることにより、シフト構成が合流していることを適切に把握することができる。   FIG. 9 shows an example of a circuit configuration in which a circuit having a branch between flip-flops and connected to another shift configuration is scanned according to the present invention. Unlike the circuit assumed in the description of FIGS. 6 to 8, in the example of FIG. 9, another shift configuration is connected at the end of the branch between the flip-flops. Even in such a configuration, it is possible to appropriately grasp that the shift configurations are merged by back-tracing those that are cascade-connected in order from the last flip-flop of the shift configuration.

図10は、非同期関係にあるパスを含む回路を従来技術でスキャン化した回路構成の例である。破線で囲んだ部分に複数段のフリップフロップが設けられ、この部分と非同期で動作する組み合わせ回路の出力を適切なタイミングで受けられるようになっている。複数段のフリップフロップはシフト構成となるが、従来技術ではすべてのフリップフロップがスキャン化され、さらにホールド時間を確保してタイミングを調整するためにロックアップセルが挿入されている。   FIG. 10 is an example of a circuit configuration obtained by scanning a circuit including paths in an asynchronous relationship with the conventional technique. A part surrounded by a broken line is provided with a plurality of stages of flip-flops so that an output of a combinational circuit operating asynchronously with this part can be received at an appropriate timing. A plurality of flip-flops have a shift configuration, but in the prior art, all flip-flops are scanned, and a lock-up cell is inserted to secure a hold time and adjust timing.

図11は、非同期関係にあるパスを含む回路を本発明によりスキャン化した回路構成の例である。破線で囲んだ部分のフリップフロップは、シフト構成の先頭と最後尾のみがシフト化されている。回路解析手段100はシフト構成と非同期で動作する回路構成を検出する。これにより、スキャン化手段120は、ロックアップセルを先頭のスキャンフリップフロップのデータ出力と最後尾のスキャンフリップフロップのスキャンデータ入力とを接続するスキャンチェーンに挿入する。   FIG. 11 shows an example of a circuit configuration obtained by scanning a circuit including paths in an asynchronous relationship according to the present invention. The flip-flop in the part surrounded by the broken line is shifted only at the beginning and the end of the shift structure. The circuit analysis unit 100 detects a circuit configuration that operates asynchronously with the shift configuration. As a result, the scanning unit 120 inserts the lock-up cell into the scan chain connecting the data output of the first scan flip-flop and the scan data input of the last scan flip-flop.

次に、本実施形態のスキャンテスト回路生成装置1の動作を説明する。図12は、本実施形態のスキャンテスト回路生成装置1におけるスキャンテスト回路生成処理のフローチャートである。図12を参照すると、本実施形態のスキャンテスト回路生成装置1は、まず回路を解析する(ステップS1)。回路の解析は回路解析手段100が実行し、解析の対象となる回路は、入力部30から入力される。回路の解析により、シフト構成が特定される。   Next, the operation of the scan test circuit generation device 1 of this embodiment will be described. FIG. 12 is a flowchart of scan test circuit generation processing in the scan test circuit generation device 1 of the present embodiment. Referring to FIG. 12, the scan test circuit generation device 1 of the present embodiment first analyzes a circuit (step S1). Circuit analysis is performed by the circuit analysis unit 100, and the circuit to be analyzed is input from the input unit 30. Analysis of the circuit identifies the shift configuration.

続いてスキャンテスト回路生成装置1は、ステップS1で解析された回路に含まれるフリップフロップの少なくとも一部を、スキャンフリップフロップへの変更の対象外と判断する(ステップS2)。スキャン化対象外の判断はスキャン化対象外判断手段110が実行する。スキャン化対象外判断手段110は、複数のフリップフロップが縦続接続されたシフト構成における先頭と最後尾以外のフリップフロップをスキャン化対象外と判断することができる。   Subsequently, the scan test circuit generation device 1 determines that at least a part of the flip-flops included in the circuit analyzed in step S1 is not subject to change to the scan flip-flop (step S2). The non-scan target is determined by the non-scan target determining unit 110. The non-scanning target judging means 110 can judge that flip-flops other than the head and tail in the shift configuration in which a plurality of flip-flops are connected in cascade are not subject to scanning.

次にスキャンテスト回路生成装置1は、ステップS2でスキャン化対象外と判断されなかったフリップフロップをスキャン化してスキャンフリップフロップに変更し、変更したスキャンフリップフロップを縦続接続してスキャンチェーンを形成する(ステップS3)。スキャン化およびスキャンチェーンの形成は、スキャン化手段120が実行する。   Next, the scan test circuit generation device 1 scans the flip-flops that are not determined to be excluded from scanning in step S2 and changes them to scan flip-flops, and cascades the changed scan flip-flops to form a scan chain. (Step S3). The scanning unit 120 executes scanning and forming a scan chain.

以上本発明の好ましい実施例について詳述したが、本発明は係る特定の実施形態に限定されるものではなく、特許請求の範囲に記載された本発明の要旨の範囲内において、種々の変形、変更が可能である。   The preferred embodiments of the present invention have been described in detail above, but the present invention is not limited to such specific embodiments, and various modifications, within the scope of the gist of the present invention described in the claims, It can be changed.

1 スキャンテスト回路生成装置
10 情報処理部
20 記憶部
30 入力部
40 出力部
100 回路解析手段
110 スキャン化対象外判断手段
120 スキャン化手段
DESCRIPTION OF SYMBOLS 1 Scan test circuit production | generation apparatus 10 Information processing part 20 Memory | storage part 30 Input part 40 Output part 100 Circuit analysis means 110 Non-scanning object judgment means 120 Scanning means

特開2010−223672号公報JP 2010-223672-A

Claims (10)

複数のフリップフロップで構成される回路を解析する回路解析手段と、
前記フリップフロップの少なくとも一部をスキャン可能な構造を有するスキャンフリップフロップへの変更の対象外と判断するスキャン化対象外判断手段と、
前記複数のフリップフロップのうち、前記スキャン化対象外と判断されなかったフリップフロップを、前記スキャンフリップフロップに変更し、該スキャンフリップフロップを縦続接続したスキャンチェーンを生成するスキャン化手段と、
を有することを特徴とするスキャンテスト回路生成装置。
Circuit analysis means for analyzing a circuit composed of a plurality of flip-flops;
Non-scanning target judging means for judging that the flip-flop has a structure capable of scanning at least a part of the flip-flop, and that is not subject to change.
Of the plurality of flip-flops, the flip-flops that are not determined to be excluded from the scan target are changed to the scan flip-flops, and a scan unit that generates a scan chain in which the scan flip-flops are cascade-connected,
A scan test circuit generation device comprising:
前記回路解析手段は、一の前記フリップフロップのデータ出力が他の前記フリップフロップのデータ入力に縦続接続されて複数の前記フリップフロップにより構成されるシフト構成を特定することを特徴とする、請求項1に記載のスキャンテスト回路生成装置。   The circuit analysis means specifies a shift configuration in which a data output of one flip-flop is cascade-connected to a data input of another flip-flop and is configured by a plurality of the flip-flops. The scan test circuit generation device according to 1. 前記回路解析手段は、データ出力が他の前記フリップフロップのデータ入力に接続されていない前記フリップフロップから順に縦続接続されているものをバックトレースすることにより前記シフト構成を特定することを特徴とする、請求項2に記載のスキャンテスト回路生成装置。   The circuit analysis means specifies the shift configuration by back-tracing the data outputs that are cascaded in order from the flip-flops that are not connected to the data inputs of the other flip-flops. The scan test circuit generation device according to claim 2. 前記回路解析手段は、前記一のフリップフロップのデータ出力と前記他のフリップフロップのデータ入力との接続が分岐しているときに、前記一のフリップフロップと前記他のフリップフロップとが縦続接続され、前記シフト構成の少なくとも一部として扱うことを特徴とする、請求項2または3に記載のスキャンテスト回路生成装置。   In the circuit analysis means, when the connection between the data output of the one flip-flop and the data input of the other flip-flop is branched, the one flip-flop and the other flip-flop are cascaded. The scan test circuit generation device according to claim 2, wherein the scan test circuit generation device is handled as at least a part of the shift configuration. 前記スキャン化対象外判断手段は、前記シフト構成に含まれる前記フリップフロップのうち、先頭と最後尾以外の前記フリップフロップを対象外と判断することを特徴とする、請求項2ないし4のいずれか1項に記載のスキャンテスト回路生成装置。   5. The non-scanning object determination unit determines that the flip-flops other than the first and last ones out of the flip-flops included in the shift configuration are excluded from the object. 2. A scan test circuit generation device according to item 1. 前記スキャン化対象外判断手段は、前記シフト構成に含まれる前記フリップフロップのうち、前記先頭と前記最後尾との間の少なくとも1つの前記フリップフロップを除く前記フリップフロップを対象外と判断することを特徴とする、請求項5に記載のスキャンテスト回路生成装置。   The non-scanning target judging means judges that the flip-flops excluding at least one of the flip-flops between the head and the tail of the flip-flops included in the shift configuration are out of scope. The scan test circuit generation device according to claim 5, wherein 前記スキャン化手段は、変更された前記先頭の前記スキャンフリップフロップのデータ出力と変更された前記最後尾の前記スキャンフリップフロップのスキャンデータ入力とを接続することを特徴とする、請求項5または6に記載のスキャンテスト回路生成装置。   7. The scanning means connects the changed data output of the first scan flip-flop and the changed scan data input of the last scan flip-flop. A scan test circuit generation device according to claim 1. 前記スキャン化手段は、変更された前記先頭の前記スキャンフリップフロップのデータ出力と変更された少なくとも1つの前記スキャンフリップフロップのうち最先のもののスキャンデータ入力とを接続し、変更された少なくとも1つの前記スキャンフリップフロップのうち最後のもののデータ出力と変更された前記最後尾の前記スキャンフリップフロップのスキャンデータ入力とを接続することを特徴とする、請求項6に記載のスキャンテスト回路生成装置。   The scanning unit connects the changed data output of the first scan flip-flop to the scan data input of the first of the changed at least one scan flip-flop, and changes at least one changed 7. The scan test circuit generation device according to claim 6, wherein the data output of the last one of the scan flip-flops is connected to the scan data input of the last scan flip-flop that has been changed. 前記回路解析手段が前記シフト構成と非同期で動作する回路構成を検出すると、
前記スキャン化手段は、前記先頭の前記スキャンフリップフロップのデータ出力と前記最後尾の前記スキャンフリップフロップのスキャンデータ入力とを接続するスキャンチェーンにロックアップセルを挿入することを特徴とする、請求項5に記載のスキャンテスト回路生成装置。
When the circuit analysis means detects a circuit configuration that operates asynchronously with the shift configuration,
The scan means includes inserting a lock-up cell into a scan chain connecting a data output of the first scan flip-flop and a scan data input of the last scan flip-flop. The scan test circuit generation device according to 5.
複数のフリップフロップで構成される回路を解析する回路解析工程と、
前記フリップフロップの少なくとも一部をスキャン可能な構造を有するスキャンフリップフロップへの変更の対象外と判断するスキャン化対象外判断工程と、
前記複数のフリップフロップのうち、前記スキャン化対象外と判断されなかったフリップフロップを、前記スキャンフリップフロップに変更して、スキャンチェーンを生成するスキャン化工程と、
を有することを特徴とするスキャンテスト回路生成方法。
A circuit analysis process for analyzing a circuit composed of a plurality of flip-flops;
A non-scanning target determining step for determining that the flip-flop is not subject to change to a scan flip-flop having a structure capable of scanning at least a part of the flip-flop;
Of the plurality of flip-flops, changing the flip-flops that are not determined to be scanned into the scan flip-flops to generate a scan chain; and
A scan test circuit generation method comprising:
JP2015241216A 2015-12-10 2015-12-10 Scan test circuit generation device and scan test circuit generation method Pending JP2017106826A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2015241216A JP2017106826A (en) 2015-12-10 2015-12-10 Scan test circuit generation device and scan test circuit generation method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015241216A JP2017106826A (en) 2015-12-10 2015-12-10 Scan test circuit generation device and scan test circuit generation method

Publications (1)

Publication Number Publication Date
JP2017106826A true JP2017106826A (en) 2017-06-15

Family

ID=59059646

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015241216A Pending JP2017106826A (en) 2015-12-10 2015-12-10 Scan test circuit generation device and scan test circuit generation method

Country Status (1)

Country Link
JP (1) JP2017106826A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110647901A (en) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 System and method for grouping and ordering scan flip-flops within an electronic circuit

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5043986A (en) * 1989-05-18 1991-08-27 At&T Bell Laboratories Method and integrated circuit adapted for partial scan testability
JPH08105941A (en) * 1994-10-05 1996-04-23 Nec Corp Scan pass circuit, and scan pass circuit designing device
JPH08184647A (en) * 1994-12-28 1996-07-16 Matsushita Electron Corp Design of semiconductor integrated circuit
JPH116866A (en) * 1997-04-25 1999-01-12 Matsushita Electric Ind Co Ltd Method for design for testability, method for generating test series and semiconductor integrated circuit
US5872795A (en) * 1997-11-26 1999-02-16 Intel Corporation Method and apparatus for scan testing of multi-phase logic
JP2000020560A (en) * 1998-06-29 2000-01-21 Matsushita Electric Ind Co Ltd Test design method for semiconductor integrated circuit
US6370664B1 (en) * 1998-10-29 2002-04-09 Agere Systems Guardian Corp. Method and apparatus for partitioning long scan chains in scan based BIST architecture
JP2004199268A (en) * 2002-12-17 2004-07-15 Asahi Kasei Microsystems Kk Method for designing semiconductor integrated circuit
JP2004233084A (en) * 2003-01-28 2004-08-19 Ricoh Co Ltd Semiconductor integrated circuit and scan testing method
JP2008224238A (en) * 2007-03-08 2008-09-25 Ricoh Co Ltd Semiconductor integrated circuit, semiconductor integrated circuit design support device, and method for manufacturing the semiconductor integrated circuit
JP2010199106A (en) * 2009-02-23 2010-09-09 Renesas Electronics Corp Method for designing semiconductor integrated circuit, designing program and semiconductor integrated circuit
JP2010223672A (en) * 2009-03-23 2010-10-07 Toshiba Corp Scan test circuit
US20140270050A1 (en) * 2013-03-15 2014-09-18 Lsi Corporation Design and deployment of custom shift array macro cells in automated application specific integrated circuit design flow

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5043986A (en) * 1989-05-18 1991-08-27 At&T Bell Laboratories Method and integrated circuit adapted for partial scan testability
JPH08105941A (en) * 1994-10-05 1996-04-23 Nec Corp Scan pass circuit, and scan pass circuit designing device
JPH08184647A (en) * 1994-12-28 1996-07-16 Matsushita Electron Corp Design of semiconductor integrated circuit
JPH116866A (en) * 1997-04-25 1999-01-12 Matsushita Electric Ind Co Ltd Method for design for testability, method for generating test series and semiconductor integrated circuit
US5872795A (en) * 1997-11-26 1999-02-16 Intel Corporation Method and apparatus for scan testing of multi-phase logic
JP2000020560A (en) * 1998-06-29 2000-01-21 Matsushita Electric Ind Co Ltd Test design method for semiconductor integrated circuit
US6370664B1 (en) * 1998-10-29 2002-04-09 Agere Systems Guardian Corp. Method and apparatus for partitioning long scan chains in scan based BIST architecture
JP2004199268A (en) * 2002-12-17 2004-07-15 Asahi Kasei Microsystems Kk Method for designing semiconductor integrated circuit
JP2004233084A (en) * 2003-01-28 2004-08-19 Ricoh Co Ltd Semiconductor integrated circuit and scan testing method
JP2008224238A (en) * 2007-03-08 2008-09-25 Ricoh Co Ltd Semiconductor integrated circuit, semiconductor integrated circuit design support device, and method for manufacturing the semiconductor integrated circuit
JP2010199106A (en) * 2009-02-23 2010-09-09 Renesas Electronics Corp Method for designing semiconductor integrated circuit, designing program and semiconductor integrated circuit
JP2010223672A (en) * 2009-03-23 2010-10-07 Toshiba Corp Scan test circuit
US20140270050A1 (en) * 2013-03-15 2014-09-18 Lsi Corporation Design and deployment of custom shift array macro cells in automated application specific integrated circuit design flow

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110647901A (en) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 System and method for grouping and ordering scan flip-flops within an electronic circuit
KR20200001517A (en) * 2018-06-27 2020-01-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Machine-learning based scan design enablement platform
KR102227683B1 (en) * 2018-06-27 2021-03-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Machine-learning based scan design enablement platform
US11113444B2 (en) 2018-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Machine-learning based scan design enablement platform
CN110647901B (en) * 2018-06-27 2022-05-24 台湾积体电路制造股份有限公司 System and method for grouping and ordering scan flip-flops within an electronic circuit

Similar Documents

Publication Publication Date Title
US8850280B2 (en) Scan enable timing control for testing of scan cells
JP5845187B2 (en) Failure detection system, take-out device, failure detection method, program, and recording medium
US10496771B2 (en) Semiconductor apparatus and design apparatus
US20050235184A1 (en) Semiconductor integrated circuit device and test method thereof
CN112906345B (en) Method, system, and medium for validating paths in logic circuits
US10482207B2 (en) Verification support apparatus and design verification support method
JP2012208029A (en) Scan flip-flop circuit, scan test circuit, and control method thereof
JP2007263790A (en) Semiconductor integrated circuit device, and delayed fault testing method
Hage et al. On testing of superscalar processors in functional mode for delay faults
US10078114B2 (en) Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
JP2017106826A (en) Scan test circuit generation device and scan test circuit generation method
JP7169044B2 (en) Semiconductor integrated circuit, its design method, program and storage medium
JP5292164B2 (en) Failure diagnosis method and failure diagnosis system
JP2008292368A (en) Scan-test point circuit and integrated circuit
JP2013019694A (en) Scan test circuit and generation method of scan test circuit
CN113609804A (en) Case generation method and device, test method and testability design method
JP2005257366A (en) Semiconductor circuit device and scan test method concerning semiconductor circuit
JP2012146865A (en) Semiconductor integrated circuit, and scan test circuit design method
JP4275636B2 (en) Verification support device, verification support method, verification support program, and recording medium
US9043736B2 (en) Circuit design support method, computer product, and circuit design support apparatus
JP2012159371A (en) Scan chain circuit, scan chain construction method, and test device
US20120317450A1 (en) Semiconductor device
JP3573692B2 (en) Scan path circuit, scan path circuit generation method, and recording medium recording the program
JP2010002345A (en) Ac test facilitating circuit and ac test method
JP2011094986A (en) Semiconductor integrated circuit, semiconductor integrated circuit design method, scanning test pattern generation method, and its program

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181108

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20190117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191008

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200331