JP2016171180A - 基板保持具及びこれを用いた基板処理装置 - Google Patents

基板保持具及びこれを用いた基板処理装置 Download PDF

Info

Publication number
JP2016171180A
JP2016171180A JP2015049379A JP2015049379A JP2016171180A JP 2016171180 A JP2016171180 A JP 2016171180A JP 2015049379 A JP2015049379 A JP 2015049379A JP 2015049379 A JP2015049379 A JP 2015049379A JP 2016171180 A JP2016171180 A JP 2016171180A
Authority
JP
Japan
Prior art keywords
gas
wafer
substrate
plasma
substrate holder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015049379A
Other languages
English (en)
Other versions
JP6486154B2 (ja
Inventor
大海 及川
Masami Oikawa
大海 及川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2015049379A priority Critical patent/JP6486154B2/ja
Priority to US15/055,737 priority patent/US20160265107A1/en
Priority to KR1020160028253A priority patent/KR102003585B1/ko
Priority to CN201610140383.1A priority patent/CN105970189A/zh
Publication of JP2016171180A publication Critical patent/JP2016171180A/ja
Application granted granted Critical
Publication of JP6486154B2 publication Critical patent/JP6486154B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

【課題】膜厚の面内均一性を向上させる。【解決手段】複数のウエハWを棚状に保持し、複数のウエハWに対してプラズマ処理を行うために用いられる基板保持具であって、隣接するウエハWの間に設けられ、ウエハWの被処理面と対向する側の面の外周縁部に凸部284aを有する円環状部材284を備える基板保持具を用いることにより、ガス噴出孔から噴射され、活性化されたイオン成分の多くが該凸部284aによりウエハWに到達することを阻害し、ウエハWの外周縁部において膜がシュリンクすることを抑制する。【選択図】図4

Description

本発明は、基板保持具及びこれを用いた基板処理装置に関する。
従来から、複数のウエハに対して一括(バッチ)で成膜処理を行う基板処理装置として、縦型の基板処理装置が知られている。縦型の基板処理装置では、複数のウエハを積層して保持したウエハボートを処理容器に収容し、ガス供給手段からウエハに対して処理ガスを供給することで成膜処理を行う。
縦型の基板処理装置としては、複数のウエハを積層して保持するウエハボートにおいて、各々のウエハの真上に円形孔を有するリングを配置する構成が知られている(例えば、特許文献1参照)。また、ウエハボートにおける円形孔の内径がウエハボートの下端から上端へ向かって漸増するように配置されている。
特開2010−132958号公報
しかしながら、上記技術では、ウエハの外周縁部にプラズマが直接作用するため、ウエハの外周縁部に形成される膜のシュリンクが生じることがある。このため、膜厚の面内均一性について更なる改善が必要となってきている。
そこで、本発明の一つの案では、膜厚の面内均一性を向上させることが可能な基板処理装置を提供することを目的とする。
一つの案では、複数の基板を棚状に保持し、前記複数の基板に対してプラズマ処理を行うために用いられる基板保持具であって、隣接する前記基板の間に設けられ、前記基板の被処理面と対向する側の面の外周縁部に凸部を有する円環状部材を備える、基板保持具が提供される。
一態様によれば、膜厚の面内均一性を向上させることができる。
本発明の一実施形態に係る基板処理装置の概略縦断面図である。 図1の基板処理装置の処理容器近傍の概略横断面図である。 ウエハボートの一例を説明するための図である。 円環状部材を例示する概略側面図である。 円環状部材を例示する概略斜視図である。 ウエハボートの上端部分に配置されたウエハ上に成膜されたSiO膜の膜厚の測定結果を示すグラフである。 ウエハボートの中央部分に配置されたウエハ上に成膜されたSiO膜の膜厚の測定結果を示すグラフである。 ウエハボートの下端部分に配置されたウエハ上に成膜されたSiO膜の膜厚の測定結果を示すグラフである。
以下、本発明の実施形態について添付の図面を参照しながら説明する。尚、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複した説明を省く。
(基板処理装置の構成)
本発明の一実施形態に係る基板保持具が用いられる基板処理装置の一例について説明する。図1は、本発明の一実施形態に係る基板処理装置1の概略縦断面図である。図2は、図1の基板処理装置1の処理容器24近傍の概略横断面図である。
図1及び図2に示すように、本発明の基板処理装置1は、鉛直方向に設置されて下端が開口された有天井の縦長円筒体状の処理容器24を有している。この処理容器24の全体は、例えば石英により形成されており、この処理容器24内の天井には、石英製の天井板26が設けられて封止されている。また、この処理容器24の下端部は、排気特性の向上のためにその内径が少し大きく設定され、その下端は開口されている。この下端部に例えばステンレススチール製の円筒体状のマニホールドを連結するようにした構成を用いてもよい。
上記処理容器24の下端開口部においては、その下方より多数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート28が昇降可能に挿脱自在になされている。本実施形態では、このウエハボート28の支柱281には、例えば50〜150枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート28は、石英製の保温筒30を介してテーブル32上に載置されており、このテーブル32は、処理容器24の下端開口部を開閉する例えばステンレススチール製の蓋部34を貫通する回転軸36上に支持される。そして、この回転軸36の蓋部34に対する貫通部には、例えば磁性流体シール38が介設され、この回転軸36を気密にシールしつつ回転可能に支持している。また、蓋部34の周辺部と処理容器24の下端部には、例えばOリング等よりなるシール部材40が介設されており、処理容器24内のシール性を保持している。
上記した回転軸36は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム42の先端に取り付けられており、ウエハボート28及び蓋部34等を一体的に昇降して処理容器24内へ挿脱できるようになされている。尚、上記テーブル32を上記蓋部34側へ固定して設け、ウエハボート28を回転させることなくウエハWの処理を行うようにしてもよい。そして、この処理容器24の下端部は、例えばステンレススチールよりなるベース板44に取り付けられて支持されている。
この処理容器24の下部には、処理容器24内の方へプラズマ化される第1のガスを供給する第1のガス供給手段46と、第2のガスを供給する第2のガス供給手段48とが設けられる。具体的には、上記第1のガス供給手段46は、上記処理容器24の下部の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる第1のガスノズル50を有している。この第1のガスノズル50には、その長さ方向に沿って複数(多数)のガス噴射孔50Aが所定の間隔を隔てて形成されて分散形のガスノズルとなっており、各ガス噴射孔50Aから水平方向に向けて略均一に第1のガスを噴射できるようになっている。
また同様に、上記第2のガス供給手段48も、上記処理容器24の下部の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる第2のガスノズル52を有している。上記第2のガスノズル52には、その長さ方向に沿って複数(多数)のガス噴射孔52Aが所定の間隔を隔てて形成されて分散形のガスノズルとなっており、各ガス噴射孔52Aから水平方向に向けて略均一に第2のガスを噴射できるようになっている。また、上記第1及び第2のガスノズル50、52に接続されるガス通路46A、48Aの途中には、それぞれガス流量を制御するマスフローコントローラのような流量制御器46B、48B及び開閉弁46C、48Cが介設されている。
尚、ここでは第1のガスと第2のガスを供給する第1のガス供給手段46と第2のガス供給手段48しか示していないが、更に多くのガス種を用いる場合には、それに対応して更に別のガス供給手段を設けるのは勿論であり、例えばN等のパージガスを供給するためのガス供給手段も設けられている。また図示されてないが、不要な膜を除去するクリーニングガス、例えばHF系ガスを供給するクリーニングガス供給系も設けられている。
そして、この処理容器24の下部の側壁には排気口54が形成されている。そして、この排気口54には、圧力調整弁56Aや真空ポンプ56B等が介設された真空排気系56が接続されており、処理容器24内の雰囲気を真空引きして所定の圧力に維持できるようになっている。
そして、上記処理容器24には、その長さ方向に沿って設けられて、上記第1のガスを高周波電力により発生したプラズマにより活性化する活性化手段58が形成されている。この活性化手段58は、図2にも示すように処理容器24の長手方向に沿って設けられたプラズマ区画壁60により区画形成されたプラズマ形成ボックス62と、このプラズマ区画壁60にその長手方向に沿って設けられたプラズマ電極64と、このプラズマ電極64に接続された高周波電源66とにより主に構成されている。
具体的には、上記プラズマ形成ボックス62は、上記処理容器24の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口68を形成し、この開口68をその外側より覆うようにして断面コ字状になされた上下に細長い例えば石英製の上記プラズマ区画壁60を容器外壁に気密に溶接接合することにより形成されている。
これにより、この処理容器24の側壁の外側に突出させるようにして、断面コ字状に窪ませて一側が処理容器24内へ開口されて連通されたプラズマ形成ボックス62が一体的に形成されることになる。すなわち、プラズマ区画壁60の内部空間はプラズマ形成領域となっており、上記処理容器24内に一体的に連通された状態となっている。上記開口68は、ウエハボート28に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。そして、上記プラズマ区画壁60の両側壁の外側面には、互いに対向させるようにして一対の上記プラズマ電極64が設けられている。このプラズマ電極64は、プラズマ形成ボックス62の長手方向に沿って全体に形成されている。
そして、上記各プラズマ電極64は、それぞれ給電ライン70に接続され、この給電ライン70は、インピーダンス整合を図るための整合回路71が途中に介設されて上記プラズマ発生用の高周波電源66に接続されており、この高周波電力によってプラズマ形成ボックス62内にプラズマを形成するようになっている。ここで上記高周波電源66の周波数としては、例えば13.56MHzが用いられるが、これに限定されず、4MHz〜27.12MHzの範囲内の周波数を用いることができる。
そして、上記処理容器24内を上方向に延びて行く第1のガスノズル50は途中で処理容器24の半径方向外方へ屈曲されて、上記プラズマ形成ボックス62内の一番奥(処理容器24の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源66がオンされている時に上記第1のガスノズル50の各ガス噴射孔50Aから噴射された第1のガスはここでプラズマにより活性化されて処理容器24の中心に向けて拡散しつつ流れるようになっている。尚、上記第1のガスノズル50は、処理容器24の側壁を貫通させないで、プラズマ区画壁60の下端部より直接貫通させるようにして設けてもよい。
また、処理容器24の開口68の内側の一側には、上記第2のガスノズル52が起立させて設けられており、第2のガスノズル52に設けた各ガス噴射孔52Aより処理容器24の中心方向に向けて第2のガスを噴射し得るようになっている。そして、このように形成された処理容器24の外側に、シールド筐体72と、プラズマ処理中にこのシールド筐体72内に冷却気体を流す冷却機構74とが設けられている。具体的には、上記処理容器24の外側には天井部も含めて周囲全体を囲むようにして例えば円筒状に成形された上記シールド筐体72が設けられている。このシールド筐体72は、アルミニウムやステンレススチール等の金属よりなって接地されており、活性化手段58から外へ洩出する高周波を遮断して外側へ洩れ出ないようにしている。
このシールド筐体72の下端部は、上記ベース板44に接続されており、この下方からも高周波が洩れ出ないようにしている。このシールド筐体72のシールド値(比導電率×比透磁率×板厚)は高い程よく、例えばSUS304(ステンレススチールの種類)を用いた場合の板厚は1.5mm以上に設定するのがよい。また、その寸法は例えば直径が300mmのウエハWを収容する上記処理容器24の直径が450mm程度の場合には、上記シールド筐体72の直径は600mm程度である。
そして、このシールド筐体72に取り付けられる上記冷却機構74は、このシールド筐体72の一端である下端部に設けられて冷却気体を取り込むための吸気ヘッダ部76と、シールド筐体72の他端である上端部に設けられてシールド筐体72内の雰囲気を排気するための排気ヘッダ部78とよりなり、上記シールド筐体72と処理容器24との間の空間部82に沿って矢印84に示すように冷却気体を流すようになっている。そして、この排気ヘッダ部78は、排気源80に接続される。この排気源80とは、ここではクリーンルーム内に設置されたこの基板処理装置1を含む各装置内の排気を行う工場ダクト83よりなり、この工場ダクト83の下流側には、大型の排気ファン(図示せず)が設けられており、工場内全体の排気を行うようになっている。
上記吸気ヘッダ部76は、上記シールド筐体72の側壁に、その周方向に沿って設けられた気体流通ダクト86と、上記シールド筐体72の側壁にその周方向に沿って所定の間隔で均等に形成された気体流通孔88と、上記気体流通ダクト86に設けられて冷却気体を取り込むための気体導入口90とを有している。上記気体流通ダクト86は、ここでは断面が略矩形状に成形されており、シールド筐体72の下端部の周囲をリング状に囲むようにして設けられている。
そして、この気体流通ダクト86の天井部に、上記シールド筐体72の直径方向に対向するように配置して一対(2個)の上記気体導入口90が形成されている。上記気体流通孔88は、ここではシールド筐体72の周方向に沿って長方形状に成形されており、全体で4個の気体流通孔88が等間隔で配置されている。従って、上記2つの気体導入口90から気体流通ダクト86内に取り込んだ冷却気体を、この気体流通ダクト86内に沿って流しつつ上記長方形状の気体流通孔88からシールド筐体72内へ流し込むようになっている。
この場合、冷却気体を均等に流すために、上記気体導入口90を、隣り合う気体流通孔88間の中央部に設置するのが好ましい。この気体流通孔88の数は4個に限定されず、2つ、或いは更に多く設けてもよいし、パンチングメタル状にリング状に形成してもよい。また、高周波のシールド効果を高めるために上記気体流通孔88にパンチングメタルを取り付けるようにしてもよい。
そして、ここでは上記2つの気体導入口90に接続するようにして、半円弧状の冷却気体案内ダクト92が設けられる。この冷却気体案内ダクト92の中央部には気体入口94が設けられると共に、その両端側に、上記各気体導入口90に連通される開口96がそれぞれ形成されている。ここではクリーンルーム内の常時23〜27℃程度に維持されている清浄空気が冷却気体として用いられており、従って、上記気体導入口90から導入された清浄空気よりなる冷却気体が上記冷却気体案内ダクト92内を流れて開口96及び気体導入口90を介してリング状の気体流通ダクト86内を2方向に分かれて流れ、上記気体流通孔88よりシールド筐体72内へ流れ込むようになっている。実際には、上記気体入口94には、図示しない給気路が接続され、矢印120に示すように、この給気路からクリーンルーム内と同様な温度の清浄空気が導入される。
尚、上記冷却気体案内ダクト92は設けないで、2つの気体導入口90より直接的に冷却気体であるクリーンルーム内の清浄空気を取り込むようにしてもよいし、この気体導入口90の数を更に多く設けるようにしてもよい。
一方、上記シールド筐体72の上端部に設けられる排気ヘッダ部78は、シールド筐体72の端面を塞ぐ端板98に形成された気体流通孔100と、この気体流通孔100を囲んで覆うようにして設けられた箱状の排気ボックス102と、この排気ボックス102に設けられた気体排気口104と、この気体排気口104に接続されて上記排気源80である工場ダクト83に接続される排気路106とを有している。
上記端板98は、シールド筐体72の天井板として機能するものであり、この端板98も高周波に対するシールド機能を有する金属板、例えばステンレススチールにより形成されている。この端板98に形成される気体流通孔100は、ここでは複数の直径の小さなパンチ孔100Aを配列して形成されており、下方から上昇してくる冷却気体を、パンチ孔100Aを通して上方へ流すと共に、高周波に対するシール性を高めるようになっている。すなわち、ここでは端板98としては、中央部側に複数の孔が形成されたパンチングメタルを用いることができる。この場合、この気体流通孔100を大口径の1つの孔として形成してもよい。この大口径の気体流通孔100にパンチングメタルを取り付けるようにしてもよい。
上記複数のパンチ孔100Aを介して上記流れ出た冷却気体は、気体排気口104より工場ダクト83側へ向けて流れるように構成されている。尚、気体排気口104を排気ボックス102の側壁ではなく、この気体排気口104を排気ボックス102の天井部に設けるようにして冷却気体を上方へ抜くようにしてもよい。また、上記排気路106には、流量制御弁113が介設されており、排気風量を制御できるようになっている。
そして、図1に戻ってこの基板処理装置1の動作全体の制御、例えばガスの供給の開始及び供給の停止、高周波電源66の電力の設定や、このオン・オフ、プロセス圧力の設定等は例えばコンピュータ等よりなる装置制御部114により行われる。そして、この装置制御部114は、この基板処理装置1の全体の動作も制御することになる。また、この装置制御部114は、上記各種ガスの供給や供給停止の制御、高周波のオン・オフ制御及び装置全体の動作を制御するためのコンピュータに読み取り可能なプログラムを記憶する例えばフレキシブルディスク、CD(Compact Disc)、ハードディスク、フラッシュメモリ或いはDVD等の記憶媒体116を有している。
次に、前述した処理容器24内へ収容されるウエハボート28について詳細に説明する。図3は、ウエハボート28の一例を説明するための図である。図4は、円環状部材284を例示する概略側面図である。図5は、円環状部材284を例示する概略斜視図である。具体的には、図5は、ウエハWの被処理面の側から円環状部材284を視たときの円環状部材284の一部を拡大した図である。
ウエハボート28は、全体が耐熱性材料、例えば石英で構成されており、例えば図3に示すように、6本の支柱281を有する。また、6本の支柱281の各々の上端は天板282に固定され、下端は底板283に固定されている。
支柱281は、天板282及び底板283の略半円側において所定の間隔を隔てて配置されている。これにより、支柱281が配置された側と反対側の半円側がウエハWを搬入又は搬出するための搬入搬出側となる。尚、図3では、6本の支柱281が略半円弧上において略等間隔で配置されているが、支柱281の本数及び支柱281が配置される間隔については特に限定されるものではない。
また、支柱281には、図3中において水平方向になされた複数の円環状部材284が支柱281の長手方向に所定のピッチL1で取り付けられている。
円環状部材284は、図4及び図5に示すように、ウエハWの被処理面と対向する側の面の外周縁部に沿って設けられた凸部284aと、外側端部に設けられた切欠部284bとを有する。また、円環状部材284は、切欠部284bの位置を支柱281の位置と対応させることで、支柱281に保持され、例えば溶接により取り付けられている。
円環状部材284は、図3及び図4に示すように、その外形がウエハWの外径よりも大きい形状を有する。そして、円環状部材284の内周縁部には、円環状部材284の上面から上方に突出すると共に、半径方向の内側に突出する3個の爪部285が設けられており、その先端部にウエハWの周縁部下面が載置される。3個の爪部285は、ウエハWを3点支持することができる位置に取り付けられている。これにより、ウエハWと円環状部材284とが長手方向に間隔をおいて交互に配置される。
尚、図3では、円環状部材284に設けられた爪部285にウエハWを載置することで、ウエハWと円環状部材284とをウエハボート28の長手方向に間隔をおいて交互に配置される構成について説明したが、本発明はこの点において限定されるものではない。例えば、ウエハボート28にウエハWを載置するための溝部を形成し、溝部に直接ウエハWを載置することで、ウエハWと円環状部材284とをウエハボート28の長手方向に間隔をおいて交互に配置してもよい。
(基板処理方法)
前述した基板処理装置1を用いた基板処理方法の一例について説明する。尚、以下では、基板処理装置1を用いたプラズマALD成膜処理を室温付近で行うことにより、ウエハWの被処理面にシリコン酸化膜(SiO膜)を形成する場合を例にとって説明する。この場合、プラズマにより活性化する第1のガスとして酸素ガスを使用し、第2のガスとしてシラン系ガスを使用する。シラン系ガスと酸素ガスとを交互に供給すると共に、酸素ガスをプラズマにより活性化させることで、ウエハWの表面にSiO膜を形成する。しかしながら、基板処理方法は、この点において限定されるものではない。成膜する膜種は他の膜種であってもよい。また、プラズマALD成膜処理を例にとって説明するが、プラズマCVD処理、プラズマ改質処理、プラズマ酸化拡散処理、プラズマスパッタ処理、プラズマ窒化処理等のプラズマを用いる他の基板処理に対しても、適用することができる。
まず、例えば50〜150枚の直径が300mmのウエハWが載置された状態のウエハボート28を、室温、例えば23〜27℃程度になされた処理容器24内に、その下方から上昇させてロードする。そして、蓋部34でマニホールドの下端開口部を閉じることにより、処理容器24内を密閉する。
次に、処理容器24内を真空引きして所定のプロセス圧力に維持し、酸素ガスとシラン系ガスとを、各々、第1のガス供給手段46及び第2のガス供給手段48から、交互に間欠的に供給する。この際、酸素ガスを供給する際に、全供給時間の少なくとも一部の供給時間において、高周波電源66をオンにして、活性化手段58のプラズマ形成ボックス62内にプラズマを立てるようにする。これにより、回転しているウエハボート28に支持されているウエハWの表面に、SiO膜が形成される。
より具体的には、酸素ガスは、第1のガスノズル50のガス噴射孔50Aから水平方向へと噴射され、シラン系ガスは第2のガスノズル52のガス噴射孔52Aから水平方向へと噴射され、これらのガスがウエハWの表面上で反応してSiO膜が形成される。この場合、各々のガスは、連続的に供給されるのではなく、互いにタイミングを同じにして、或いはタイミングをずらして供給する。そして、タイミングをずらしたガス同士は、間に間欠期間(パージ期間)を挟んで交互に間欠的に繰り返し供給され、SiO膜の薄膜を一層ずつ繰り返し積層する。そして、酸素ガスを流すときには、高周波電源66がオンされてプラズマが立てられて、供給される酸素ガスを活性化して活性種等が作られ、反応(分解)が促進される。このときの高周波電源66の出力は、例えば50W〜3kWの範囲内とすることができる。
(作用・効果)
本発明の一実施形態に係るウエハボート28及び基板処理装置1の作用・効果について説明する。
本発明の一実施形態に係るウエハボート28は、複数のウエハWを棚状に保持し、複数のウエハWに対してプラズマ処理を行うために用いられる。そして、隣接するウエハWの間に設けられ、ウエハWの被処理面と対向する側の面の外周縁部に凸部284aを有する円環状部材284を備える。このため、第1のガスノズル50のガス噴射孔50Aから噴射され、活性化手段58により活性化された第1のガスのうちの一部は、円環状部材284に設けられた凸部284aによってウエハWに到達することを阻害される。
具体的には、高周波電源66がオンされているときに、第1のガスノズル50のガス噴射孔50Aから噴射された第1のガスは、プラズマ形成ボックス62内で活性化されてイオン成分、ラジカル成分等の活性種となって処理容器24の中心に向けて拡散しつつ流れるようになっている。ここで、イオン成分がウエハWに到達すると、ウエハWの表面に形成された膜がシュリンクする。このため、イオン成分が到達しやすいウエハWの外周縁部(図4において「A」で示す。)の膜厚が中央部分の膜厚よりも薄くなる。
しかしながら、本発明の一実施形態に係るウエハボート28を用いると、イオン成分の多くが円環状部材284に設けられた凸部284aによりウエハWに到達することを阻害される。このため、ウエハWの外周縁部において膜がシュリンクすることを抑制することができる。結果として、膜厚の面内均一性を向上させることができる。
尚、ラジカル成分は、拡散距離が長いため、円環状部材284に凸部284aが設けられている場合であっても十分にウエハWに到達する。このため、ウエハWの表面には、ラジカル成分によって膜が形成されることとなる。
本発明の一実施形態に係る基板処理装置1は、前述したウエハボート28を有する。このため、膜厚の面内均一性を向上させることができる。
(実施例)
本発明の一実施形態に係るウエハボート28を用いて、直径が300mmのシリコンウエハ上にSiO膜を成膜した(以下「実施例」という。)。また、比較のために、前述した凸部284aを有していないウエハボートを用いて、直径が300mmのシリコンウエハ上にSiO膜を成膜した(以下「比較例」という。)。
また、実施例及び比較例においてシリコンウエハ上にSiO膜を成膜した後、ウエハボート28の上端部分、中央部分及び下端部分に配置されたシリコンウエハ上に成膜されたSiO膜の膜厚を測定した。
図6、図7及び図8は、各々、ウエハボート28の上端部分、中央部分及び下端部分に配置されたシリコンウエハ上に成膜されたSiO膜の膜厚の測定結果を示すグラフである。図6から図8において、縦軸は目標膜厚からのズレ(%)を表し、横軸はシリコンウエハの中心からの距離(mm)を表す。また、図6から図8において、丸印は実施例での測定結果を表し、三角印は比較例での測定結果を表す。
図6から図8に示すように、ウエハボート28の上端部分、中央部分、下端部分のいずれの部分においても、実施例のシリコンウエハの外周縁部における目標膜厚からのズレが、比較例のシリコンウエハの外周縁部における目標膜厚からのズレよりも小さい。すなわち、本発明の一実施形態に係るウエハボート28を用いることで、膜厚の面内均一性が向上することが確認できた。
以上、基板保持具及び基板処理装置を実施例によって説明したが、本発明は上記実施例に限定されるものではなく、本発明の範囲内で種々の変形及び改良が可能である。
1 基板処理装置
24 処理容器
28 ウエハボート
281 支柱
282 天板
283 底板
284 円環状部材
284a 凸部
284b 切欠部
46 第1のガス供給手段
50 第1のガスノズル
50A ガス噴射孔
58 活性化手段
62 プラズマ形成ボックス
64 プラズマ電極
66 高周波電源
W ウエハ

Claims (4)

  1. 複数の基板を棚状に保持し、前記複数の基板に対してプラズマ処理を行うために用いられる基板保持具であって、
    隣接する前記基板の間に設けられ、前記基板の被処理面と対向する側の面の外周縁部に凸部を有する円環状部材を備える、
    基板保持具。
  2. 前記円環状部材の外径は、前記基板の外径よりも大きい、
    請求項1に記載の基板保持具。
  3. 前記円環状部材は、前記基板の被処理面と反対側の面を保持する爪部を有する、
    請求項1又は2に記載の基板保持具。
  4. 請求項1乃至3のいずれか一項に記載の基板保持具と、
    前記基板保持具を収容する処理容器と、
    前記処理容器の長手方向に沿って設けられ、前記基板保持具に対して処理ガスを供給するガス供給手段と、
    前記処理容器の長手方向に沿って設けられ、前記処理ガスを活性化する活性化手段と
    を備える、
    基板処理装置。
JP2015049379A 2015-03-12 2015-03-12 基板保持具及びこれを用いた基板処理装置 Expired - Fee Related JP6486154B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2015049379A JP6486154B2 (ja) 2015-03-12 2015-03-12 基板保持具及びこれを用いた基板処理装置
US15/055,737 US20160265107A1 (en) 2015-03-12 2016-02-29 Substrate holder and substrate processing apparatus
KR1020160028253A KR102003585B1 (ko) 2015-03-12 2016-03-09 기판 보유 지지구 및 기판 처리 장치
CN201610140383.1A CN105970189A (zh) 2015-03-12 2016-03-11 基板保持具以及基板处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015049379A JP6486154B2 (ja) 2015-03-12 2015-03-12 基板保持具及びこれを用いた基板処理装置

Publications (2)

Publication Number Publication Date
JP2016171180A true JP2016171180A (ja) 2016-09-23
JP6486154B2 JP6486154B2 (ja) 2019-03-20

Family

ID=56887473

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015049379A Expired - Fee Related JP6486154B2 (ja) 2015-03-12 2015-03-12 基板保持具及びこれを用いた基板処理装置

Country Status (4)

Country Link
US (1) US20160265107A1 (ja)
JP (1) JP6486154B2 (ja)
KR (1) KR102003585B1 (ja)
CN (1) CN105970189A (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101905822B1 (ko) * 2017-03-21 2018-10-08 주식회사 유진테크 기판 처리장치
US11742186B2 (en) * 2017-05-21 2023-08-29 Jiangsu Favored Nanotechnology Co., LTD Multi-functional protective coating
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN112017936A (zh) * 2019-05-28 2020-12-01 东京毅力科创株式会社 等离子体处理装置
FI129627B (en) * 2019-06-28 2022-05-31 Beneq Oy Nuclear layer cultivation equipment

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07122504A (ja) * 1993-10-22 1995-05-12 Tokyo Electron Ltd 成膜方法
JPH08330397A (ja) * 1995-06-02 1996-12-13 Toshiba Ceramics Co Ltd 熱処理用基板保持具、熱処理方法および熱処理装置
JPH09213647A (ja) * 1996-02-06 1997-08-15 Tokyo Electron Ltd ウエハボート
US6287112B1 (en) * 2000-03-30 2001-09-11 Asm International, N.V. Wafer boat
WO2007099786A1 (ja) * 2006-02-23 2007-09-07 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
US20100300357A1 (en) * 2009-05-29 2010-12-02 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
JP2011187536A (ja) * 2010-03-05 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101640794A (zh) * 2008-07-31 2010-02-03 鸿富锦精密工业(深圳)有限公司 影像资料压缩系统及其方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07122504A (ja) * 1993-10-22 1995-05-12 Tokyo Electron Ltd 成膜方法
JPH08330397A (ja) * 1995-06-02 1996-12-13 Toshiba Ceramics Co Ltd 熱処理用基板保持具、熱処理方法および熱処理装置
JPH09213647A (ja) * 1996-02-06 1997-08-15 Tokyo Electron Ltd ウエハボート
US5752609A (en) * 1996-02-06 1998-05-19 Tokyo Electron Limited Wafer boat
US6287112B1 (en) * 2000-03-30 2001-09-11 Asm International, N.V. Wafer boat
WO2007099786A1 (ja) * 2006-02-23 2007-09-07 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
US20090203229A1 (en) * 2006-02-23 2009-08-13 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Semiconductor Device Manufacturing Method
US20100300357A1 (en) * 2009-05-29 2010-12-02 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
JP2011009699A (ja) * 2009-05-29 2011-01-13 Hitachi Kokusai Electric Inc 基板処理装置
JP2011187536A (ja) * 2010-03-05 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
US20160265107A1 (en) 2016-09-15
KR102003585B1 (ko) 2019-07-24
CN105970189A (zh) 2016-09-28
JP6486154B2 (ja) 2019-03-20
KR20160110182A (ko) 2016-09-21

Similar Documents

Publication Publication Date Title
JP6486154B2 (ja) 基板保持具及びこれを用いた基板処理装置
JP5136574B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4857849B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR102614522B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
JP4929811B2 (ja) プラズマ処理装置
JP5545055B2 (ja) 支持体構造及び処理装置
TWI443714B (zh) 成膜裝置及使用其之方法
US9970110B2 (en) Plasma processing apparatus
KR101753736B1 (ko) 처리 장치 및 성막 방법
JP2007266297A (ja) プラズマ処理装置及びプラズマ処理方法
JP2020077750A (ja) クリーニング方法及び成膜方法
JP6710149B2 (ja) 基板処理装置
KR20130093029A (ko) 가스 공급 장치 및 열처리 장치
TW202117067A (zh) 用於改善均勻性的抖動或動態偏移
JP2014090212A (ja) 処理容器構造及び処理装置
CN115537776A (zh) 成膜装置
JP2008300688A (ja) 成膜装置
TW202033819A (zh) 操作空間沉積工具的方法
JP6211973B2 (ja) 成膜装置
TWI838222B (zh) 具有空間分離的單個晶圓處理環境
US20210395893A1 (en) Gas nozzle, substrate processing apparatus, and substrate processing method
JP2021190571A (ja) 基板処理装置及び基板処理方法
KR20230157481A (ko) 막 형성 방법 및 기판 처리 장치
CN114203533A (zh) 处理装置
JP2015142118A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190219

R150 Certificate of patent or registration of utility model

Ref document number: 6486154

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees