JP2015531996A - Method for depositing a metal film having oxygen vacancies - Google Patents

Method for depositing a metal film having oxygen vacancies Download PDF

Info

Publication number
JP2015531996A
JP2015531996A JP2015521711A JP2015521711A JP2015531996A JP 2015531996 A JP2015531996 A JP 2015531996A JP 2015521711 A JP2015521711 A JP 2015521711A JP 2015521711 A JP2015521711 A JP 2015521711A JP 2015531996 A JP2015531996 A JP 2015531996A
Authority
JP
Japan
Prior art keywords
metal
oxygen
substrate
layer
reactive gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015521711A
Other languages
Japanese (ja)
Inventor
シューベルト チュー,
シューベルト チュー,
アル−シュアン ピン,
アル−シュアン ピン,
ヨシヒデ センザキ,
ヨシヒデ センザキ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015531996A publication Critical patent/JP2015531996A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/048Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with layers graded in composition or physical properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/44Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by a measurable physical property of the alternating layer or system, e.g. thickness, density, hardness

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Abstract

既定の酸素欠損を有する酸素欠損金属膜を、少なくとも一つの前駆体の化学反応により、基板上に堆積させる方法が、記載される。代表的な方法は、金属酸化物堆積サイクルの間、金属を含む金属反応ガス及び酸素を含む酸素反応ガスに基板を曝露し、金属酸化物を含有する層を基板上に形成すること、酸素欠損堆積サイクルの間、金属を含む金属反応ガス及び酸素を含まない追加の反応ガスに基板を曝露し、第二のサイクルの間に、金属窒化物及び混合金属のうちの少なくとも一つの第二の層を基板上に形成し、第二の層は、金属酸化物を含有する層と比較して酸素が欠損していること、並びに、金属酸化物堆積サイクル及び酸素欠損堆積サイクルを繰り返し、既定の酸素欠損を有する酸素欠損膜を形成すること、を含む。【選択図】図1A method is described for depositing an oxygen deficient metal film having a predetermined oxygen deficiency on a substrate by a chemical reaction of at least one precursor. An exemplary method is to expose a substrate to a metal reactive gas containing metal and an oxygen reactive gas containing oxygen during a metal oxide deposition cycle to form a layer containing the metal oxide on the substrate, oxygen deficiency During the deposition cycle, the substrate is exposed to a metal reactive gas containing metal and an additional reactive gas not containing oxygen, and a second layer of at least one of metal nitride and mixed metal during the second cycle. On the substrate, the second layer is deficient in oxygen compared to the layer containing the metal oxide, and repeats the metal oxide deposition cycle and the oxygen deficiency deposition cycle. Forming an oxygen-deficient film having defects. [Selection] Figure 1

Description

本発明の態様は、酸素欠損を有する金属膜を堆積させるための方法に関する。   Aspects of the invention relate to a method for depositing a metal film having an oxygen deficiency.

基板表面上の薄膜の堆積は、半導体処理、拡散バリアコーティング、磁気読み書きヘッドのための誘電体、ランダムアクセスメモリーを含めて、様々な産業において重要なプロセスである。DRAMメモリモジュールなどの揮発型のメモリと対照的に、抵抗変化メモリ(「ReRAM」又は「RRAM」)は、その単純な構造、長い保持時間、高速なスイッチング速度、及び高いスケーラビリティのため、従来のフラッシュメモリに取って代わることのできる、将来の不揮発性メモリデバイスの、可能な候補の一つとして、多くの関心を引いて来た。遷移金属を含む金属酸化物膜が、高誘電率ゲート誘電体膜、強誘電体メモリのための活性材料、薄膜バッテリカソード、シリコン系発光素子における材料、及びメモリセルを含む、半導体の応用において用いられる。RRAMは、登場しつつあるメモリ型であって、メモリセルの抵抗を調節するために金属酸化物膜中の酸素フィラメントに依存する。酸素欠損を有する金属酸化物が、RRAMセルの動作にとって望ましい。しかしながら、金属酸化物の堆積層の特定の組成を制御する能力は、限定されうる。多くの金属−酸素凝縮相系は、様々な酸化電位で安定であり、明確な化学量論的相を有することが知られている金属酸化物を用いる。これらの材料にとって、いったん酸化電位閾値を超えて、平衡に達すると、所望の金属酸化物を一貫して得ることが、概して可能である。しかしながら、酸素欠損を有する金属酸化物膜が望まれる応用のために、いったん物理的気相堆積(PVD)又は化学気相堆積(CVD)により化学量論的堆積が起こると、いくぶんかの酸素を吸収するための「スカベンジング」又は「ゲッタリング」などのプロセスが用いられて来た。   The deposition of thin films on the substrate surface is an important process in various industries, including semiconductor processing, diffusion barrier coatings, dielectrics for magnetic read / write heads, and random access memory. In contrast to volatile memories such as DRAM memory modules, resistance change memory ("ReRAM" or "RRAM") is conventional because of its simple structure, long retention time, fast switching speed, and high scalability. There has been much interest as one of the possible candidates for future non-volatile memory devices that can replace flash memory. Metal oxide films containing transition metals are used in semiconductor applications, including high dielectric gate dielectric films, active materials for ferroelectric memories, thin film battery cathodes, materials in silicon-based light emitting devices, and memory cells It is done. RRAM is an emerging memory type that relies on an oxygen filament in a metal oxide film to adjust the resistance of the memory cell. Metal oxides with oxygen vacancies are desirable for the operation of RRAM cells. However, the ability to control the specific composition of the metal oxide deposition layer can be limited. Many metal-oxygen condensed phase systems use metal oxides that are stable at various oxidation potentials and are known to have well-defined stoichiometric phases. For these materials, it is generally possible to consistently obtain the desired metal oxide once the oxidation potential threshold is exceeded and equilibrium is reached. However, for applications where a metal oxide film with oxygen vacancies is desired, once the stoichiometric deposition occurs by physical vapor deposition (PVD) or chemical vapor deposition (CVD), some oxygen will be removed. Processes such as “scavenging” or “gettering” to absorb have been used.

PVDは、非共形的堆積方法であるという制限を有し、3次元メモリアーキテクチャへの拡張性についての問題がある。原子層堆積(ALD)は定義上、自己停止的で飽和するプロセスであるため、CVDの変形であるALDを用いて、酸素欠損を有する金属酸化物膜を堆積させることは、事実上不可能であった。それゆえ、酸素欠損を有する組成物を有する遷移金属酸化物薄膜を基板表面上に作製するために、酸素レベルをより上手く制御することができる、CVD及びALDプロセスに対する要求が存在する。この要求は、遷移金属酸化物などの非化学量論的な金属酸化物の堆積の分野において、特に重大である。   PVD has the limitation of being a non-conformal deposition method and has problems with scalability to a three-dimensional memory architecture. Since atomic layer deposition (ALD) is by definition a self-stopping and saturating process, it is virtually impossible to deposit a metal oxide film with oxygen vacancies using ALD, a variant of CVD. there were. Therefore, there is a need for CVD and ALD processes that can better control the oxygen level in order to produce a transition metal oxide thin film having a composition with oxygen vacancies on the substrate surface. This requirement is particularly critical in the field of depositing non-stoichiometric metal oxides such as transition metal oxides.

RRAMにおいて、最上部電極と底部電極との間で電気信号を伝えるために、誘電体層を通って電荷を運ぶために、酸素空孔が必要とされる。それゆえ、金属酸化物MO中の金属酸素比率(M:O)を制御できることが、望ましい。 In RRAM, oxygen vacancies are required to carry charge through the dielectric layer in order to carry electrical signals between the top and bottom electrodes. Therefore, the metal ratio of oxygen in the metal oxide MO x (M: O) can be controlled, desirable.

本発明の一つの態様は、少なくとも二つの層を含む、既定の酸素欠損を有する酸素欠損金属複合物膜を、少なくとも一つの前駆体の化学反応により、基板上に堆積させる方法に関し、該方法は、金属酸化物堆積サイクルの間に、金属を含む金属反応ガス及び酸素を含む酸素反応ガスに基板を曝露し、金属酸化物を含有する層を形成すること、酸素欠損堆積サイクルの間に、金属反応ガスを含む反応ガス、及び任意選択で、酸素を含まない反応ガスに基板を曝露し、金属、金属窒化物、金属炭素窒化物、金属炭化物、金属酸素窒化物、金属酸素炭素窒化物、金属ケイ素化合物、窒化金属ケイ素化合物(MSiN)、金属ケイ酸塩、窒化金属ケイ酸塩(MSiON)、及びそれらの組合せのうちの一つ又は複数から選択される、酸素欠損層を形成し、酸素欠損層は、金属酸化物を含有する層と比較して酸素が欠損していること、並びに、金属酸化物堆積サイクル及び酸素欠損堆積サイクルを繰り返し、既定の酸素欠損を有する酸素欠損膜を形成すること、を含み、前記堆積方法は、化学気相堆積及び原子層堆積又はそれの組合せから選択される。   One aspect of the present invention relates to a method of depositing an oxygen deficient metal composite film having a predetermined oxygen deficiency comprising at least two layers on a substrate by a chemical reaction of at least one precursor, the method comprising: Exposing the substrate to a metal reactive gas comprising metal and an oxygen reactive gas comprising oxygen during the metal oxide deposition cycle to form a layer containing the metal oxide, during the oxygen deficient deposition cycle Exposing the substrate to a reaction gas including a reaction gas, and optionally, a reaction gas not containing oxygen, a metal, a metal nitride, a metal carbon nitride, a metal carbide, a metal oxynitride, a metal oxygen carbon nitride, a metal Forming an oxygen deficient layer selected from one or more of a silicon compound, a metal nitride silicon compound (MSiN), a metal silicate, a metal nitride metal silicate (MSSiON), and combinations thereof The oxygen-deficient layer has an oxygen-deficient film having a predetermined oxygen deficiency by repeating the metal oxide deposition cycle and the oxygen-deficient deposition cycle that oxygen is deficient compared with the layer containing a metal oxide. The deposition method is selected from chemical vapor deposition and atomic layer deposition or a combination thereof.

本発明の第二の態様は、既定の酸素欠損を有する酸素欠損金属膜を基板上に堆積させる方法に関し、該方法は、(a)基板を処理チャンバ内に配置すること、(b)(i)塩素で終端されるハフニウムを含む部分的な単一層を基板上に形成する条件下で、チャンバ内の基板の少なくとも一部分にHfClガスを流すこと、(ii)パージガスを流すこと、(iii)酸化ハフニウムを含む部分的な単一層を基板上に形成する条件下で、チャンバ内の基板に水蒸気を流すこと、及び(iv)パージガスを流すこと、を含む堆積プロセスを行なうこと、(c)(i)塩素で終端されるハフニウムを含む部分的な単一層を形成する条件下で、チャンバ内の基板の少なくとも一部分にHfClガスを流すこと、(ii)パージガスを流すこと、(iii)HfNを含む部分的な単一層を形成する条件下で、チャンバ内の基板にNHを流すこと、及び(iv)パージガスを流すこと、を含む第二の堆積プロセスを行なうこと、並びに(d)(b)及び(c)を繰り返すこと、を含み、堆積プロセスは、化学気相堆積及び原子層堆積又はそれの組合せから選択される。 A second aspect of the present invention relates to a method of depositing an oxygen deficient metal film having a predetermined oxygen deficiency on a substrate, the method comprising: (a) placing the substrate in a processing chamber; (b) (i ) Flowing HfCl 4 gas over at least a portion of the substrate in the chamber under conditions that form a partial monolayer comprising hafnium terminated in chlorine on the substrate; (ii) flowing a purge gas; (iii) Performing a deposition process comprising flowing water vapor to the substrate in the chamber and (iv) flowing a purge gas under conditions to form a partial monolayer comprising hafnium oxide on the substrate; (c) ( i) under conditions that form a partial monolayer containing hafnium are terminated by chlorine, flowing a HfCl 4 gas to at least a portion of the substrate in the chamber, flowing a (ii) a purge gas, under conditions that form a partial monolayer comprising iii) HfN, flowing a NH 3 into the substrate in the chamber, and (iv) flowing a purge gas, to perform the second deposition process including, as well as ( d) repeating (b) and (c), wherein the deposition process is selected from chemical vapor deposition and atomic layer deposition or a combination thereof.

本発明の第三の態様は、既定の酸素欠損を有する酸素欠損金属膜を基板上に堆積させる方法に関し、基板はTiNを含む。該方法は、(i)ハフニウムを含む部分的単一層を基板上に形成する条件下で、チャンバ内の基板の少なくとも一部分にHf前駆体を流すこと、パージガスを流すこと、を含む第一の堆積プロセスを行なうこと、ハフニウムを含む部分的単一層を形成する条件下で、チャンバ内の基板の少なくとも一部分にHf前駆体を流すこと、パージガスを流すこと、酸化ハフニウムを含む部分的な単一層を基板上に形成する条件下で、チャンバ内の基板に水蒸気を流すこと、及び、パージガスを流すこと、を含む第二の堆積プロセスを行なうこと、並びに、該プロセスを繰り返すこと、を含み、堆積プロセスは、化学気相堆積及び原子層堆積又はそれの組合せから選択される。   A third aspect of the present invention relates to a method for depositing an oxygen deficient metal film having a predetermined oxygen deficiency on a substrate, the substrate comprising TiN. The method includes (i) first deposition comprising flowing a Hf precursor to at least a portion of the substrate in the chamber and flowing a purge gas under conditions that form a partial monolayer comprising hafnium on the substrate. Performing a process, flowing a Hf precursor to at least a portion of the substrate in the chamber, flowing a purge gas, and forming a partial monolayer comprising hafnium oxide under conditions to form a partial monolayer comprising hafnium Performing a second deposition process comprising flowing water vapor to a substrate in the chamber and flowing a purge gas under conditions forming above, and repeating the process, the deposition process comprising: Selected from chemical vapor deposition and atomic layer deposition or a combination thereof.

複合物膜スタックの代表的な実施形態を示す。2 illustrates an exemplary embodiment of a composite membrane stack. 複合物膜スタックの代替的な実施形態を示す。Fig. 4 illustrates an alternative embodiment of a composite membrane stack.

本発明の幾つかの代表的な実施形態を記述する前に、本発明は、以下の記述において明らかにされる構成又はプロセスステップの細部に限定されない、ということが理解されるべきである。本発明は、他の実施形態が可能であり、様々な方法で実施又は実行することができる。   Before describing some exemplary embodiments of the present invention, it is to be understood that the present invention is not limited to the details of construction or process steps set forth in the description that follows. The invention is capable of other embodiments and of being practiced or carried out in various ways.

半導体産業において、特に、微細化は、高アスペクト構造上に共形のコーティングを作製するために、薄膜堆積の原子レベルの制御を必要とする。薄膜の堆積のための一つの方法は、気相化学前駆体分子及び反応ガスが、温度制御された表面上で及び/又はそれより上で反応し、薄膜を形成する、化学気相堆積(CVD)である。反応種、エネルギー、薬品供給速度、基板温度及び基板自体が、膜の特性を決定することに寄与する。通常のCVDプロセスにおいて、反応物が気相でリアクタに導入され、熱、プラズマ、又は他の手段により活性化される。反応種は、それから、基板表面上に吸着され、そこで、固体膜を形成するために、化学反応を経ることもありうるし、又は、他の入って来る種と反応することもありうる。反応副産物は、基板表面から脱着され、そして、リアクタから除去又はパージされる。   In the semiconductor industry, in particular, miniaturization requires atomic level control of thin film deposition to produce conformal coatings on high aspect structures. One method for thin film deposition is chemical vapor deposition (CVD) in which vapor phase chemical precursor molecules and reactive gases react on and / or above a temperature controlled surface to form a thin film. ). The reactive species, energy, chemical feed rate, substrate temperature and the substrate itself contribute to determining the film properties. In a typical CVD process, the reactants are introduced into the reactor in the gas phase and activated by heat, plasma, or other means. The reactive species is then adsorbed onto the substrate surface, where it can undergo a chemical reaction or react with other incoming species to form a solid film. Reaction byproducts are desorbed from the substrate surface and removed or purged from the reactor.

薄膜の堆積のための化学気相堆積の一つの変形は、原子層堆積(ALD)であり、これは、オングストローム又は単一層レベルで制御される正確な厚さの層を形成するために、連続した自己停止的な表面反応を用いる。ほとんどのALDプロセスは、二元化合物膜を堆積させる二元反応シーケンスに基づく。二つの表面反応の各々が、連続して起こり、それらは自己停止的であるので、薄膜を原子レベルの制御で堆積させることができる。表面反応は連続しているので、二つの気相反応物は接触せず、粒子を形成し堆積させうる可能な気相反応は、制限される。表面反応の自己停止的性質はまた、全ての反応サイクルの間に反応を完了へと至らせることを可能にし、その結果、連続的で、ピンホールのない膜ができる。   One variation of chemical vapor deposition for thin film deposition is atomic layer deposition (ALD), which is a continuous layer to form precise thickness layers controlled at the angstrom or single layer level. Self-stopping surface reactions are used. Most ALD processes are based on binary reaction sequences that deposit binary compound films. Since each of the two surface reactions occurs sequentially and they are self-stopping, the thin film can be deposited with atomic level control. Since the surface reaction is continuous, the two gas phase reactants are not in contact, limiting the possible gas phase reactions that can form and deposit particles. The self-stopping nature of the surface reaction also allows the reaction to reach completion during the entire reaction cycle, resulting in a continuous, pinhole free film.

本明細書及び添付の特許請求の範囲で用いられるように、「パージ」という用語は、システムの内容物が除去される任意のプロセスを意味するために用いられる。パージすることは、内容物(例えば、ガス反応物)が、他のガス(例えば、不活性ガス)で置換されることにより除去される、又は、システムに真空(又は部分真空)を導入することにより除去されることを、意味することができる。   As used herein and in the appended claims, the term “purge” is used to mean any process in which the contents of a system are removed. Purging removes the contents (eg, gas reactant) by replacing it with another gas (eg, inert gas) or introduces a vacuum (or partial vacuum) into the system. It can mean that it is removed by.

一つ又は複数の実施形態によれば、本発明は、酸素欠損を有する金属膜を化学反応により堆積させる方法に関する。方法は、プロセスとも呼ばれるが、基板又は基板の一部を、金属反応ガス、酸素反応ガス、金属反応ガス及び追加の反応ガスを含む化学前駆体又は反応物を含有する種々の堆積ガスに連続して曝露することを含む。曝露と曝露の間に、パージガスを流すことができる。1つのサイクルにおいて、金属反応ガス及び酸素反応ガスが、第一の堆積プロセスの間に金属酸化物の少なくとも部分的な層を基板上に形成する。別のサイクルにおいて、基板はまた、金属反応ガス及び追加の反応ガスに、連続して曝露される。金属反応ガス及び追加の反応ガスが、第二の堆積プロセスの間に金属窒化物の少なくとも部分的な層を基板上に形成する。第一及び第二の堆積プロセスが、連続して繰り返され、所望の厚さを有する、混合金属酸化物/金属窒化物又は混合金属の膜を形成する。第一の堆積プロセスを第二の堆積プロセスの前に複数回繰り返すことができ、第二の堆積プロセスを第一の堆積プロセスの前に複数回繰り返すことができ、そして、いずれのプロセスを先に行なうこともできる、ということを、当業者は理解するであろう。   According to one or more embodiments, the present invention relates to a method of depositing a metal film having oxygen vacancies by a chemical reaction. A method, also referred to as a process, continues a substrate or a portion of a substrate into various deposition gases containing chemical precursors or reactants including a metal reactant gas, an oxygen reactant gas, a metal reactant gas and an additional reactant gas. Exposure. Purge gas can be flowed between exposures. In one cycle, the metal reactant gas and the oxygen reactant gas form at least a partial layer of metal oxide on the substrate during the first deposition process. In another cycle, the substrate is also continuously exposed to the metal reactant gas and the additional reactant gas. The metal reactive gas and the additional reactive gas form at least a partial layer of metal nitride on the substrate during the second deposition process. The first and second deposition processes are repeated in succession to form a mixed metal oxide / metal nitride or mixed metal film having the desired thickness. The first deposition process can be repeated multiple times before the second deposition process, the second deposition process can be repeated multiple times before the first deposition process, and either process first One skilled in the art will understand that this can also be done.

一つ又は複数の実施形態によれば、金属酸化物層及び金属窒化物層の厚さ又は層数の比率を変えることにより、膜の酸素含有量を制御することができる。例えば、金属酸化物よりも多い量の金属窒化物層を供給し、それにより、本明細書に記載されたプロセスにより形成される膜全体の酸素含有量を調整するために、複数の金属窒化物層を、金属酸化物層に対し、2:1、3:1、4:1、5:1、10:1、15:1、及び20:1又はそれ以上の比率で堆積させることができる。   According to one or more embodiments, the oxygen content of the film can be controlled by changing the thickness or ratio of the number of metal oxide and metal nitride layers. For example, to provide a greater amount of metal nitride layer than a metal oxide, thereby adjusting the oxygen content of the entire film formed by the process described herein, a plurality of metal nitrides The layers can be deposited in a ratio of 2: 1, 3: 1, 4: 1, 5: 1, 10: 1, 15: 1, and 20: 1 or more to the metal oxide layer.

特定の実施形態において、両方のサイクルにおいて用いられる金属反応ガスは、当業者に既知の、任意の適当な金属含有ガスを含むことができる。金属は、サイクルの各々において、同じであることもできるし、又は異なることもできる。適当な金属種は、Hf,Sr、Ni、Ti、Al、Zr、Cu、In−Zn、及びPrCaMnOを含む。他の実施形態において、適当な金属種は、SrTi、Cr−SrZr、PrCaMn、SrLaTi、LaSrFe、LaSrCo、及び(Ba、Sr)Tiを含む。特定の実施形態において、基板は、酸素種又は酸化体を含む酸素反応ガスに曝露される。適当な酸化体は、限定されないが、HO、H、O、O、NO、NO、NOx、硝酸塩、アルコール、カルボン酸、CO、CO、及びHCOHを含む。具体的には、幾つかの実施形態において、酸化体は水を含む。基板はまた、酸素を含まない又は酸素が欠損した追加の反応ガスに曝露される。特定の実施形態において、適当な酸素欠損ガスは、窒素、アンモニア、アラン、アルミニウム炭化水素、その他を含むことができる。特定の実施形態において、追加の反応ガスは、アラン、トリメチルアルミニウム、トリエチルアルミニウム、ジメチルアルミニウム水素化物、ジメチルエチルアミンアラン、トリエチルアミンアラン、トリメチルアミンアラン及びメチルピロリジンアランのうちの一つを含む。特定の実施形態において、本発明の方法は、金属酸化物堆積サイクルの間に、金属酸化物を含有する層を基板上に形成し、第二のサイクルの間に、金属窒化物及び混合金属のうちの少なくとも一つの酸素欠損層を基板上に形成し、酸素欠損層は、金属酸化物を含有する層に比べて酸素が欠損している。具体的には、方法は、既定の酸素欠損を有する酸素欠損膜を形成するために、金属酸化物堆積サイクル及び酸素欠損堆積サイクルを繰り返すことを含む。 In certain embodiments, the metal reactant gas used in both cycles can include any suitable metal-containing gas known to those skilled in the art. The metal can be the same or different in each cycle. Suitable metal species include Hf, Sr, Ni, Ti, Al, Zr, Cu, In-Zn, and PrCaMnO. In other embodiments, suitable metal species include SrTi, Cr—SrZr, PrCaMn, SrLaTi, LaSrFe, LaSrCo, and (Ba, Sr) Ti. In certain embodiments, the substrate is exposed to an oxygen reactive gas comprising oxygen species or oxidants. Suitable oxidants include, but are not limited to, H 2 O, H 2 O 2 , O 2 , O 3 , N 2 O, NO, NO x , nitrates, alcohols, carboxylic acids, CO, CO 2 , and HCOH. . Specifically, in some embodiments, the oxidant includes water. The substrate is also exposed to an additional reactive gas that is oxygen free or oxygen deficient. In certain embodiments, suitable oxygen deficient gases can include nitrogen, ammonia, alane, aluminum hydrocarbons, and the like. In certain embodiments, the additional reaction gas comprises one of alane, trimethylaluminum, triethylaluminum, dimethylaluminum hydride, dimethylethylamine alane, triethylamine alane, trimethylamine alane, and methylpyrrolidine alane. In certain embodiments, the method of the present invention forms a layer containing a metal oxide on a substrate during a metal oxide deposition cycle, and a metal nitride and mixed metal during a second cycle. At least one oxygen deficient layer is formed on the substrate, and the oxygen deficient layer is deficient in oxygen compared to the layer containing the metal oxide. Specifically, the method includes repeating a metal oxide deposition cycle and an oxygen deficiency deposition cycle to form an oxygen deficiency film having a predetermined oxygen deficiency.

本発明により予期される適当な金属酸化物膜のリストは、限定されないが、HfO、Al、ZrO、TiO、NiO、Cu:MnOx、CuxO、Cu:MoOx、ln−Zn−O、SrTiO、Cr−SrZrO、PrCaMnO、SrLaTiO、LaSrFeO、LaSrCoO、及び(Ba、Sr)TiOを含む。[その他?] The list of suitable metal oxide films contemplated by the present invention includes, but is not limited to, HfO 2 , Al 2 O 3 , ZrO 2 , TiO 2 , NiO, Cu: MnO x , Cu x O, Cu: MoO x , In—Zn—O, SrTiO 3 , Cr—SrZrO 3 , PrCaMnO 3 , SrLaTiO 3 , LaSrFeO 3 , LaSrCoO 3 , and (Ba, Sr) TiO 3 are included. [Other? ]

本発明により予期される適当な酸素欠損を有する金属膜のリストは、限定されないが、HfN,HfTiO、HfAl、NiN、TiN、PCMO、AlO、ZrO、TiO、Cu:MnO、CuxN、Cu:MoO、ln−Zn−N、SrTiO、Cr−SrZrO、PrCaMnO、SrLaTiO、LaSrFeO、LaSrCoO、及び(Ba、Sr)TiOを含む。 The list of metal films with suitable oxygen vacancies anticipated by the present invention is not limited, but includes HfN, HfTiO, HfAl, NiN, TiN, PCMO, Al 2 O, ZrO, TiO, Cu: MnO, Cu x N, Cu: MoO, In-Zn-N, SrTiO, Cr-SrZrO, PrCaMnO, SrLaTiO, LaSrFeO, LaSrCoO, and (Ba, Sr) TiO are included.

詳細な実施形態において、本発明により予期される複合物膜のリストは、限定されないが、HfO/HfN、HfO/HfTiO、HfO/HfAl、Al/AlO、ZrO/ZrO、TiO/TiO、NiO/NiN、Cu:MnOx/Cu:MnO、CuxO/CuxN、Cu:MoOx/Cu:MoO、lnーZn−O/ln−Zn−N、SrTiO/SrTiO、Cr−SrZrO/Cr−SrZrO、PrCaMnO/PrCaMnO、SrLaTiO/SrLaTiO、LaSrFeO/LaSrFeO、LaSrCoO/LaSrCoO、(Ba、Sr)TiO/(Ba、Sr)TiO、HFO2/TiN、及びそれの組合せを含む。 In a detailed embodiment, the list of composite films contemplated by the present invention includes, but is not limited to, HfO 2 / HfN, HfO 2 / HfTiO, HfO 2 / HfAl, Al 2 O 3 / Al 2 O, ZrO 2 / ZrO, TiO 2 / TiO, NiO / NiN, Cu: MnO x / Cu: MnO, Cu x O / Cu x N, Cu: MoO x / Cu: MoO, ln—Zn—O / ln—Zn—N, SrTiO 3 / SrTiO, Cr-SrZrO 3 / Cr-SrZrO, PrCaMnO 3 / PrCaMnO, SrLaTiO 3 / SrLaTiO, LaSrFeO 3 / LaSrFeO, LaSrCoO 3 / LaSrCoO, (Ba, Sr) TiO 3 / (Ba, Sr) TiO, HFO2 / TiN and combinations thereof.

特定の実施形態において、方法は、基板を処理チャンバ内に配置し、基板上に層、例えばTiN層を形成することを含む。その後、塩素で終端されたハフニウムを含む部分的な単一層を形成する条件下で、チャンバ内で基板の少なくとも一部にHfClガスを流し、続いてチャンバの中へパージガスを流すことを含む、酸素欠損堆積サイクルが実行される。この後に、HfNを含む部分的な単一層を形成する条件下で、チャンバ内で基板にNHを流し、続いてパージガスを流してもよい。代替方法として、TiN層上に酸素欠損層を形成するために、HfClガスのみが、酸素欠損堆積サイクルの間に流される。それから、塩素で終端されたハフニウムを含む部分的な単一層を基板上に形成する条件下で、チャンバ内で基板の少なくとも一部にHfClガスを流し、続いてチャンバの中へパージガスを流すことを含む、金属酸化物堆積サイクルが実行される。それから、Hf層上に酸化ハフニウムを含む部分的な単一層を基板上に形成する条件下で、チャンバ内で水蒸気が基板に流され、続いてチャンバの中へパージガスを流してもよい。 In certain embodiments, the method includes placing the substrate in a processing chamber and forming a layer, eg, a TiN layer, on the substrate. Thereafter, flowing HfCl 4 gas through at least a portion of the substrate in the chamber and subsequently flowing purge gas into the chamber under conditions that form a partial monolayer comprising chlorine-terminated hafnium. An oxygen deficient deposition cycle is performed. This may be followed by flowing NH 3 to the substrate in the chamber followed by a purge gas under conditions that form a partial monolayer containing HfN. As an alternative, only HfCl 4 gas is flowed during the oxygen deficiency deposition cycle to form an oxygen deficiency layer on the TiN layer. Then, HfCl 4 gas is flowed through at least a portion of the substrate in the chamber, followed by purging gas into the chamber under conditions that form a partial monolayer comprising chlorine-terminated hafnium on the substrate. A metal oxide deposition cycle is performed. Then, under conditions that form a partial monolayer comprising hafnium oxide on the Hf layer on the substrate, water vapor may be flowed to the substrate in the chamber followed by a purge gas into the chamber.

この後、塩素で終端されたハフニウムを含む部分的な単一層を形成する条件下で、チャンバ内で基板の少なくとも一部にHfClガスを流し、続いてチャンバの中へパージガスを流すことを含む、第二の堆積プロセスを実行することができる。この後、HfNを含む部分的な単一層を形成する条件下で、チャンバ内で基板にNHを流し、続いてパージガスを流してもよい。酸素欠損金属膜が所望の厚さに達するまで、第一の堆積及び第二の堆積が、その後、繰り返される。 This is followed by flowing HfCl 4 gas through at least a portion of the substrate within the chamber and subsequently flowing purge gas into the chamber under conditions that form a partial monolayer comprising chlorine-terminated hafnium. A second deposition process can be performed. Thereafter, NH 3 may be flowed to the substrate in the chamber, followed by a purge gas under conditions that form a partial monolayer containing HfN. The first deposition and the second deposition are then repeated until the oxygen deficient metal film reaches the desired thickness.

パージの間、通常、不活性ガスが、処理チャンバの中へ導入され、反応ゾーンをパージする、又は、他の方法で任意の残留反応性化合物若しくは副産物を反応ゾーンから除去する。代替方法として、反応ガスと反応ガスの処理の間の時間遅延の間にパージガスのみが流れるように、パージガスが堆積プロセスの間中、連続的に流れてもよい。   During the purge, an inert gas is typically introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compounds or byproducts from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between reaction gas and reaction gas processing.

図1及び図2は、本発明の実施形態により形成されうる、複合物膜スタックの代表的な実施形態を示す。図1及び図2で示される実施形態は限定的でなく、多くの他の構成が、代替的な実施形態により、提供されうる。図1及び図2において、膜スタックの例が、TiN層及びHf含有層を用いて、示される。図1において、TiN層が基板上に形成され、そして、酸素欠損堆積サイクルが、Hf含有層をTiN層上に形成する。それから、金属酸化物堆積サイクルが、Hf層上にHfO層を形成する。その後、別のHf含有層が、酸素欠損堆積サイクルで、複合物膜スタックの中に形成される。TiN層が、それから、複合物膜スタック上に形成される。図2は、酸素欠損堆積サイクル及び酸素含有堆積サイクルの間に、それぞれ形成される、Hf含有層及びHfOの交互の層を有する、TiN層を伴う膜スタックの変形を示す。膜スタックは、図において、TiN層で完成される。 1 and 2 illustrate an exemplary embodiment of a composite film stack that can be formed according to embodiments of the present invention. The embodiments shown in FIGS. 1 and 2 are not limiting, and many other configurations can be provided by alternative embodiments. In FIG. 1 and FIG. 2, an example of a film stack is shown using a TiN layer and an Hf-containing layer. In FIG. 1, a TiN layer is formed on the substrate, and an oxygen deficient deposition cycle forms an Hf-containing layer on the TiN layer. A metal oxide deposition cycle then forms a HfO 2 layer on the Hf layer. Thereafter, another Hf-containing layer is formed in the composite film stack in an oxygen deficient deposition cycle. A TiN layer is then formed on the composite film stack. FIG. 2 shows a deformation of a film stack with a TiN layer having alternating layers of Hf-containing and HfO 2 formed during an oxygen deficient deposition cycle and an oxygen-containing deposition cycle, respectively. The film stack is completed with a TiN layer in the figure.

Hf含有層、すなわち「酸素吸収体」は、HfNを形成するための、HfClと、NHなどの反応ガスとの間の反応を経て堆積される必要はない、ということに注意すべきである。幾つかの実施形態において、単に、それは、真空下で、又は(Nパージガスを有する)不活性雰囲気下で、Hf前駆体(一つ又は複数のHf前駆体パルスのみ)の熱分解により、形成されうる。HfClは、高い熱安定性を有し、250〜300℃の通常のALDプロセス温度範囲で分解しないので、熱分解にとって、請求項8に挙げられている有機金属(MO)前駆体が、HfClに比べて、より適当でありうる。MO源の熱分解は、使用される前駆体に応じて、「Hf(C)x(N)y(O)」(すなわち、酸素欠損を有する)膜の形成を、通常、結果として生ずる。 It should be noted that the Hf-containing layer, or “oxygen absorber”, need not be deposited via a reaction between HfCl 4 and a reactive gas such as NH 3 to form HfN. is there. In some embodiments, it is simply formed by thermal decomposition of the Hf precursor (only one or more Hf precursor pulses) under vacuum or under an inert atmosphere (with N 2 purge gas). Can be done. Since HfCl 4 has high thermal stability and does not decompose in the normal ALD process temperature range of 250-300 ° C., the organometallic (MO) precursors listed in claim 8 are for HfCl Compared to 4 , it may be more appropriate. The thermal decomposition of the MO source usually results in the formation of a “Hf (C) x (N) y (O) z ” (ie, having oxygen vacancies) film, depending on the precursor used.

RRAMにおいて、最上部電極と底部電極の間で電気信号を伝えるために、誘電体層を通って電荷を運ぶために、酸素空孔が必要とされる。通常、RRAMセルは、TiN底部電極、HfO又はMOx高誘電率層及びTiN最上部電極から構成されうる。本発明の特定の実施形態は、MOx層中の酸素空孔又は酸素濃度を制御又は調整し、底部電極及び最上部電極の酸化を最小化する方法に向けられる。具体的には、本発明は、既定の酸素欠損を有する複合物膜を基板上に得る方法に向けられる。一つの実施形態において、金属前駆体又はHf前駆体が、TiN底部電極を包含するチャンバの中へ、一つ又は複数のサイクルの間、パルス状に流される。その後、パージガスを流す。代表的なHf前駆体は、例えば、Hf、HfCl、その他同種類のものでありうる。Hf前駆体の熱分解は、TiN底部電極と接触する、Hf含有膜の薄層を、結果として生ずる。Hf含有膜は、例えば、Hf金属、HfC、HfN、HfCN、HfCNO、HF(Cl)その他同種類のものでありうる。他の実施形態において、Hf前駆体は、HfLnであることができ、ここでLnは、限定されないが、アミド、アルキル、水素化物、ハロゲン化物(例えば、Cl、Br、F)、アミジナート、グアニジナート、シクロペンタジエニル、ベータジケトネート、ケトイミナートを含む配位子を表す。一つの実施形態において、Hf含有膜が所望の厚さに達するまで、Hf前駆体が、一つ又は複数のパルス/パージサイクルの間、パルス状に流される。塩素で終端されたハフニウムを含む部分的な単一層を基板上に形成する条件下で、チャンバ内で底部電極の少なくとも一部にHfClガスなどのHf前駆体を流し、続いて、チャンバの中へパージガスを流すことにより、次の堆積プロセス、例えば、原子層堆積又はサイクルが実行される。その後、酸化ハフニウムを含む部分的な単一層を基板上に形成する条件下で、チャンバ内で水蒸気が基板に流され、続いてチャンバの中へパージガスを流してもよい。種々の金属前駆体が、チャンバ内で底部電極の少なくとも一部に流され、続いて、水蒸気などの酸化体を流し、必要とされる金属酸化物の高誘電率層を供給することができる、ということが理解されるべきである。そして、金属酸化物の高誘電率層が所望の層数又は厚さに達するまで、堆積プロセスが、1回、2回又はそれ以上の回数、繰り返されうる、ということが理解されるべきである。それから、Hf又は金属前駆体が、一つ又は複数のパルス/パージサイクルの間、流され、その後に、最上部TiN電極が堆積される。 In RRAM, oxygen vacancies are required to carry charge through the dielectric layer to carry electrical signals between the top and bottom electrodes. Typically, an RRAM cell can be composed of a TiN bottom electrode, a HfO 2 or MO x high dielectric constant layer, and a TiN top electrode. Certain embodiments of the invention are directed to a method of controlling or adjusting oxygen vacancies or oxygen concentration in the MO x layer to minimize oxidation of the bottom and top electrodes. Specifically, the present invention is directed to a method for obtaining a composite film having a predetermined oxygen deficiency on a substrate. In one embodiment, the metal precursor or Hf precursor is pulsed into the chamber containing the TiN bottom electrode for one or more cycles. Thereafter, a purge gas is flowed. Exemplary Hf precursors can be, for example, Hf 2 , HfCl 4 , and the like. Thermal decomposition of the Hf precursor results in a thin layer of Hf containing film in contact with the TiN bottom electrode. The Hf-containing film can be, for example, Hf metal, HfC, HfN, HfCN, HfCNO, HF (Cl), or the like. In other embodiments, the Hf precursor can be HfLn, where Ln is not limited to amide, alkyl, hydride, halide (eg, Cl, Br, F), amidinate, guanidinate, Represents a ligand including cyclopentadienyl, beta diketonate, ketoiminate. In one embodiment, the Hf precursor is flowed in pulses for one or more pulse / purge cycles until the Hf-containing film reaches the desired thickness. An Hf precursor, such as HfCl 4 gas, is flowed into at least a portion of the bottom electrode in the chamber under conditions that form a partial monolayer on the substrate containing chlorine-terminated hafnium, followed by The next deposition process, eg, atomic layer deposition or cycle, is performed by flowing a purge gas into the substrate. Thereafter, water vapor may be flowed to the substrate within the chamber followed by a purge gas into the chamber under conditions that form a partial monolayer comprising hafnium oxide on the substrate. Various metal precursors can be flowed into at least a portion of the bottom electrode in the chamber, followed by flowing an oxidant such as water vapor to provide the required high dielectric constant layer of metal oxide. It should be understood. It should then be understood that the deposition process can be repeated one, two or more times until the metal oxide high dielectric constant layer reaches the desired number or thickness. . Hf or metal precursor is then flowed during one or more pulse / purge cycles, after which the top TiN electrode is deposited.

一つの実施形態において、HfO又は金属酸化物の高誘電率層の前後に、Hf又は金属含有層の堆積が、挿入される。他の実施形態において、その次のHfO又は金属酸化物の高誘電率層の層間に、Hf又は金属含有層が、挿入される。例えば、Hf含有層/HfO層の交互の層が、堆積されうる。他の例において、交互の層の所望の比率に応じて、すなわち、Hf/HfOの比率が、例えば、1:2から約1:20まで、又は約2:1から約20:1までの範囲でありうるが、HfO堆積のあらゆる2サイクル後、あらゆる3サイクル後、あらゆる4サイクル後、あらゆる5サイクル後、HfO堆積のあらゆる10サイクル後まで、Hf含有層が堆積されうる。具体的には、Hf/HfOの範囲は、例えば、約1:5から約1:10まででありうる。理論によって束縛されることを望むものではないが、金属酸化物の高誘電率層の層と交互である金属又は酸素欠損層を挿入し、そして、そのような層の各々の数も厚さも管理することにより、酸素空孔を微調整又は制御することができ、それにより、底部電極及び最上部電極の酸化を最小化するだけでなく、RRAM容量を増加させることができる。本発明の一つの態様において、Hf又は金属を含有する酸素欠損層は、HfO又は金属酸化物の高誘電率層に対して「酸素吸収体」として機能し、それゆえ、金属酸化物層中に酸素空孔を発生させる。 In one embodiment, depositions of Hf or metal-containing layers are inserted before and after the high dielectric constant layer of HfO 2 or metal oxide. In another embodiment, an Hf or metal-containing layer is inserted between the next HfO 2 or metal oxide high dielectric constant layers. For example, alternating layers of Hf-containing layers / HfO 2 layers can be deposited. In other examples, depending on the desired ratio of alternating layers, ie the ratio of Hf / HfO 2 is, for example, from 1: 2 to about 1:20, or from about 2: 1 to about 20: 1. The Hf-containing layer can be deposited after every 2 cycles of HfO 2 deposition, after every 3 cycles, after every 4 cycles, after every 5 cycles, and after every 10 cycles of HfO 2 deposition, although it can be ranged. Specifically, the range of Hf / HfO 2 can be, for example, from about 1: 5 to about 1:10. Without wishing to be bound by theory, insert metal or oxygen deficient layers alternating with layers of metal oxide high dielectric constant layers, and control the number and thickness of each such layer By doing so, the oxygen vacancies can be fine tuned or controlled, thereby not only minimizing oxidation of the bottom and top electrodes but also increasing the RRAM capacity. In one embodiment of the invention, the oxygen-deficient layer containing Hf or metal functions as an “oxygen absorber” for the high dielectric constant layer of HfO 2 or metal oxide, and therefore in the metal oxide layer. To generate oxygen vacancies.

本発明の一つの実施形態において、Hf又は金属前駆体は、Hf又は金属の酸素欠損層堆積サイクルと、HfO又は金属酸化物堆積サイクルとの両方について、同じでありうる。金属堆積サイクル及び金属酸化物堆積サイクルの両方で用いられる適当な前駆体は、限定されないが、金属ハロゲン化物、金属窒化物、ホウ化物、硫化物、ケイ素化合物及び純金属を含む。 In one embodiment of the invention, the Hf or metal precursor may be the same for both the Hf or metal oxygen deficient layer deposition cycle and the HfO 2 or metal oxide deposition cycle. Suitable precursors used in both metal deposition cycles and metal oxide deposition cycles include, but are not limited to, metal halides, metal nitrides, borides, sulfides, silicon compounds and pure metals.

他の実施形態において、Hf又は金属前駆体は、個々の堆積サイクルの各々について異なりうる。本発明の一つの実施形態において、方法は、基板を空気に曝露することなく、インシトゥ(その場)で、連続して実行される。他の実施形態において、底部電極及び最上部電極、金属又は酸素欠損層及び金属酸化物層の各々は、同じ前駆体から始まって、成長することができる。全3個の構成要素、すなわち、底部電極/最上部電極、金属層、及び金属酸化物層、の成長に役立つ適当な前駆体は、限定されないが、Ti(NRを含む。 In other embodiments, the Hf or metal precursor may be different for each individual deposition cycle. In one embodiment of the present invention, the method is performed continuously in situ without exposing the substrate to air. In other embodiments, each of the bottom and top electrodes, the metal or oxygen deficient layer, and the metal oxide layer can be grown starting from the same precursor. Suitable precursors useful for the growth of all three components, namely the bottom / top electrode, the metal layer, and the metal oxide layer include, but are not limited to, Ti (NR 2 ) 4 .

概して、一つ又は複数の実施形態において、本明細書に記載されている層のどれもが、限定されないが、ALD、CVD、パルスCVD、噴霧熱分解、及びPVDを含む方法により堆積されうる。本発明の特定の実施形態は、既定の酸素欠損を有する酸素欠損複合物膜を基板上に得る方法に向けられる。具体的には、HfO/HfN及びHfO/HfTiO及びHfO/HfAlなどの複合物膜が、CVD,PVD、ALD、又はプラズマCVD(PEーCVD)及びプラズマALD(PEーALD)などの気相堆積により作られる。堆積プロセスの間に基板を一連のガス及び/又はプラズマに曝露するように、処理チャンバが構成される。 In general, in one or more embodiments, any of the layers described herein can be deposited by methods including, but not limited to, ALD, CVD, pulsed CVD, spray pyrolysis, and PVD. Certain embodiments of the present invention are directed to a method of obtaining an oxygen deficient composite film having a predetermined oxygen deficiency on a substrate. Specifically, composite films such as HfO 2 / HfN and HfO 2 / HfTiO and HfO 2 / HfAl can be used for CVD, PVD, ALD, or plasma CVD (PE-CVD) and plasma ALD (PE-ALD). Made by vapor deposition. The processing chamber is configured to expose the substrate to a series of gases and / or plasmas during the deposition process.

幾つかの実施形態において、基板は、金属反応ガス及び酸素反応ガスに曝露される。これら第一のガス及び第二のガスへの曝露は、CVD反応におけるように、実質的に同時でありうるし、又は、ALD反応におけるように、連続でありうる。本明細書及び添付の特許請求の範囲で用いられるように、「実質的に同時に」という用語は、二つの前駆体ガスが、チャンバの中へと流され、お互い及び基板表面と共に反応することを意味する。もう一方の前駆体がその同じ領域に拡散するまで、一つの前駆体のみに短時間曝露されるような基板の領域がありうるということが、当業者により理解されるであろう。   In some embodiments, the substrate is exposed to a metal reactant gas and an oxygen reactant gas. The exposure to these first and second gases can be substantially simultaneous, as in a CVD reaction, or can be continuous, as in an ALD reaction. As used herein and in the appended claims, the term “substantially simultaneously” means that two precursor gases are flowed into the chamber and react with each other and the substrate surface. means. It will be understood by those skilled in the art that there may be regions of the substrate that are exposed to only one precursor for a short time until the other precursor diffuses into that same region.

原子層堆積型のチャンバにおいて、基板は、空間的又は時間的のいずれかで分離されたプロセスで、第一のガス及び酸素反応ガスに曝露されうる。時間的ALDは、金属反応ガスがチャンバの中へ流され、表面と反応するという伝統的なプロセスである。酸素反応ガスを流す前に、金属反応ガスがチャンバからパージされる。空間的ALDでは、第一のガス及び酸素反応ガスの両方が、同時にチャンバへ流されるが、ガスの混合を防止する領域が流れと流れの間に存在するように、空間的に分離される。空間的ALDでは、基板は、ガス供給プレートに対して移動しなければならない、又はその逆である。   In an atomic layer deposition type chamber, the substrate may be exposed to the first gas and the oxygen reactive gas in a process that is separated either spatially or temporally. Temporal ALD is a traditional process in which a metal reactive gas is flowed into the chamber and reacts with the surface. Prior to flowing the oxygen reactant gas, the metal reactant gas is purged from the chamber. In spatial ALD, both the first gas and the oxygen reactant gas are flowed into the chamber at the same time, but are spatially separated such that there is a region between the flows that prevents gas mixing. In spatial ALD, the substrate must move relative to the gas supply plate, or vice versa.

処理チャンバ又は堆積チャンバが、約0.01トルから約80トルまで、例えば、約0.1トルから約10トルまで、より具体的には、約0.5トルから約2トルまでの範囲内の圧力に維持されうるということを、ALDプロセスは規定する。また、一つ又は複数の実施形態によれば、チャンバ又は基板は、約600℃未満、例えば、約200℃から約400℃までの範囲内などの、約400℃又はそれ未満、及び他の実施形態では、約300℃未満、約200℃未満、又は約100℃未満、例えば、約70℃から90℃までの範囲などの、約50℃から100℃までの範囲、の温度に加熱されうる。当業者によって理解されるように、低温でのALD反応は、触媒の存在から利益を得るかもしれない。適当な触媒は、限定されないが、アンモニア、ピリジン及びルイス塩基を含む。   The processing chamber or deposition chamber is in the range of about 0.01 Torr to about 80 Torr, such as about 0.1 Torr to about 10 Torr, more specifically about 0.5 Torr to about 2 Torr. The ALD process defines that it can be maintained at the same pressure. Also, according to one or more embodiments, the chamber or substrate may be less than about 600 ° C., such as in the range of about 200 ° C. to about 400 ° C., or less, and other implementations. In a form, it can be heated to a temperature in the range from about 50 ° C. to 100 ° C., such as in the range from about 70 ° C. to 90 ° C., for example in the range from about 70 ° C. to less than about 100 ° C. As will be appreciated by those skilled in the art, ALD reactions at low temperatures may benefit from the presence of a catalyst. Suitable catalysts include but are not limited to ammonia, pyridine and Lewis base.

幾つかの実施形態において、一つ又は複数の層は、プラズマ原子層堆積(PEALD)プロセスの間に形成されうる。幾つかのプロセスにおいて、プラズマの使用は、表面反応が有利で且つ起こり得るようになる励起状態へと種を上げるために十分なエネルギーを供給する。プラズマをプロセスに導入することは、連続的又はパルス的でありうる。幾つかの実施形態において、前駆体(又は反応ガス)及びプラズマの連続パルスが、層を処理するために用いられる。幾つかの実施形態において、試薬が、局所的(すなわち、処理領域内)又は遠隔的(すなわち、処理領域外)のいずれかでイオン化されうる。幾つかの実施形態において、イオン又は他のエネルギーを有する若しくは発光する種が、堆積膜と直接接触しないように、遠隔イオン化が、堆積チャンバの上流で起こることができる。幾つかのPEALDプロセスにおいて、プラズマは、遠隔プラズマ発生装置システムによるなど、処理チャンバから外側で発生させられる。プラズマは、当業者に既知の任意の適当なプラズマ発生プロセス又は技術により、発生させうる。例えば、プラズマは、マイクロ波(MW)周波発生装置又は高周波(RF)発生装置のうちの一つ又は複数により、発生させうる。プラズマの周波数は、使用されている特定の反応性種に応じて、調整されうる。適当な周波数は、限定されないが、2MHz、13.56MHz、40MHz、60MHz及び100MHzを含む。プラズマが、本明細書で開示される堆積プロセスの間に使用されうるが、プラズマは必要とされないかもしれないということに留意すべきである。実際、他の実施形態は、プラズマなしの非常に穏やかな条件下での堆積プロセスに関する。   In some embodiments, one or more layers may be formed during a plasma atomic layer deposition (PEALD) process. In some processes, the use of plasma provides sufficient energy to raise the species to an excited state where surface reactions are advantageous and can occur. Introducing the plasma into the process can be continuous or pulsed. In some embodiments, a continuous pulse of precursor (or reactive gas) and plasma is used to process the layer. In some embodiments, the reagent can be ionized either locally (ie, within the processing region) or remotely (ie, outside the processing region). In some embodiments, remote ionization can occur upstream of the deposition chamber so that ions or other energetic or luminescent species are not in direct contact with the deposited film. In some PEALD processes, the plasma is generated outside the processing chamber, such as by a remote plasma generator system. The plasma may be generated by any suitable plasma generation process or technique known to those skilled in the art. For example, the plasma may be generated by one or more of a microwave (MW) frequency generator or a radio frequency (RF) generator. The frequency of the plasma can be adjusted depending on the particular reactive species being used. Suitable frequencies include but are not limited to 2 MHz, 13.56 MHz, 40 MHz, 60 MHz and 100 MHz. It should be noted that although a plasma may be used during the deposition process disclosed herein, a plasma may not be required. Indeed, other embodiments relate to deposition processes under very mild conditions without plasma.

一つ又は複数の実施形態によれば、基板は、層を形成する前、及び/又は、後に、処理を受ける。この処理は、同じチャンバの中で、又は、一つ又は複数の別々の処理チャンバの中で、行なわれうる。幾つかの実施形態において、基板は、第一のチャンバから、さらなる処理のための別の第二のチャンバへ移動される。基板は、第一のチャンバから別の処理チャンバへ直接に移動させることができるし、又は、第一のチャンバから一つ又は複数の移送チャンバへ移動され、それから、所望の別の処理チャンバへ移動させることができる。従って、処理装置は、移送ステーションと通信する複数のチャンバを備えうる。この種の装置は、「クラスタツール」又は「クラスタシステム」等と呼ばれうる。   According to one or more embodiments, the substrate is treated before and / or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to another second chamber for further processing. The substrate can be moved directly from the first chamber to another processing chamber, or it can be moved from the first chamber to one or more transfer chambers and then moved to another desired processing chamber. Can be made. Thus, the processing apparatus can comprise a plurality of chambers in communication with the transfer station. This type of device may be called a “cluster tool” or a “cluster system”.

概して、クラスタツールは、基板の中心測定及び配向、ガス抜き、アニール、堆積、及び/又は、エッチングを含む様々な機能を実行する複数のチャンバを備えるモジュールシステムである。一つ又は複数の実施形態によれば、クラスタツールは、少なくとも第一のチャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することができるロボットを収納しうる。移送チャンバは、真空条件に通常維持され、一つのチャンバから他のチャンバへ、及び/又は、クラスタツールの前端に置かれたロードロックチャンバへ、基板を往復搬送するための中間段階を提供する。本発明のために適合されうる二つのよく知られたクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、両方とも、Santa Clara、Calif.のApplied Materials,Inc.から入手可能である。一つのそのような段階的真空の基板処理装置の詳細が、1993年2月16日発行の、Tepman他の「Staged−Vacuum Wafer Processing Apparatus and Method」という名称の、米国特許第5186718号において開示されている。しかしながら、チャンバの正確な配列及び組合せは、本明細書に記載されているようなプロセスの特定のステップを実行する目的のために、変更されうる。使用されうる他の処理チャンバは、限定されないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチ、前洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及びその他の基板処理を含む。クラスタツール上のチャンバ内で処理を実行することにより、その次の膜を堆積させる前に酸化することなく、空気中の不純物との基板の表面汚染を回避することができる。   Generally, a cluster tool is a modular system with multiple chambers that perform various functions including substrate center measurement and orientation, venting, annealing, deposition, and / or etching. According to one or more embodiments, the cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber can house a robot that can reciprocate substrates between multiple processing chambers and multiple load lock chambers. The transfer chamber is typically maintained in a vacuum condition and provides an intermediate stage for reciprocating the substrate from one chamber to another and / or to a load lock chamber located at the front end of the cluster tool. Two well-known cluster tools that can be adapted for the present invention are Centura® and Endura®, both of which are Santa Clara, Calif. Applied Materials, Inc. Is available from Details of one such staged vacuum substrate processing apparatus are disclosed in US Pat. No. 5,186,718, issued February 16, 1993, entitled “Staged-Vacuum Wafer Processing Apparatus and Method”, Tepman et al. ing. However, the exact arrangement and combination of the chambers can be varied for the purpose of performing certain steps of the process as described herein. Other processing chambers that can be used include, but are not limited to, periodic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, preclean, Includes chemical cleaning, heat treatments such as RTP, plasma nitridation, degassing, orientation, hydroxylation, and other substrate processing. By performing the process in a chamber on the cluster tool, surface contamination of the substrate with impurities in the air can be avoided without oxidizing prior to depositing the next film.

一つ又は複数の実施形態によれば、基板は、連続的に真空又はロードロック条件下にあり、一つのチャンバから次のチャンバへ移動されるときに、周囲空気に曝露されない。移送チャンバは、このように真空下にあり、真空圧力下で「ポンプダウン」される。不活性ガスが、処理チャンバ又は移送チャンバの中に存在しうる。幾つかの実施形態において、基板の表面上にシリコン層を形成した後に、反応物の一部又は全てを除去するために、不活性ガスがパージガスとして使用される。一つ又は複数の実施形態によれば、反応物が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバへ移動するのを防止するために、堆積チャンバの出口でパージガスが注入される。従って、不活性ガスの流れが、チャンバの出口でカーテンを形成する。   According to one or more embodiments, the substrate is continuously under vacuum or load lock conditions and is not exposed to ambient air as it is moved from one chamber to the next. The transfer chamber is thus under vacuum and is “pumped down” under vacuum pressure. An inert gas may be present in the processing chamber or the transfer chamber. In some embodiments, after forming a silicon layer on the surface of the substrate, an inert gas is used as a purge gas to remove some or all of the reactants. According to one or more embodiments, a purge gas is injected at the outlet of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and / or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.

基板は、単一基板堆積チャンバの中で処理することができ、そこでは、単一の基板がロードされ、処理され、そして、他の基板が処理される前にアンロードされる。基板はまた、コンベヤシステムのような、連続的な方法で処理することができ、そこでは、複数の基板が、チャンバの第一の部分の中へ個別にロードされ、チャンバを通って移動し、チャンバの第二の部分からアンロードされる。チャンバ及び関連コンベヤシステムの形状は、直線経路又は曲線経路を形成することができる。付加的に、処理チャンバは、複数の基板が、中心軸の周りを移動し、カルーセル経路の間中、堆積、エッチ、アニール、洗浄、その他の処理に曝露される、カルーセルであってもよい。   The substrates can be processed in a single substrate deposition chamber, where a single substrate is loaded, processed, and unloaded before other substrates are processed. The substrates can also be processed in a continuous manner, such as a conveyor system, where a plurality of substrates are individually loaded into the first portion of the chamber and moved through the chamber, Unloaded from the second part of the chamber. The shape of the chamber and associated conveyor system can form a straight path or a curved path. Additionally, the processing chamber may be a carousel in which multiple substrates move around the central axis and are exposed to deposition, etch, annealing, cleaning, and other processes throughout the carousel path.

処理の間、基板は加熱又は冷却することができる。そのような加熱又は冷却は、限定されないが、基板支持体の温度を変化させること、及び、基板表面へ加熱された又は冷却されたガスを流すことを含む、任意の適当な手段により、達成することができる。幾つかの実施形態において、基板支持体は、伝導で基板温度を変化させるように制御することができるヒータ/クーラを含む。一つ又は複数の実施形態において、用いられているガス(反応性ガス又は不活性ガスのいずれか)が、基板温度を局所的に変化させるために、加熱又は冷却される。幾つかの実施形態において、基板温度を対流で変化させるために、ヒータ/クーラが、チャンバ内部に基板表面に隣接して置かれる。   During processing, the substrate can be heated or cooled. Such heating or cooling is accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing a heated or cooled gas over the substrate surface. be able to. In some embodiments, the substrate support includes a heater / cooler that can be controlled to change the substrate temperature through conduction. In one or more embodiments, the gas being used (either a reactive gas or an inert gas) is heated or cooled to locally change the substrate temperature. In some embodiments, a heater / cooler is placed inside the chamber adjacent to the substrate surface to change the substrate temperature in convection.

基板はまた、処理の間、静止又は回転させることができる。回転する基板は、連続的に又は不連続なステップで、回転させることができる。例えば、基板は、全プロセスの間中、回転させてもよいし、又は、基板は、様々な反応性ガス又はパージガスへの曝露の間、少量だけ回転させることができる。処理の間に基板を(連続的又は段階的のいずれかで)回転させることは、例えば、ガス流の形状寸法における局所的な変動性の効果を最小化することにより、より均一な堆積又はエッチを作製することを助けうる。   The substrate can also be stationary or rotated during processing. The rotating substrate can be rotated continuously or in discrete steps. For example, the substrate may be rotated during the entire process, or the substrate may be rotated by a small amount during exposure to various reactive or purge gases. Rotating the substrate (either continuously or stepwise) during processing can result in a more uniform deposition or etch, for example, by minimizing the effects of local variability in gas flow geometry. Can help make.

本明細書全体を通じて、「一つの実施形態」、「いくらかの実施形態」、「一つ又は複数の実施形態」、「ある一つの実施形態」、「一つの態様」、「いくらかの態様」、「一つ又は複数の実施形態」及び「ある一つの態様」への参照は、該実施形態と関連して記載される特定の特徴、構造、材料、又は特質が、本発明の少なくとも一つの実施形態に含まれることを意味する。従って、本明細書全体を通じて様々な場所に、「一つ又は複数の実施形態において」、「いくらかの実施形態において」、「一つの実施形態において」、「ある一つの実施形態において」、「一つ又は複数の態様によれば」、「ある一つの態様において」等の言い回しが現れることは、本発明の同じ実施形態又は態様を、必ずしも参照していない。更に、特定の特徴、構造、材料、又は特質は、一つ又は複数の実施形態又は態様において、任意の適当な方法で結合されうる。上記方法の記載の順序は、限定的とみなされるべきでなく、方法は、記載された操作を、違う順番で、又は省略若しくは追加と共に、使用してもよい。   Throughout this specification “one embodiment”, “some embodiments”, “one or more embodiments”, “one embodiment”, “one aspect”, “some aspects”, Reference to “one or more embodiments” and “one aspect” refers to a particular feature, structure, material, or characteristic described in connection with the embodiment is at least one implementation of the invention. Means included in the form. Accordingly, various places throughout the specification can be found in various places, “in one or more embodiments”, “in some embodiments”, “in one embodiment”, “in one embodiment”, “ The appearances of phrases such as “in accordance with one or more aspects”, “in one aspect” and the like do not necessarily refer to the same embodiment or aspect of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments or aspects. The order of description of the above methods should not be considered limiting and the methods may use the operations described in a different order or with omissions or additions.

上記記載は、例示的であり、制限的でないことが意図されるということが、理解されるべきである。上記記載を見直せば、多くの他の実施形態が、当業者にとって明らかであろう。それゆえ、本発明の範囲は、当該権利が与えられる等価物の完全な範囲と共に、添付の特許請求の範囲を参照して、決定されるべきである。

It should be understood that the above description is intended to be illustrative and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such rights are given.

Claims (15)

少なくとも二つの層を含む、既定の酸素欠損を有する酸素欠損金属複合物膜を、少なくとも一つの前駆体の化学反応により、基板上に堆積させる方法であって、
金属酸化物堆積サイクルの間、金属を含む金属反応ガス及び酸素を含む酸素反応ガスに前記基板を曝露し、金属酸化物を含有する層を形成するステップ、
酸素欠損堆積サイクルの間、金属反応ガスを含む反応ガス及び、任意選択的に、酸素を含まない反応ガスに前記基板を曝露し、金属、金属窒化物、金属炭素窒化物、金属炭化物、金属酸素窒化物、金属酸素炭素窒化物、金属ケイ素化合物、窒化金属ケイ素化合物(MSiN)、金属ケイ酸塩、窒化金属ケイ酸塩(MSiON))、及びそれらの組合せのうちの一つ又は複数から選択される酸素欠損層を形成するステップであって、前記酸素欠損層は、前記金属酸化物を含有する前記層と比較して酸素が欠損している、ステップ、並びに、前記金属酸化物堆積サイクル及び前記酸素欠損堆積サイクルを繰り返し、前記既定の酸素欠損を有する前記酸素欠損膜を形成するステップ、を含み、
前記堆積方法は、化学気相堆積及び原子層堆積又はそれの組合せから選択される、方法。
A method of depositing an oxygen deficient metal composite film having a predetermined oxygen deficiency including at least two layers on a substrate by a chemical reaction of at least one precursor,
Exposing the substrate to a metal reactive gas comprising a metal and an oxygen reactive gas comprising oxygen during a metal oxide deposition cycle to form a layer containing the metal oxide;
During the oxygen deficiency deposition cycle, the substrate is exposed to a reaction gas containing a metal reaction gas and optionally a reaction gas not containing oxygen, and a metal, metal nitride, metal carbon nitride, metal carbide, metal oxygen Selected from one or more of nitride, metal oxygen carbon nitride, metal silicon compound, metal nitride silicon compound (MSiN), metal silicate, metal nitride metal silicate (MSSiON)), and combinations thereof Forming an oxygen deficient layer, wherein the oxygen deficient layer is deficient in oxygen compared to the layer containing the metal oxide, and the metal oxide deposition cycle and the step Repeating the oxygen deficiency deposition cycle to form the oxygen deficient film having the predetermined oxygen deficiency,
The deposition method is selected from chemical vapor deposition and atomic layer deposition or a combination thereof.
追加の反応ガスを含み、該第四の反応ガスは、窒素、アルゴン、ヘリウム、アンモニア、水素、アラン、及び、炭化水素、及びシランのうちの一つを含む、請求項1に記載の方法。   The method of claim 1, comprising an additional reactive gas, wherein the fourth reactive gas comprises one of nitrogen, argon, helium, ammonia, hydrogen, alane, hydrocarbons, and silane. 前記酸素欠損堆積サイクルが、最初に実行され、前記酸素欠損層を前記基板の直接上に堆積させ、前記酸素欠損堆積サイクルは、Hf、Sr、Ni、Ti、Al、Zr、Cu、In、Zn、Pr,Ca、及びMnから選択される金属を含有するガスに、前記基板を連続して曝露し、前記酸素欠損層の上に第二の層を形成することを含み、
及び、前記金属酸化物堆積サイクルは、Hf、Sr、Ni、Ti、Al、Zr、Cu、In、Zn、Pr,Ca、及びMnから選択される一つ又は複数の金属を含有する反応ガスに、前記基板を連続して曝露し、前記酸素欠損層の上に金属酸化物を含有する前記層を形成することを含む、請求項1又は2に記載の方法。
The oxygen deficiency deposition cycle is first performed to deposit the oxygen deficiency layer directly on the substrate, the oxygen deficiency deposition cycle comprising Hf, Sr, Ni, Ti, Al, Zr, Cu, In, Zn Continuously exposing the substrate to a gas containing a metal selected from Pr, Ca, and Mn, and forming a second layer on the oxygen deficient layer;
And the metal oxide deposition cycle is performed on a reactive gas containing one or more metals selected from Hf, Sr, Ni, Ti, Al, Zr, Cu, In, Zn, Pr, Ca, and Mn. The method according to claim 1, comprising continuously exposing the substrate to form the layer containing a metal oxide on the oxygen deficient layer.
金属反応ガスは、Sr,Ti、Cr、Zr,Pr,Ca,Mn、La,Fe,Coから選択される一つ又は複数の金属を含有する、請求項1から3のいずれか一項に記載の方法。   The metal reactive gas contains one or more metals selected from Sr, Ti, Cr, Zr, Pr, Ca, Mn, La, Fe, and Co, respectively. the method of. 前記酸素欠損堆積サイクル及び前記金属酸化物堆積サイクルを少なくとも一度繰り返し、前記複合物膜の中の酸素欠損を調節することを更に含む、請求項1から4のいずれか一項に記載の方法。   5. The method according to claim 1, further comprising adjusting the oxygen deficiency in the composite film by repeating the oxygen deficiency deposition cycle and the metal oxide deposition cycle at least once. 前記酸素欠損層が、最初に堆積され、次に、金属酸化物を含有する前記層を堆積させ、次に、第二の酸素欠損層を堆積させる、請求項1から5のいずれか一項に記載の方法。   6. The oxygen deficient layer is deposited first, then deposits the layer containing metal oxide, and then deposits a second oxygen deficient layer. The method described. 前記複合物膜は、HfO/HfN、HfO/HfTiO、HfO/HfAl、Al/AlO、ZrO/ZrO、TiO/TiO、NiO/NiN、Cu:MnOx/Cu:MnO、CuxO/CuxN、Cu:MoOx/Cu:MoO、ln−Zn−O/ln−Zn−N、SrTiO/SrTiO、Cr−SrZrO/Cr−SrZrO、PrCaMnO/PrCaMnO、SrLaTiO/SrLaTiO、LaSrFeO/LaSrFeO、LaSrCoO/LaSrCoO、(Ba、Sr)TiO/(Ba、Sr)TiO、Hf(La)Ox、Hf(Ln)Ox(但し、Ln=ランタナイド)及びそれの組合せから選択される一つ又は複数を含む、請求項1から6のいずれか一項に記載の方法。 The composite film is made of HfO 2 / HfN, HfO 2 / HfTiO, HfO 2 / HfAl, Al 2 O 3 / Al 2 O, ZrO 2 / ZrO, TiO 2 / TiO, NiO / NiN, Cu: MnO x / Cu : MnO, Cu x O / Cu x N, Cu: MoO x / Cu: MoO, ln-Zn-O / ln-Zn-N, SrTiO 3 / SrTiO, Cr-SrZrO 3 / Cr-SrZrO, PrCaMnO 3 / PrCaMnO , SrLaTiO 3 / SrLaTiO, LaSrFeO 3 / LaSrFeO, LaSrCoO 3 / LaSrCoO, (Ba, Sr) TiO 3 / (Ba, Sr) TiO, Hf (La) O x , Hf (Ln) O x And one or more selected from combinations thereof) The method according to. 前記金属反応ガスは、金属ハロゲン化物、金属アミド、金属水素化物、金属アルキル(但し、アルキルは、C1−C8炭化水素、シクロペンタジエニル、アルキル置換シクロペンタジエニルを含む)、金属アルコキシド、金属ベータジケトネート、金属ケトイミナート、金属アミジナート、金属グアニジナート、及びそれの混合物のうちの少なくとも一つを含む、請求項1から7のいずれか一項に記載の方法。   The metal reactive gas is a metal halide, metal amide, metal hydride, metal alkyl (wherein alkyl includes C1-C8 hydrocarbon, cyclopentadienyl, alkyl-substituted cyclopentadienyl), metal alkoxide, metal The method of any one of claims 1 to 7, comprising at least one of beta diketonate, metal ketoiminate, metal amidinate, metal guanidinate, and mixtures thereof. 前記金属反応物が金属ハロゲン化物を含む、請求項1から8のいずれか一項に記載の方法。   9. A method according to any one of claims 1 to 8, wherein the metal reactant comprises a metal halide. 前記酸素反応ガスが酸素を含み、前記追加の反応ガスが酸素を含まない、請求項1から9のいずれか一項に記載の方法。   The method according to any one of claims 1 to 9, wherein the oxygen reactive gas comprises oxygen and the additional reactive gas does not comprise oxygen. 前記金属反応ガスが金属塩化物を含み、前記酸素反応ガスが、HO、O、オゾン、酸素プラズマ、H、NO、NO、及びNOを含む、請求項1から10のいずれか一項に記載の方法。 The metal reactive gas comprises a metal chloride and the oxygen reactive gas comprises H 2 O, O 2 , ozone, oxygen plasma, H 2 O 2 , NO, NO x , and N 2 O. The method according to any one of 10 above. 前記金属反応ガスは、前記酸素欠損堆積サイクルの間、金属アミド及び金属塩化物のうちの少なくとも一つを含み、前記追加の反応ガスは窒素を含む、請求項1から11のいずれか一項に記載の方法。   12. The metal reactive gas according to any one of claims 1 to 11, wherein the metal reactive gas comprises at least one of a metal amide and a metal chloride during the oxygen deficient deposition cycle and the additional reactive gas comprises nitrogen. The method described. 前記追加の反応ガスは、アンモニア、又はアラン及びアルミニウム炭化水素、シラン、ボランのうちの一つを含む、請求項1から12のいずれか一項に記載の方法。   13. The method according to any one of claims 1 to 12, wherein the additional reaction gas comprises ammonia or one of alane and aluminum hydrocarbons, silane, borane. 前記第二の層はHfTiOを含み、前記第二のサイクルは、各々HfCl、Hf(NR2)4(但し、R=C1−C6炭化水素)、Hf(OR)4、ハフニウムベータジケトネート、ハフニウムアミジナート、ハフニウムグアニジナート又はそれのヘテロレプティック配位子−金属複合体及びTi(OPr)、Ti(NR2)4(但し、R=C1−C6炭化水素)、TiCl4、チタンベータジケトネート、チタンアミジナート、チタングアニジナート、又はそれのヘテロレプティック配位子−金属複合体のうちの一つ又は複数に前記基板を曝露することを含む、請求項1から13のいずれか一項に記載の方法。 The second layer includes HfTiO, and the second cycle includes HfCl 4 , Hf (NR 2) 4 (where R = C 1 -C 6 hydrocarbon), Hf (OR) 4, hafnium beta diketonate, Hafnium amidinate, hafnium guanidinate or its heteroleptic ligand-metal complex and Ti (OPr) 4 , Ti (NR 2) 4 (where R = C 1 -C 6 hydrocarbon), TiCl 4, titanium beta 14. Exposing the substrate to one or more of a diketonate, titanium amidinate, titanium guanidinate, or a heteroleptic ligand-metal complex thereof. The method according to any one of the above. 前記第二の層はHfAlを含み、前記第二のサイクルは、HfCl及びアラン、トリメチルアルミニウム、トリエチルアルミニウム、ジメチルアルミニウム水素化物、ジメチルエチルアミンアラン、トリエチルアミンアラン、トリメチルアミンアラン及びメチルピロリジンアランのうちの一つ又は複数に前記基板を曝露することを含む、請求項1から15のいずれか一項に記載の方法。
The second layer comprises HfAl, and the second cycle comprises one of HfCl 4 and alane, trimethylaluminum, triethylaluminum, dimethylaluminum hydride, dimethylethylamine alane, triethylamine alane, trimethylamine alane and methylpyrrolidine alane. 16. A method according to any one of claims 1 to 15, comprising exposing the substrate to one or more.
JP2015521711A 2012-07-12 2013-07-09 Method for depositing a metal film having oxygen vacancies Pending JP2015531996A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261670873P 2012-07-12 2012-07-12
US61/670,873 2012-07-12
US13/934,486 2013-07-03
US13/934,486 US9011973B2 (en) 2012-07-12 2013-07-03 Methods for depositing oxygen deficient metal films
PCT/US2013/049663 WO2014011596A1 (en) 2012-07-12 2013-07-09 Methods for depositing oxygen deficient metal films

Publications (1)

Publication Number Publication Date
JP2015531996A true JP2015531996A (en) 2015-11-05

Family

ID=49914199

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015521711A Pending JP2015531996A (en) 2012-07-12 2013-07-09 Method for depositing a metal film having oxygen vacancies

Country Status (6)

Country Link
US (1) US9011973B2 (en)
JP (1) JP2015531996A (en)
KR (1) KR20150031222A (en)
CN (1) CN104471689A (en)
TW (1) TW201408810A (en)
WO (1) WO2014011596A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021061360A (en) * 2019-10-09 2021-04-15 国立大学法人京都工芸繊維大学 Manufacturing method of ferroelectric thin film, manufacturing device of ferroelectric thin film, and ferroelectric thin film

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
CN105934819B (en) * 2014-01-21 2019-04-26 应用材料公司 Dielectric-metallic for the application of 3D flash memory stacks
JP6294151B2 (en) * 2014-05-12 2018-03-14 東京エレクトロン株式会社 Deposition method
US20150380309A1 (en) * 2014-06-26 2015-12-31 Intermolecular Inc. Metal-insulator-semiconductor (MIS) contact with controlled defect density
CN105514263A (en) * 2014-09-23 2016-04-20 中芯国际集成电路制造(北京)有限公司 Resistive random access memory unit and manufacturing method thereof, and resistive random access memory
WO2016084605A1 (en) * 2014-11-25 2016-06-02 住友金属鉱山株式会社 Layered-body film, electrode substrate film, and method for manufacturing said films
US20170073812A1 (en) * 2015-09-15 2017-03-16 Ultratech, Inc. Laser-assisted atomic layer deposition of 2D metal chalcogenide films
US9425279B1 (en) 2015-10-21 2016-08-23 International Business Machines Corporation Semiconductor device including high-K metal gate having reduced threshold voltage variation
AU2016361453B2 (en) * 2015-11-24 2022-05-12 Royal Melbourne Institute Of Technology A memristor device and a method of fabrication thereof
TWI726951B (en) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 Methods of treating nitride films
US10497871B2 (en) 2015-12-26 2019-12-03 Intel Corporation High retention resistive random access memory
TWI742092B (en) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 Lanthanide, yttrium and scandium precursors for ald, cvd and thin film doping and methods of use
US10410943B2 (en) * 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US11342499B2 (en) 2017-09-18 2022-05-24 Intel Corporation RRAM devices with reduced forming voltage
US11923404B2 (en) 2018-04-02 2024-03-05 Lam Research Corporation Modifying ferroelectric properties of hafnium oxide with hafnium nitride layers
CN111748794A (en) * 2019-03-26 2020-10-09 江苏迈纳德微纳技术有限公司 Manganese dioxide nano composite film material and preparation method thereof
US11245022B2 (en) 2019-05-24 2022-02-08 Applied Materials, Inc. Integrated dipole flow for transistor
CN111013560B (en) * 2019-12-26 2022-05-10 西南石油大学 Oxygen-deficient titanium dioxide catalyst, preparation method and application thereof
KR102622620B1 (en) * 2022-04-15 2024-01-08 서울과학기술대학교 산학협력단 Selection device, method of manufacturing the same and nonvolatile memory device including selection device

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5185182A (en) * 1990-12-10 1993-02-09 Ford Motor Company Method for inhibiting significant oxidation of a film on a substance during heating
JP2005298833A (en) * 2002-10-22 2005-10-27 Asahi Glass Co Ltd Multilayer film-coated substrate and its manufacturing method
JP4681886B2 (en) * 2003-01-17 2011-05-11 富士通セミコンダクター株式会社 Semiconductor device
KR100546324B1 (en) * 2003-04-22 2006-01-26 삼성전자주식회사 Methods of forming metal oxide thin film and lanthanum oxide layer by ALD and method of forming high dielectric constant layer for semiconductor device
KR100689824B1 (en) * 2004-05-14 2007-03-08 삼성전자주식회사 Method of manufacturing a metal silicate layer using atomic layer deposition technique
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
JP4662821B2 (en) 2005-07-19 2011-03-30 株式会社リコー Motor drive control device, image forming apparatus, and program
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JP4857014B2 (en) * 2006-04-19 2012-01-18 パナソニック株式会社 Resistance change element and resistance change type memory using the same
KR101206034B1 (en) 2006-05-19 2012-11-28 삼성전자주식회사 Nonvolatile memory device using oxygen-deficient metal oxide layer and the fabrication method
US20090155606A1 (en) * 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101925772B1 (en) * 2008-07-10 2018-12-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Light emitting device and electronic device
JP4469023B2 (en) 2008-07-11 2010-05-26 パナソニック株式会社 Nonvolatile memory element, manufacturing method thereof, and nonvolatile semiconductor device using the nonvolatile memory element
WO2010035625A1 (en) * 2008-09-25 2010-04-01 Semiconductor Energy Laboratory Co., Ltd. Semi conductor device
US20100109098A1 (en) * 2008-11-06 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure including modified high-k gate dielectric and metal gate interface
US8802202B2 (en) * 2010-03-05 2014-08-12 Suneeta S. Neogi Method for imparting tarnish protection or tarnish protection with color appearance to silver, silver alloys, silver films, silver products and other non precious metals
TWI536451B (en) * 2010-04-26 2016-06-01 應用材料股份有限公司 Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors
WO2012001993A1 (en) 2010-07-02 2012-01-05 パナソニック株式会社 Variable resistance non-volatile storage element, variable resistance non-volatile storage device, and manufacturing method for variable resistance non-volatile storage element

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021061360A (en) * 2019-10-09 2021-04-15 国立大学法人京都工芸繊維大学 Manufacturing method of ferroelectric thin film, manufacturing device of ferroelectric thin film, and ferroelectric thin film
JP7480951B2 (en) 2019-10-09 2024-05-10 国立大学法人京都工芸繊維大学 Ferroelectric thin film manufacturing method and ferroelectric thin film manufacturing apparatus

Also Published As

Publication number Publication date
US9011973B2 (en) 2015-04-21
WO2014011596A1 (en) 2014-01-16
TW201408810A (en) 2014-03-01
KR20150031222A (en) 2015-03-23
CN104471689A (en) 2015-03-25
US20140017403A1 (en) 2014-01-16

Similar Documents

Publication Publication Date Title
US9011973B2 (en) Methods for depositing oxygen deficient metal films
US10233541B2 (en) Deposition of films containing alkaline earth metals
CN106591801B (en) Method for depositing dielectric film in groove by PEALD
JP3798248B2 (en) Continuous CVD using radicals
US9716012B2 (en) Methods of selective layer deposition
JP3687651B2 (en) Thin film formation method
US20020162506A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR20160062700A (en) Method of manufacturing semiconductor device, substrate processing apparatus and computer program
CN111492467B (en) Selective atomic layer deposition of ruthenium
US20220139713A1 (en) Molybdenum deposition method
US20130069207A1 (en) Method for producing a deposit and a deposit on a surface of a silicon substrate
US20130221312A1 (en) SEMICONDUCTOR STRUCTURES COMPRISING CRYSTALLINE PrCaMnO (PCMO) FORMED BY ATOMIC LAYER DEPOSITION
KR20150020528A (en) Apparatus for cvd and ald with an elongate nozzle and methods of use
Shin et al. Atomic layer deposition: overview and applications
KR20220133130A (en) Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
US20220081769A1 (en) Methods of atomic layer deposition
WO2021167776A1 (en) Deposition of tellurium-containing thin films
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
WO2014197803A1 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
US20220254628A1 (en) Method and system for forming boron nitride on a surface of a substrate
KR20220081905A (en) Silicon precursors for silicon silicon nitride deposition
US11859278B2 (en) Molecular layer deposition of amorphous carbon films
US20240136192A1 (en) Non-metal incorporation in molybdenum on dielectric surfaces
TW202400829A (en) Methods and systems for filling gap features on substrate surfaces and structures formed therefrom
CN118241185A (en) Transition metal deposition process and deposition assembly