JP2015505975A - 電気機械システムためのプロセシングおよび同プロセシングのための機器 - Google Patents

電気機械システムためのプロセシングおよび同プロセシングのための機器 Download PDF

Info

Publication number
JP2015505975A
JP2015505975A JP2014542558A JP2014542558A JP2015505975A JP 2015505975 A JP2015505975 A JP 2015505975A JP 2014542558 A JP2014542558 A JP 2014542558A JP 2014542558 A JP2014542558 A JP 2014542558A JP 2015505975 A JP2015505975 A JP 2015505975A
Authority
JP
Japan
Prior art keywords
chamber
substrate
process chamber
substrates
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014542558A
Other languages
English (en)
Inventor
照夫 笹川
照夫 笹川
レオナルド・ユージーン・フェネル
Original Assignee
クォルコム・メムズ・テクノロジーズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クォルコム・メムズ・テクノロジーズ・インコーポレーテッド filed Critical クォルコム・メムズ・テクノロジーズ・インコーポレーテッド
Publication of JP2015505975A publication Critical patent/JP2015505975A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

本開示は、バッチクラスタツール内で複数の基板をプロセシングするためのシステム、方法、および装置を提供する。バッチクラスタツールは、搬送チャンバ、エッチングプロセスチャンバ、およびALDプロセスチャンバとSAMプロセスチャンバの1つまたは両方を含み得る。バッチプロセスチャンバの各々は、基板が互いにオープンである共通のチャンバであるか、または動作時に互いに分離された複数のプロセスサブチャンバを含むことができる。複数の基板が、エッチングチャンバに搬送される。基板は、気相エッチング剤に露出される。次いで、基板は、原子層堆積(ALD)チャンバに搬送され、薄膜を形成するために気相反応物質に露出される可能性がある。基板は、エッチングプロセスチャンバまたはALDチャンバのいずれかからに第3のチャンバに搬送され、自己集合単分子層(SAM)を形成するために気相反応物質に露出される可能性がある。

Description

本開示は、電気機械システムに関する。
電気機械システム(EMS)は、電気的および機械的な要素と、アクチュエータと、トランスデューサと、センサと、光学的構成要素(ミラーおよび光学フイルム層など)と、電子回路とを有するデバイスを含む。電気機械システムは、限定はしないが、マイクロスケールおよびナノスケールを含む、様々なスケールで製造され得る。たとえば、マイクロ電気機械システム(MEMS:microelectromechanical system)デバイスは、約1ミクロンから数百ミクロン以上に及ぶサイズを有する構造を含むことができる。ナノ電気機械システム(NEMS:nanoelectromechanical system)デバイスは、たとえば、数百ナノメートルよりも小さいサイズを含む、1ミクロンよりも小さいサイズを有する構造を含むことができる。電気および電気機械デバイスを形成するために、堆積、エッチング、リソグラフィを使用して、ならびに/あるいは、基板および/または堆積された材料層の部分をエッチング除去するかまたは層を追加する、他の微細加工プロセスを使用して、電気機械要素が作成され得る。
1つのタイプの電気機械システムデバイスは干渉変調器(IMOD:interferometric modulator)と呼ばれる。本明細書で使用する干渉変調器または干渉光変調器という用語は、光学干渉の原理を使用して光を選択的に吸収および/または反射するデバイスを指す。いくつかの実施態様では、干渉変調器は伝導性プレートのペアを含み得、そのペアの一方または両方は、全体的にまたは部分的に、透明でおよび/または反射性であり、適切な電気信号の印加時の相対運動が可能であり得る。一実施態様では、一方のプレートは、基板上に堆積された固定層を含み得、他方のプレートは、エアギャップによって固定層から分離された反射膜を含み得る。別のプレートに対するあるプレートの位置は、干渉変調器に入射する光の光学干渉を変化させることがある。干渉変調器デバイスは、広範囲の適用例を有しており、特にディスプレイ能力がある製品の場合、既存の製品を改善し、新しい製品を作成する際に使用されることが予期される。
典型的には、電気機械システム装置をパッケージングする前の最後の製作プロセスの1つは、可動層が移動することができるキャビティを画定するために可動層の下から犠牲層を除去することである。犠牲層を除去することは、しばしばリリースエッチング(release etch)と呼ばれる。デバイスは、リリースの後、脆弱になり、後続のハンドリングおよびプロセシングの間に損傷を受けやすい。
本開示のシステム、方法およびデバイスは、それぞれいくつかの発明的態様を有し、それらのうちの単一の態様だけが、本明細書において開示される望ましい属性を担うとは限らない。
本開示で説明する主題の1つの発明的態様は、デバイスを形成する方法において実施され得る。本方法は、複数の基板をクラスタツールの搬送チャンバから、クラスタツールのエッチングチャンバに搬送するステップを含む。基板は、気相エッチング剤に露出され、基板を気相エッチング剤に露出した後、以下のうちの少なくとも1つが実行される。(1)原子層堆積(ALD)によって基板上に薄膜を形成するために、搬送チャンバを介して基板をALDチャンバに搬送し、基板を気相反応物質に露出させること、および(2)基板上に自己集合単分子層(SAM)を形成するために、搬送チャンバを介して基板を第3のチャンバに搬送し、基板を気相反応物質に露出させること。
いくつかの実施態様では、基板を気相エッチング剤に露出させること、薄膜を形成するために基板を気相反応物質に露出させること、およびSAMを形成するために基板を気相反応物質に露出させることのうちの少なくとも1つが実行されるが、基板は、互いにオープンに連通している。いくつかの実施態様では、基板をエッチングチャンバに搬送すること、基板をALDチャンバに搬送すること、および基板を第3のチャンバに搬送することのうちの少なくとも1つは、基板を外側チャンバおよび外側チャンバ内の内側チャンバに搬送することを含む。いくつかの実施態様では、基板を搬送することのうちの少なくとも1つに対応する、基板を気相エッチング剤に露出させること、薄膜を形成するために基板を気相反応物質に露出させること、およびSAMを形成するために基板を気相反応物質に露出させることが実行されるが、基板は、少なくとも1つの内側チャンバ内で互いにオープンに連通している。いくつかの実施態様では、薄膜がALDによって基板上に形成され、SAMが基板上に形成される。いくつかの実施態様では、バッチを搬送することには、連続的な単一の基板搬送が含まれる。いくつかの実施態様では、複数の基板を搬送することには、複数の基板を同時に搬送することが含まれる。いくつかの実施態様では、エッチング、ALD、およびSAMプロセスのうちの少なくとも1つのプロセシング圧力は、搬送圧力と異なる。
本開示で説明する主題の1つの発明的態様は、電気機械システムデバイスを形成するための方法において実施され得る。本方法は、クラスタツールの第1のプロセスチャンバ内で複数の基板上の電気機械デバイスの可動電極と静止電極との間にギャップを作り出すために犠牲層を除去するステップを含む。以下のうちの少なくとも1つが実行される。(1)原子層堆積により、クラスタツールの第2のプロセスチャンバ内で原子層堆積(ALD)層を基板のギャップ内に堆積させること、および(2)クラスタツールの第3のプロセスチャンバ内で自己集合単分子層(SAM)を基板のギャップ内に堆積させること。
いくつかの実施態様では、犠牲層を除去すること、ALD層を堆積させること、およびSAMを堆積させることのうちの少なくとも1つが実行されるが、基板は、互いにオープンに連通している。いくつかの実施態様では、犠牲層を除去すること、ALD層を堆積させること、およびSAMを堆積させることのうちの少なくとも1つが、外側プロセスチャンバ内に配置された内側チャンバ内で実行される。いくつかの実施態様では、犠牲層を除去すること、ALD層を堆積させること、およびSAMを堆積させることのうちの少なくとも1つが実行されるが、基板は、内側チャンバ内で互いにオープンに連通している。いくつかの実施態様では、ALD層が、クラスタツールの第2のプロセスチャンバ内で基板のギャップ内に形成され、自己集合単分子層(SAM)が、クラスタツールの第3のプロセスチャンバ内で基板のギャップ内のALD層よりも上に堆積される。いくつかの実施態様では、犠牲層を除去すること、ALD層を堆積させること、およびSAMを堆積させることの各々は、10−2Torrよりも大きい圧力で行われるが、プロセスチャンバの各々に接続されたクラスタツールの搬送チャンバは、搬送チャンバおよびプロセスチャンバの各々の間で基板を搬送するとき、10−4Torr未満の圧力に維持される。いくつかの実施態様では、犠牲層を除去することは、クラスタツールの第1のプロセスチャンバ内の圧力を約0.1から約5Torrの間に維持しながらクラスタツールの第1のプロセスチャンバにXeFを提供することを含む。いくつかの実施態様では、ALD層を堆積させることは、酸化アルミニウムALD層を堆積させるために、トリメチルアルミニウム(TMA)と水とを交互に提供し、クラスタツールの第2のプロセスチャンバに連続的なパルスを提供することを含む。いくつかの実施態様では、SAMを堆積させることは、クラスタツールの第3のプロセスチャンバにn−デシルトリクロロシランを提供することを含む。ALDおよびSAMの堆積は、それぞれのプロセスチャンバ内の圧力を約100mTorrから約1Torrの間に設定することを含み得る。
本開示で説明する主題の1つの発明的態様は、電気機械システムデバイスをプロセシングするための装置において実施され得る。本装置は、複数の基板をプロセシングするように構成された第1のプロセスチャンバを含み、第1のプロセスチャンバは、フッ素ベースのエッチング剤を含むエッチング剤ソースと流体連通している。本装置は、以下のうちの1つまたは複数をさらに含む。(1)酸化用ソースを含む第1のソースと、半導体および金属ソースのうちの1つを含む第2のソースとに流体連通している、複数の基板をプロセシングするように構成された第2のプロセスチャンバ、ならびに(2)有機ソース化学物質に流体連通している、複数の基板をプロセシングするように構成された第3のプロセスチャンバ。本装置は、第1、および第2または第3のプロセスチャンバの各々と選択的に連通している搬送チャンバも含み、搬送チャンバは、搬送チャンバならびに第1および第2または第3のプロセスチャンバの間で基板を搬送するように構成されたロボットを含む。
いくつかの実施態様では、第1のプロセスチャンバ、第2のプロセスチャンバ、および第3のプロセスチャンバのうちの少なくとも1つは、基板のプロセシングの間の基板間のオープンな連通を可能にするように構成される。いくつかの実施態様では、本装置は、第1のプロセスチャンバ、第2のプロセスチャンバ、および第3のプロセスチャンバのうちの少なくとも1つ内に配置された少なくとも1つの内側プロセスチャンバをさらに含む。いくつかの実施態様では、内側プロセスチャンバは、基板のプロセシングの間の基板間のオープンな連通を可能にするように構成される。いくつかの実施態様では、本装置は、第2のプロセスチャンバおよび第3のプロセスチャンバを含む。いくつかの実施態様では、本装置は、第1のソースと第2のソースとの間で交互に切り替えるための、第2のプロセスチャンバと連通している制御システムを含む。いくつかの実施態様では、本装置は、約370mm×約470mmの寸法を有する矩形基板の面積よりも大きい面積を有する矩形基板をハンドリングするように構成される。いくつかの実施態様では、フッ素ベースのエッチング剤はXeFであり、金属ソースはトリメチルアルミニウムであり、酸化用ソースは水であり、有機ソース化学物質は、n−デシルトリクロロシランである。
本開示で説明する主題の1つの発明的態様は、電気機械システムデバイスをプロセシングするためのクラスタツールにおいて実施され得る。クラスタツールは、基板から犠牲層を除去するための手段を含む、複数の基板をプロセシングするように構成された第1のプロセスチャンバを含む。また、クラスタツールは、以下のうちの1つまたは複数を含む。(1)基板上にALD層を形成するための手段を含む、複数の基板をプロセシングするように構成された第2のプロセスチャンバ、および(2)基板上に自己集合単分子層を形成するための手段を含む、複数の基板をプロセシングするように構成された第3のプロセスチャンバ。クラスタツールは、第1、第2、および第3のプロセスチャンバのうちのチャンバ間で基板を搬送するための手段を含む、第1、および第2または第3のプロセスチャンバの間で基板を選択的に連通させることができる搬送チャンバも含む。
いくつかの実施態様では、第1のプロセスチャンバ、第2のプロセスチャンバ、および第3のプロセスチャンバのうちの少なくとも1つは、基板のプロセシングの間の基板間のオープンな連通を可能にするように構成される。いくつかの実施態様では、本装置は、第1のプロセスチャンバ、第2のプロセスチャンバ、および第3のプロセスチャンバのうちの少なくとも1つ内に配置された少なくとも1つの内側プロセスチャンバをさらに含む。いくつかの実施態様では、内側プロセスチャンバは、基板のプロセシングの間の基板間のオープンな連通を可能にするように構成される。いくつかの実施態様では、本装置は、第2のプロセスチャンバと第3のプロセスチャンバの両方を含む。
本明細書で説明する主題の1つまたは複数の実施態様の詳細が、添付の図面および以下の説明において示されている。他の特徴、態様、および利点は、説明、図面、および特許請求の範囲から明らかになるであろう。以下の図の相対寸法は一定の縮尺で描かれていないことがあることに留意されたい。
干渉変調器(IMOD)ディスプレイデバイスの一連のピクセル中の2つの隣接ピクセルを示す等角図の一例である。 3×3干渉変調器ディスプレイを組み込んだ電子デバイスを示すシステムブロック図の一例である。 図1の干渉変調器についての可動反射層位置対印加電圧を示す図の一例である。 様々なコモン電圧およびセグメント電圧が印加されたときの干渉変調器の様々な状態を示す表の一例を示す図である。 図2の3×3干渉変調器ディスプレイにおけるディスプレイデータのフレームを示す図の一例である。 図5Aに示すディスプレイデータのフレームを書き込むために使用され得るコモン信号およびセグメント信号についてのタイミング図の一例である。 図1の干渉変調器ディスプレイの部分断面図の一例である。 干渉変調器の異なる実施態様の断面図の一例である。 干渉変調器の異なる実施態様の断面図の一例である。 干渉変調器の異なる実施態様の断面図の一例である。 干渉変調器の異なる実施態様の断面図の一例である。 干渉変調器のための製造プロセスを示す流れ図の一例である。 干渉変調器を製作する方法における様々な段階の断面概略図の一例である。 干渉変調器を製作する方法における様々な段階の断面概略図の一例である。 干渉変調器を製作する方法における様々な段階の断面概略図の一例である。 干渉変調器を製作する方法における様々な段階の断面概略図の一例である。 干渉変調器を製作する方法における様々な段階の断面概略図の一例である。 干渉変調器を製作する方法における様々な段階の断面概略図の一例である。 複数の基板をプロセシングするための方法を示す流れ図の一例である。 複数の基板をプロセシングするための方法を示す流れ図の一例である。 バッチプロセシングのための装置の一例の概略断面図である。 バッチプロセシングのための装置の一例の概略平面図である。 バッチプロセシングのための装置の別の例の概略平面図である。 バッチプロセシングのための装置の別の例の概略平面図である。 図11〜図14と同様のバッチクラスタツールに有用なバッチプロセスチャンバの概略断面図である。 図11〜図14と同様のバッチクラスタツールに有用なバッチプロセスチャンバの概略断面図である。 図11〜図14と同様のバッチクラスタツールに有用なバッチプロセスチャンバの概略断面図である。 エッチング、原子層堆積(ALD)、および自己集合単分子層(SAM)堆積のために構成された3つの異なるガス供給システムに接続する、バッチプロセスチャンバの一例の概略断面図である。 リリースエッチングのために構成されたバッチプロセスチャンバの一例の概略図である。 ALDのために構成されたバッチプロセスチャンバの一例の概略図である。 SAM堆積のために構成されたバッチプロセスチャンバの一例の概略図である。 バッチプロセシングのための装置の一例の概略断面図である。 複数の干渉変調器を含むディスプレイデバイスを示すシステムブロック図の一例である。 複数の干渉変調器を含むディスプレイデバイスを示すシステムブロック図の一例である。
様々な図面中の同様の参照番号および名称は、同様の要素を示す。
以下の説明は、本開示の発明的態様について説明するために、いくつかの実施態様に向けられる。ただし、本明細書の教示が多数の異なる方法において適用できることは、当業者は容易に認識されよう。説明される実施態様は、動いていようと(ビデオなど)、静止していようと(静止画像など)、およびテキストであろうと、グラフィックであろうと、絵であろうと、画像を表示するように構成することができる任意のデバイスまたはシステムにおいて実施することができる。より詳細には、説明される実施態様は、限定はしないが、携帯電話、マルチメディアインターネット対応セルラー電話、モバイルテレビジョン受信機、ワイヤレスデバイス、スマートフォン、Bluetooth(登録商標)デバイス、携帯情報端末(PDA)、ワイヤレス電子メール受信機、ハンドヘルドまたはポータブルコンピュータ、ネットブック、ノートブック、スマートブック、タブレット、プリンタ、コピー機、スキャナ、ファクシミリデバイス、GPS受信機/ナビゲータ、カメラ、MP3プレーヤ、カムコーダ、ゲーム機、腕時計、クロック、計算器、テレビジョンモニタ、フラットパネルディスプレイ、電子リーディングデバイス(すなわち、電子リーダー)、コンピュータモニタ、自動車ディスプレイ(オドメータおよびスピードメータディスプレイなどを含む)、コックピットコントロールおよび/またはディスプレイ、カメラビューディスプレイ(車両における後部ビューカメラのディスプレイなど)、電子写真、電子ビルボードまたは標示、プロジェクタ、アーキテクチャ構造物、電子レンジ、冷蔵庫、ステレオシステム、カセットレコーダーまたはプレーヤ、DVDプレーヤ、CDプレーヤ、VCR、ラジオ、ポータブルメモリチップ、洗濯機、乾燥機、洗濯機/乾燥機、パーキングメータ、(電気機械システム(EMS)、マイクロ電気機械システム(MEMS)および非MEMS適用例などにおける)パッケージング、審美構造物(1つの宝飾品上の画像のディスプレイなど)、ならびに様々なEMSデバイスなど、種々の電子デバイス中に含まれ得るかまたはそれらに関連付けられ得ることを企図している。また、本明細書の教示は、限定はしないが、電子スイッチングデバイス、無線周波数フィルタ、センサ、加速度計、ジャイロスコープ、運動検知デバイス、磁力計、コンシューマーエレクトロニクスのための慣性構成要素、コンシューマーエレクトロニクス製品の部品、バラクタ、液晶デバイス、電気泳動デバイス、駆動方式、製造プロセスおよび電子テスト機器など、ディスプレイ以外の応用形態において使用することもできる。したがって、本教示は、単に図に示す実施態様に限定されるものではなく、代わりに、当業者には容易に明らかになるであろう広い適用性を有する。
電気機械システムデバイスをプロセシングすることは、デバイス内に内部キャビティを形成するために各デバイスの一部分をエッチングするリリースエッチングプロセスを含み得る。リリースの後に、デバイス内のスティクションを低減するために、キャビティ内にスティクション防止層(antistiction layer)が形成され得る。スティクション防止層は、原子層堆積(ALD)によって形成された層を含み得る。いくつかの実施態様では、ALD層の上に形成される自己集合単分子層(SAM)のさらなる堆積は、ALD層のみを上回る、なお一層のスティクション防止特性を提供することができる。いくつかの実施態様では、SAM層は、デバイス内のすでに存在する層(エッチング停止層など)よりも上に形成することもでき、この場合、ALDプロセスが使用されることなく、リリースの後にSAMスティクション防止層が形成され得る。リリースエッチング、ALD層の堆積、およびSAMの堆積の各々は、クラスタツールに統合され得る。本明細書で使用する「バッチプロセスチャンバ」または「バッチツール」は、複数の基板をプロセシングするために構成されたツールを指す。本明細書で説明する実施態様から諒解されるように、バッチプロセスチャンバは、単一のチャンバ、基板が互いにオープンに連通する単一の内側チャンバならびに共通のガスソースおよび排出部を有する単一の外側チャンバ、または内側チャンバのために個々のガス供給部を有する、単一の外側チャンバおよび複数の内側チャンバを使用することができる。上記の構成の1つまたは複数のうちの複数のバッチプロセスチャンバは、基板がプロセスチャンバにアクセスすることができる、1つまたは複数の共通の搬送チャンバを有するクラスタツールに統合され得る。「バッチプロセシング」は、複数の基板が1つのプロセスチャンバにより同時並列的にプロセシングされるプロセスを指す。
本開示で説明する主題の特定の実施態様は、以下の潜在的な利点のうちの1つまたは複数を実現するために実施され得る。複数の基板をプロセシングするためにバッチ反応器を使用することは、基板(すなわち、1時間当たりにプロセシングされる基板)のスループットを増加させることによって製造費を下げ、敏感なリリース後のデバイスのために汚染物質に対する露出を制限する可能性がある。さらに、搬送チャンバおよび取り付けられた別のプロセスチャンバの間の相対圧力の制御などの予防措置は、プロセス間での基板の汚染、ならびにエッチング/リリース、ALD層形成、およびSAM形成に使用される様々なプロセスガスの二次汚染のリスクを低下させることができる。いくつかの実施態様では、搬送チャンバおよび取り付けられた別のプロセスチャンバは、プロセシングの後ならびに基板搬送の前および間に、搬送チャンバおよびプロセスチャンバ内で低真空圧力を使用することにより、基板の汚染のリスクを低減させることができる。いくつかの実施態様では、複数の基板は、通常、各々の別のプロセスチャンバ内で「バッチ」でプロセシングされる可能性がある。いくつかの実施態様では、複数の基板は、各々の別のプロセスチャンバ内の複数のプロセスサブチャンバ内でプロセシングされる可能性がある。各プロセスサブチャンバは、複数の基板のサブセットをプロセシングするように構成され得る。いくつかの実施態様では、各プロセスサブチャンバは、単一の基板をプロセシングするように構成され得る。デバイスキャビティ内の比較的低い不純物は、電気的特性ならびにデバイスの性能および安定性の改善につながる可能性がある。
説明する実施態様が適用され得る好適なEMSまたはMEMSデバイスの一例は、反射型ディスプレイデバイスである。反射型ディスプレイデバイスは、光学干渉の原理を使用してそれに入射する光を選択的に吸収および/または反射するために干渉変調器(IMOD)を組み込むことができる。IMODは、吸収器、吸収器に対して可動である反射体、ならびに吸収器と反射体との間に画定された光共振キャビティを含むことができる。反射体は、2つ以上の異なる位置に移動され得、これは、光共振キャビティのサイズを変化させ、それにより干渉変調器の反射率に影響を及ぼすことがある。IMODの反射スペクトルは、かなり広いスペクトルバンドをもたらすことができ、そのスペクトルバンドは、異なる色を生成するために可視波長にわたってシフトされ得る。スペクトルバンドの位置は、光共振キャビティの厚さを変更することによって調節され得る。光共振キャビティを変更する1つの方法は、反射体の位置を変更することによるものである。
図1は、干渉変調器(IMOD)ディスプレイデバイスの一連のピクセル中の2つの隣接ピクセルを示す等角図の一例を示す。IMODディスプレイデバイスは、1つまたは複数の干渉MEMSディスプレイ要素を含む。これらのデバイスでは、MEMSディスプレイ要素のピクセルが、明状態または暗状態のいずれかにあることがある。明(「緩和」、「開」または「オン」)状態では、ディスプレイ要素は、たとえば、ユーザに、入射可視光の大部分を反射する。逆に、暗(「作動」、「閉」または「オフ」)状態では、ディスプレイ要素は入射可視光をほとんど反射しない。いくつかの実施態様では、オン状態の光反射特性とオフ状態の光反射特性は逆にされ得る。MEMSピクセルは、黒および白に加えて、主に、カラーディスプレイを可能にする特定の波長において、反射するように構成され得る。
IMODディスプレイデバイスは、IMODの行/列アレイを含むことができる。各IMODは、(光ギャップまたはキャビティとも呼ばれる)エアギャップを形成するように互いから可変で制御可能な距離をおいて配置された反射層のペア、すなわち、可動反射層と固定部分反射層とを含むことができる。可動反射層は、少なくとも2つの位置の間で移動され得る。第1の位置、すなわち、緩和位置では、可動反射層は、固定部分反射層から比較的大きい距離をおいて配置され得る。第2の位置、すなわち、作動位置では、可動反射層は、部分反射層により近接して配置され得る。それら2つの層から反射する入射光は、可動反射層の位置に応じて、強め合うようにまたは弱め合うように干渉し、各ピクセルについて全反射状態または無反射状態のいずれかを引き起こすことがある。いくつかの実施態様では、IMODは、作動していないときに反射状態にあり、可視スペクトル内の光を反射し得、また、作動していないときに暗状態にあり、可視範囲内の光を吸収し、および/または弱め合うようにそれに干渉し得る。ただし、いくつかの他の実施態様では、IMODは、作動していないときに暗状態にあり、作動しているときに反射状態にあり得る。いくつかの実施態様では、印加電圧の導入が、状態を変更するようにピクセルを駆動することができる。いくつかの他の実施態様では、印加電荷が、状態を変更するようにピクセルを駆動することができる。
図1中のピクセルアレイの図示の部分は、2つの隣接する干渉変調器12を含む。(図示のような)左側のIMOD12では、可動反射層14が、部分反射層を含む光学スタック16からの所定の距離における緩和位置に示されている。左側のIMOD12の両端間に印加された電圧Vは、可動反射層14の作動を引き起こすには不十分である。右側のIMOD12では、可動反射層14は、光学スタック16の近くの、またはそれに隣接する作動位置に示されている。右側のIMOD12の両端間に印加された電圧Vbiasは、可動反射層14を作動位置に維持するのに十分である。
図1では、ピクセル12の反射特性が、概して、ピクセル12に入射する光と、左側のピクセル12から反射する光15とを示す矢印13を用いて示されている。詳細に示していないが、ピクセル12に入射する光13の大部分は透明基板20を透過され、光学スタック16に向かうことになることを、当業者なら理解されよう。光学スタック16に入射する光の一部分は光学スタック16の部分反射層を透過されることになり、一部分は反射され、透明基板20を通って戻ることになる。光学スタック16を透過された光13の部分は、可動反射層14において反射され、透明基板20に向かって(およびそれを通って)戻ることになる。光学スタック16の部分反射層から反射された光と可動反射層14から反射された光との間の(強め合うまたは弱め合う)干渉が、ピクセル12から反射される光15の波長を決定することになる。
光学スタック16は、単一の層またはいくつかの層を含むことができる。その層は、電極層と、部分反射および部分透過層と、透明な誘電体層とのうちの1つまたは複数を含むことができる。いくつかの実施態様では、光学スタック16は、電気伝導性であり、部分的に透明で、部分的に反射性であり、たとえば、透明基板20上に上記の層のうちの1つまたは複数を堆積させることによって、作製され得る。電極層は、様々な金属、たとえば酸化インジウムスズ(ITO)など、様々な材料から形成され得る。部分反射層は、クロム(Cr)などの様々な金属、半導体、および誘電体など、部分的に反射性である様々な材料から形成され得る。部分反射層は、材料の1つまたは複数の層から形成され得、それらの層の各々は、単一の材料または材料の組合せから形成され得る。いくつかの実施態様では、光学スタック16は、光吸収体と電気導体の両方として働く、金属または半導体の単一の半透明の膜(thickness)を含むことができるが、(光学スタック16の、またはIMODの他の構造の、など)異なる、電気的により伝導性の高い層または部分が、IMODピクセル間で信号をバスで運ぶ(bus)ように働くことができる。光学スタック16は、1つまたは複数の伝導性層または電気伝導性/光吸収層をカバーする、1つまたは複数の絶縁層または誘電体層をも含むことができる。
いくつかの実施態様では、光学スタック16の層は、以下でさらに説明するように、平行ストリップにパターニングされ得、ディスプレイデバイスにおける行電極を形成し得る。当業者によって理解されるように、「パターニング」という用語は、本明細書では、マスキングプロセスならびにエッチングプロセスを指すために使用される。いくつかの実施態様では、アルミニウム(Al)などの高伝導性および反射性材料が可動反射層14のために使用され得、これらのストリップはディスプレイデバイスにおける列電極を形成し得る。可動反射層14は、(光学スタック16の行電極に直交する)1つまたは複数の堆積された金属層の一連の平行ストリップとして形成されて、ポスト18の上に堆積された列とポスト18間に堆積された介在する犠牲材料とを形成し得る。犠牲材料がエッチング除去されると、画定されたギャップ19または光キャビティが可動反射層14と光学スタック16との間に形成され得る。いくつかの実施態様では、ポスト18間の間隔は約1〜1000μmであり得、ギャップ19は10,000オングストローム(Å)未満であり得る。
いくつかの実施態様では、IMODの各ピクセルは、作動状態にあろうと緩和状態にあろうと、本質的に、固定反射層および可動反射層によって形成されるキャパシタである。電圧が印加されないとき、可動反射層14は、図1中の左側のピクセル12によって示されるように、機械的に緩和した状態にとどまり、可動反射層14と光学スタック16との間のギャップ19がある。しかしながら、電位差、すなわち電圧が、選択された行および列のうちの少なくとも1つに印加されたとき、対応するピクセルにおける行電極と列電極との交差部に形成されたキャパシタは帯電し、静電力がそれらの電極を引き合わせる。印加された電圧がしきい値を超える場合、可動反射層14は、変形し、光学スタック16の近くにまたはそれに対して移動することができる。光学スタック16内の誘電体層(図示せず)が、図1中の右側の作動ピクセル12によって示されるように、短絡を防ぎ、層14と層16との間の分離距離を制御し得る。その挙動は、印加電位差の極性にかかわらず同じである。いくつかの事例ではアレイ中の一連のピクセルが「行」または「列」と呼ばれることがあるが、ある方向を「行」と呼び、別の方向を「列」と呼ぶことは恣意的であることを、当業者は容易に理解されよう。言い換えれば、いくつかの配向では、行は列と見なされ得、列は行であると見なされ得る。さらに、ディスプレイ要素は、直交する行および列に一様に配置されるか(「アレイ」)、または、たとえば、互いに対して一定の位置オフセットを有する、非線形構成で配置され得る(「モザイク」)。「アレイ」および「モザイク」という用語は、いずれかの構成を指し得る。したがって、ディスプレイは、「アレイ」または「モザイク」を含むものとして言及されるが、その要素自体は、いかなる事例においても、互いに直交して配置される必要がなく、または一様な分布で配設される必要がなく、非対称形状および不均等に分布された要素を有する配置を含み得る。
図2は、3×3干渉変調器ディスプレイを組み込んだ電子デバイスを示すシステムブロック図の一例を示す。電子デバイスは、1つまたは複数のソフトウェアモジュールを実行するように構成され得るプロセッサ21を含む。オペレーティングシステムを実行することに加えて、プロセッサ21は、ウェブブラウザ、電話アプリケーション、電子メールプログラム、または他のソフトウェアアプリケーションを含む、1つまたは複数のソフトウェアアプリケーションを実行するように構成され得る。
プロセッサ21は、アレイドライバ22と通信するように構成され得る。アレイドライバ22は、たとえば、ディスプレイアレイまたはパネル30に、信号を与える行ドライバ回路24と列ドライバ回路26とを含むことができる。図2には、図1に示したIMODディスプレイデバイスの断面が線1−1によって示されている。図2は明快のためにIMODの3×3アレイを示しているが、ディスプレイアレイ30は、極めて多数のIMODを含んでいることがあり、列におけるIMODの数とは異なる数のIMODを行において有し得、その逆も同様である。
図3は、図1の干渉変調器についての可動反射層位置対印加電圧を示す図の一例を示す。MEMS干渉変調器の場合、行/列(すなわち、コモン/セグメント)書込みプロシージャが、図3に示すこれらのデバイスのヒステリシス特性を利用し得る。干渉変調器は、可動反射層またはミラーに緩和状態から作動状態に変更させるために、例示的な一実施態様では、約10ボルトの電位差を使用し得る。電圧がその値から低減されると、電圧が低下して、この例では、10ボルトより下に戻ったとき、可動反射層はそれの状態を維持するが、電圧が2ボルトより下に低下するまで、可動反射層は完全には緩和しない。したがって、図3に示すように、この例では、印加電圧のウィンドウがある電圧の範囲、約3〜7ボルトが存在し、そのウィンドウ内でデバイスは緩和状態または作動状態のいずれかで安定している。これは、本明細書では「ヒステリシスウィンドウ」または「安定性ウィンドウ」と呼ばれる。図3のヒステリシス特性を有するディスプレイアレイ30の場合、行/列書込みプロシージャは、一度に1つまたは複数の行をアドレス指定するように設計され得、その結果、所与の行のアドレス指定中に、作動されるべきアドレス指定された行におけるピクセルは、この例では、約10ボルトの電圧差にさらされ、緩和されるべきピクセルは、ほぼ0ボルトの電圧差にさらされる。アドレス指定後に、それらのピクセルは、それらが前のストローブ状態にとどまるような、この例では約5ボルトの定常状態またはバイアス電圧差にさらされ得る。この例では、アドレス指定された後に、各ピクセルは、約3〜7ボルトの「安定性ウィンドウ」内の電位差を経験する。このヒステリシス特性の特徴は、図1に示したピクセル設計などのピクセル設計が、同じ印加電圧条件下で作動または緩和のいずれかの既存の状態で安定したままであることを可能にする。各IMODピクセルは、作動状態にあろうと緩和状態にあろうと、本質的に、固定反射層および可動反射層によって形成されるキャパシタであるので、この安定状態は、電力を実質的に消費するかまたは失うことなしに、ヒステリシスウィンドウ内の定常電圧において保持され得る。その上、印加電圧電位が実質的に固定のままである場合、電流は本質的にほとんどまたはまったくIMODピクセルに流れ込まない。
いくつかの実施態様では、所与の行におけるピクセルの状態の所望の変化(もしあれば)に従って、列電極のセットに沿って「セグメント」電圧の形態のデータ信号を印加することによって、画像のフレームが作成され得る。次に、フレームが一度に1行書き込まれるように、アレイの各行がアドレス指定され得る。第1の行におけるピクセルに所望のデータを書き込むために、第1の行におけるピクセルの所望の状態に対応するセグメント電圧が列電極上に印加され得、特定の「コモン」電圧または信号の形態の第1の行パルスが第1の行電極に印加され得る。次いで、セグメント電圧のセットは、第2の行におけるピクセルの状態の所望の変化(もしあれば)に対応するように変更され得、第2のコモン電圧が第2の行電極に印加され得る。いくつかの実施態様では、第1の行におけるピクセルは、列電極に沿って印加されたセグメント電圧の変化による影響を受けず、第1のコモン電圧行パルス中にそれらのピクセルが設定された状態にとどまる。このプロセスは、画像フレームを生成するために、一連の行全体、または代替的に、一連の列全体について、連続方式で繰り返され得る。フレームは、何らかの所望の数のフレーム毎秒でこのプロセスを断続的に反復することによって、新しい画像データでリフレッシュおよび/または更新され得る。
各ピクセルの両端間に印加されるセグメント信号とコモン信号の組合せ(すなわち、各ピクセルの両端間の電位差)は、各ピクセルの得られる状態を決定する。図4は、様々なコモン電圧およびセグメント電圧が印加されたときの干渉変調器の様々な状態を示す表の一例を示している。当業者によって理解されるように、「セグメント」電圧は、列電極または行電極のいずれかに印加され得、「コモン」電圧は、列電極または行電極のうちの他方に印加され得る。
図4に(ならびに図5Bに示すタイミング図に)示すように、開放電圧(release voltage)VCRELがコモンラインに沿って印加されたとき、コモンラインに沿ったすべての干渉変調器要素は、セグメントラインに沿って印加された電圧、すなわち、高いセグメント電圧VSおよび低いセグメント電圧VSにかかわらず、代替的に開放または非作動状態と呼ばれる、緩和状態に入れられることになる。特に、開放電圧VCRELがコモンラインに沿って印加されると、そのピクセルのための対応するセグメントラインに沿って高いセグメント電圧VSが印加されたときも、低いセグメント電圧VSが印加されたときも、変調器ピクセルの両端間の潜在的な電圧(代替的にピクセル電圧と呼ばれる)は緩和ウィンドウ(図3参照、開放ウィンドウとも呼ばれる)内にある。
高い保持電圧VCHOLD_Hまたは低い保持電圧VCHOLD_Lなどの保持電圧がコモンライン上に印加されたとき、干渉変調器の状態は一定のままであることになる。たとえば、緩和IMODは緩和位置にとどまることになり、作動IMODは作動位置にとどまることになる。保持電圧は、対応するセグメントラインに沿って高いセグメント電圧VSが印加されたときも、低いセグメント電圧VSが印加されたときも、ピクセル電圧が安定性ウィンドウ内にとどまることになるように、選択され得る。したがって、本例では、セグメント電圧スイング(voltage swing)、高いVSと低いセグメント電圧VSとの間の差は、正または負のいずれかの安定性ウィンドウの幅よりも小さい。
高いアドレス指定電圧VCADD_Hまたは低いアドレス指定電圧VCADD_Lなどのアドレス指定または作動電圧がコモンライン上に印加されたとき、それぞれのセグメントラインに沿ったセグメント電圧の印加によって、データがそのコモンラインに沿った変調器に選択的に書き込まれ得る。セグメント電圧は、作動が印加されたセグメント電圧に依存するように選択され得る。アドレス指定電圧がコモンラインに沿って印加されたとき、一方のセグメント電圧の印加は、安定性ウィンドウ内のピクセル電圧をもたらし、ピクセルが非作動のままであることを引き起こすことになる。対照的に、他方のセグメント電圧の印加は、安定性ウィンドウを越えるピクセル電圧をもたらし、ピクセルの作動をもたらすことになる。作動を引き起こす特定のセグメント電圧は、どのアドレス指定電圧が使用されるかに応じて変動することができる。いくつかの実施態様では、高いアドレス指定電圧VCADD_Hがコモンラインに沿って印加されたとき、高いセグメント電圧VSの印加は、変調器がそれの現在位置にとどまることを引き起こすことがあり、低いセグメント電圧VSの印加は、変調器の作動を引き起こすことがある。当然の結果として、低いアドレス指定電圧VCADD_Lが印加されたとき、セグメント電圧の影響は反対であり、高いセグメント電圧VSは変調器の作動を引き起こし、低いセグメント電圧VSは変調器の状態に影響しない(すなわち、安定したままである)ことがある。
いくつかの実施態様では、変調器の両端間で同じ極性電位差を引き起こす保持電圧、アドレス電圧、およびセグメント電圧が使用され得る。いくつかの他の実施態様では、時間ごとに変調器の電位差の極性を交番する信号が使用され得る。変調器の両端間の極性の交番(すなわち、書込みプロシージャの極性の交番)は、単一の極性の反復書込み動作後に起こることがある電荷蓄積を低減または抑止し得る。
図5Aは、図2の3×3干渉変調器ディスプレイにおけるディスプレイデータのフレームを示す図の一例を示す。図5Bは、図5Aに示すディスプレイデータのフレームを書き込むために使用され得るコモン信号およびセグメント信号についてのタイミング図の一例を示す。それらの信号は、図2のアレイと同様の3×3アレイに印加され得、これは、図5Aに示すライン時間60eディスプレイ配置を最終的にもたらすことになる。図5A中の作動変調器は暗状態にあり、すなわち、その状態では、反射光の実質的部分が、たとえば、閲覧者に、暗い外観をもたらすように可視スペクトルの外にある。図5Aに示すフレームを書き込むより前に、ピクセルは任意の状態にあることがあるが、図5Bのタイミング図に示す書込みプロシージャは、各変調器が、第1のライン時間60aの前に、開放されており、非作動状態に属すると仮定する。
第1のライン時間60a中に、開放電圧70がコモンライン1上に印加され、コモンライン2上に印加される電圧が、高い保持電圧72において始まり、開放電圧70に移動し、低い保持電圧76がコモンライン3に沿って印加される。したがって、コモンライン1に沿った変調器(コモン1,セグメント1)、(1,2)および(1,3)は、第1のライン時間60aの持続時間の間、緩和または非作動状態にとどまり、コモンライン2に沿った変調器(2,1)、(2,2)および(2,3)は、緩和状態に移動することになり、コモンライン3に沿った変調器(3,1)、(3,2)および(3,3)は、それらの前の状態にとどまることになる。図4を参照すると、コモンライン1、2または3のいずれも、ライン時間60a中に作動を引き起こす電圧レベルにさらされていないので(すなわち、VCREL−緩和、およびVCHOLD_L−安定)、セグメントライン1、2および3に沿って印加されたセグメント電圧は、干渉変調器の状態に影響しないことになる。
第2のライン時間60b中に、コモンライン1上の電圧は高い保持電圧72に移動し、コモンライン1に沿ったすべての変調器は、アドレス指定または作動電圧がコモンライン1上に印加されなかったので、印加されたセグメント電圧にかかわらず、緩和状態にとどまる。コモンライン2に沿った変調器は、開放電圧70の印加により、緩和状態にとどまり、コモンライン3に沿った変調器(3,1)、(3,2)および(3,3)は、コモンライン3に沿った電圧が開放電圧70に移動するとき、緩和することになる。
第3のライン時間60c中に、コモンライン1は、コモンライン1上に高いアドレス電圧74を印加することによってアドレス指定される。このアドレス電圧の印加中に低いセグメント電圧64がセグメントライン1および2に沿って印加されるので、変調器(1,1)および(1,2)の両端間のピクセル電圧は変調器の正の安定性ウィンドウの上端よりも大きく(すなわち、電圧差は、特性しきい値を超えた)、変調器(1,1)および(1,2)は作動される。逆に、高いセグメント電圧62がセグメントライン3に沿って印加されるので、変調器(1,3)の両端間のピクセル電圧は、変調器(1,1)および(1,2)のピクセル電圧よりも小さく、変調器の正の安定性ウィンドウ内にとどまり、したがって変調器(1,3)は緩和したままである。また、ライン時間60c中に、コモンライン2に沿った電圧は低い保持電圧76に減少し、コモンライン3に沿った電圧は開放電圧70にとどまり、コモンライン2および3に沿った変調器を緩和位置のままにする。
第4のライン時間60d中に、コモンライン1上の電圧は、高い保持電圧72に戻り、コモンライン1に沿った変調器を、それらのそれぞれのアドレス指定された状態のままにする。コモンライン2上の電圧は低いアドレス電圧78に減少される。高いセグメント電圧62がセグメントライン2に沿って印加されるので、変調器(2,2)の両端間のピクセル電圧は、変調器の負の安定性ウィンドウの下側端部(lower end)を下回り、変調器(2,2)が作動することを引き起こす。逆に、低いセグメント電圧64がセグメントライン1および3に沿って印加されるので、変調器(2,1)および(2,3)は緩和位置にとどまる。コモンライン3上の電圧は、高い保持電圧72に増加し、コモンライン3に沿った変調器を緩和状態のままにする。
最後に、第5のライン時間60e中に、コモンライン1上の電圧は高い保持電圧72にとどまり、コモンライン2上の電圧は低い保持電圧76にとどまり、コモンライン1および2に沿った変調器を、それらのそれぞれのアドレス指定された状態のままにする。コモンライン3上の電圧は、コモンライン3に沿った変調器をアドレス指定するために、高いアドレス電圧74に増加する。低いセグメント電圧64がセグメントライン2および3上に印加されるので、変調器(3,2)および(3,3)は作動するが、セグメントライン1に沿って印加された高いセグメント電圧62は、変調器(3,1)が緩和位置にとどまることを引き起こす。したがって、第5のライン時間60eの終わりに、3×3ピクセルアレイは、図5Aに示す状態にあり、他のコモンライン(図示せず)に沿った変調器がアドレス指定されているときに起こり得るセグメント電圧の変動にかかわらず、保持電圧がコモンラインに沿って印加される限り、その状態にとどまることになる。
図5Bのタイミング図では、所与の書込みプロシージャ(すなわち、ライン時間60a〜60e)は、高い保持およびアドレス電圧、または低い保持およびアドレス電圧のいずれかの使用を含むことができる。書込みプロシージャが所与のコモンラインについて完了されると(また、コモン電圧が、作動電圧と同じ極性を有する保持電圧に設定されると)、ピクセル電圧は、所与の安定性ウィンドウ内にとどまり、開放電圧がそのコモンライン上に印加されるまで、緩和ウィンドウを通過しない。さらに、各変調器が、変調器をアドレス指定するより前に書込みプロシージャの一部として開放されるので、開放時間ではなく変調器の作動時間が、ライン時間を決定し得る。詳細には、変調器の開放時間が作動時間よりも大きい実施態様では、開放電圧は、図5Bに示すように、単一のライン時間よりも長く印加され得る。いくつかの他の実施態様では、コモンラインまたはセグメントラインに沿って印加される電圧が、異なる色の変調器など、異なる変調器の作動電圧および開放電圧の変動を相殺するように変動し得る。
上記に記載した原理に従って動作する干渉変調器の構造の詳細は大きく異なり得る。たとえば、図6Aから図6Eは、可動反射層14とそれの支持構造とを含む、干渉変調器の異なる実施態様の断面図の例を示している。図6Aは、金属材料のストリップ、すなわち、可動反射層14が、基板20から直角に延在する支持体18上に堆積される、図1の干渉変調器ディスプレイの部分断面図の一例を示している。この例では、可動電極および機械層は1つであり、同じものである。図6Bでは、各IMODの可動反射層14は、概して形状が正方形または長方形であり、コーナーにおいてまたはその近くでテザー32に接して支持体18に取り付けられる。また、機械層および可動電極は、この例では1つであり、同じものであり得る。図6Cでは、可動反射層14は、概して形状が正方形または長方形であり、フレキシブルな金属を含み得る変形可能層34から吊るされる。変形可能層34は、可動反射層14の外周の周りで基板20に直接的にまたは間接的に接続することがある。これらの接続は、本明細書では支持体または支持ポスト18と呼ばれる。図6Cに示す実施態様は、変形可能層34によって行われる可動反射層14の機械的機能からのそれの光学的機能の分離から派生する追加の利益を有する。この分離は、反射層14のために使用される構造設計および材料と、変形可能層34のために使用される構造設計および材料とが、互いとは無関係に最適化されることを可能にする。変形可能層34はまた、機械層と呼ばれることもある。変形可能層34または反射層14のいずれかは、可動層と見なされ得る。
図6Dは、可動反射層14が反射副層(reflective sub−layer)14aを含む、IMODの別の例を示している。可動反射層14は、支持ポスト18などの支持構造上に載る。支持ポスト18は、たとえば、可動反射層14が緩和位置にあるとき、可動反射層14と光学スタック16との間にギャップ19が形成されるように、下側静止電極(すなわち、図示のIMODにおける光学スタック16の一部)からの可動反射層14の分離を可能にする。可動反射層14は、電極として働くように構成され得る伝導性層14cと、支持層14bとをも含むことができる。この例では、伝導性層14cは、基板20から遠位にある支持層14bの一方の面に配設され、反射副層14aは、基板20の近位にある支持層14bの他方の面に配設される。いくつかの実施態様では、反射副層14aは、伝導性であることがあり、支持層14bと光学スタック16との間に配設され得る。支持層14bは、誘電材料、たとえば、酸窒化ケイ素(SiO)または二酸化ケイ素(SiO)の、1つまたは複数の層を含むことができる。いくつかの実施態様では、支持層14bは、たとえば、SiO/SiON/SiO3層スタックなど、複数の層のスタックであり得る。反射副層14aと伝導性層14cのいずれかまたは両方は、たとえば、約0.5%の銅(Cu)または別の反射金属材料を用いた、アルミニウム(Al)合金を含むことができる。誘電支持層14bの上および下で伝導性層14aおよび14cを採用することは、応力のバランスをとり、伝導の向上を与えることができる。いくつかの実施態様では、反射副層14aおよび伝導性層14cは、可動反射層14内の特定の応力プロファイルを達成することなど、様々な設計目的で、異なる材料から形成され得る。
図6Dに示すように、いくつかの実施態様はブラックマスク構造23をも含むことができる。ブラックマスク構造23は、周辺光または迷光を吸収するために、(ピクセル間にまたは支持ポスト18の下になど)光学不活性領域において形成され得る。ブラックマスク構造23はまた、光がディスプレイの不活性部分から反射されることまたはそれを透過されることを抑止し、それによりコントラスト比を増加させることによって、ディスプレイデバイスの光学的特性を改善することができる。さらに、ブラックマスク構造23は、伝導性であり、電気的バス層として機能するように構成され得る。いくつかの実施態様では、行電極は、接続された行電極の抵抗を低減するために、ブラックマスク構造23に接続され得る。ブラックマスク構造23は、堆積およびパターニング技法を含む様々な方法を使用して形成され得る。ブラックマスク構造23は1つまたは複数の層を含むことができる。たとえば、いくつかの実施態様では、ブラックマスク構造23は、それぞれ、約30〜80Å、500〜1000Å、および500〜6000Åの範囲内の厚さをもつ、光吸収体として働くモリブデンクロム(MoCr)層と、光キャビティ層と、反射体およびバス層として働くAl合金層とを含む。1つまたは複数の層は、たとえば、MoCr層およびSiO層の場合は、四フッ化炭素(CF)および/または酸素(O)、ならびにAl合金層の場合は、塩素(Cl)および/または三塩化ホウ素(BCl)を含む、フォトリソグラフィおよびドライエッチングを含む、様々な技法を使用してパターニングされ得る。いくつかの実施態様では、ブラックマスク23はエタロンまたは干渉スタック構造であり得る。そのような干渉スタックブラックマスク構造23では、伝導性吸収体は、各行または列の光学スタック16における下側静止電極間で信号を送信するかまたは信号をバスで運ぶために使用され得る。いくつかの実施態様では、スペーサ層35(spacer layer)は、ブラックマスク23中の伝導性層から(吸収層16aなどの)光学スタック16中の電極または導体を概して電気的に絶縁するのに、役立つ可能性がある。
図6Eは、可動反射層14が自立している、IMODの別の例を示している。図6Dとは対照的に、図6Eの実施態様は、別個に形成された支持ポストを含まない。代わりに、可動反射層14は、一体型の支持体18を作成するために、複数のロケーションにおいて、下にある光学スタック16に接触し、可動反射層14の湾曲は、干渉変調器の両端間の電圧が作動を引き起こすには不十分であるとき、可動反射層14が図6Eの非作動位置に戻るという、十分な支持を与える。複数のいくつかの異なる層を含んでいることがある光学スタック16は、ここでは明快のために、光吸収体16aと誘電体16bとを含む状態で示されている。いくつかの実施態様では、光吸収体16aは、固定電極としても、部分反射層としても働き得る。図6Dおよび図6Eの例では、可動反射層14全体、またはその副層14a、14bおよび14cのうちのいずれか1つもしくはサブセットは、機械層または可動層と見なされ得る。いくつかの実施態様では、光吸収体16aは可動反射層14よりも1桁(10倍以上)薄い。いくつかの実施態様では、光吸収体16aは反射副層14aよりも薄い。いくつかの実施態様では、光吸収体16aは、静止電極および/または部分反射層として働き得る。
図6Aから図6Eに示す実施態様などの実施態様では、IMODは直視型デバイスとして機能し、直視型デバイスでは、画像が、透明基板20の正面、すなわち、変調器が形成された面の反対の面から、閲覧される。これらの実施態様では、デバイスの背面部分(すなわち、たとえば、図6Cに示す変形可能層34を含む、可動反射層14の背後のディスプレイデバイスの任意の部分)は、反射層14がデバイスのそれらの部分を光学的に遮蔽するので、ディスプレイデバイスの画質に影響を及ぼすことまたは悪影響を及ぼすことなしに、構成され、作用され得る。たとえば、いくつかの実施態様では、バス構造(図示せず)が可動反射層14の背後に含まれ得、これは、電圧アドレス指定およびそのようなアドレス指定に起因する移動など、変調器の電気機械的特性から変調器の光学的特性を分離する能力を与える。さらに、図6Aから図6Eの実施態様は、たとえば、パターニングなどの処理を簡略化することができる。
図7は、干渉変調器のための製造プロセス80を示す流れ図の一例を示しており、図8Aから図8Eは、そのような製造プロセス80の対応する段階の断面概略図の例を示している。いくつかの実施態様では、製造プロセス80は、図1および図6A〜6Eに示した一般的なタイプの干渉変調器などの電気機械システムデバイスを製造するために実施され得る。電気機械システムデバイスの製造は、図7に示されていない他のブロックをも含むことができる。図1、図6A〜6Eおよび図7を参照すると、プロセス80はブロック82において開始し、基板20上への光学スタック16の形成を伴う。光学スタック16は、下側静止電極を含む。図8Aは、基板20上で形成されたそのような光学スタック16を示している。基板20は、ガラスまたはプラスチックなどの透明基板であり得、それは、フレキシブルであるかまたは比較的固く曲がらないことがあり、光学スタック16の効率的な形成を可能にするために、洗浄などの事前準備プロセスにかけられていることがある。上記で説明したように、光学スタック16は、電気伝導性であり、部分的に透明で、部分的に反射性であることがあり、たとえば、透明基板20上に、所望の特性を有する1つまたは複数の層を堆積させることによって、作製され得る。図8Aでは、光学スタック16は、副層16aおよび16bを有する多層構造を含むが、いくつかの他の実施態様では、より多いまたはより少ない副層が含まれ得る。いくつかの実施態様では、副層16a、16bのうちの1つは、組み合わせられた導体/吸収体副層16aなど、光吸収特性と電気伝導特性の両方で構成され得る。非光学的実施態様では、静止電極は、光学的特性を顧慮せずに形成され得る。さらに、副層16a、16bのうちの1つまたは複数は、平行ストリップにパターニングされ得、ディスプレイデバイスにおける行電極を形成し得る。そのようなパターニングは、当技術分野で知られているマスキングおよびエッチングプロセスまたは別の好適なプロセスによって実行され得る。いくつかの実施態様では、副層16a、16bのうちの1つは、1つまたは複数の金属層(1つまたは複数の反射層および/または伝導性層など)上に堆積された副層16bなど、絶縁層または誘電体層であり得る。さらに、光学スタック16は、ディスプレイの行を形成する個々の平行ストリップにパターニングされ得る。図8Aから図8Eは、一定の縮尺で描かれていないことがあることに留意されたい。たとえば、図8Aから図8Eでは、副層16a、16bはやや厚く示されているが、いくつかの実施態様では、光学スタックの副層のうちの1つである光吸収層は極めて薄いことがある。
プロセス80はブロック84において続き、光学スタック16上への犠牲層25の形成を伴う。犠牲層25は、キャビティ19を形成するために後で(ブロック90参照)除去され、したがって、犠牲層25は、図1および図6A〜6Eに示した得られた干渉変調器12には示されていない。図8Bは、光学スタック16上で形成された犠牲層25を含む、部分的に作製されたデバイスを示している。光学スタック16上での犠牲層25の形成は、後続の除去後に、所望の設計サイズを有するギャップまたはキャビティ19(図1、図6A〜6Eおよび図8Eも参照)を与えるように選択された厚さの、モリブデン(Mo)またはアモルファスシリコン(a−Si)など、フッ化キセノン(XeF)エッチング可能材料の堆積を含み得る。犠牲材料の堆積は、物理蒸着(スパッタリングなど、多くの様々な技法を含むPVD)、プラズマ強化化学蒸着(PECVD)、熱化学蒸着(熱CVD)、またはスピンコーティングなど、堆積技法を使用して行われ得る。
プロセス80はブロック86において続き、図1、図6A、6D、6Eおよび図8Cに示すポスト18などの支持構造の形成を伴う。ポスト18の形成は、支持構造開口を形成するために犠牲層25をパターニングし、次いで、PVD、PECVD、熱CVD、またはスピンコーティングなど、堆積方法を使用して、ポスト18を形成するために開口中に材料(ポリマー、または酸化ケイ素などの無機材料など)を堆積させることを含み得る。いくつかの実施態様では、犠牲層中に形成された支持構造開口は、ポスト18の下側端部が図6Aに示すように基板20に接触するように、犠牲層25と光学スタック16の両方を通って、下にある基板20まで延在することがある。代替的に、図8Cに示すように、犠牲層25中に形成された開口は、犠牲層25は通るが、光学スタック16は通らないで、延在することがある。たとえば、図8Eは、光学スタック16の上側表面(upper surface)と接触している支持ポスト18の下側端部を示している。ポスト18、または他の支持構造は、犠牲層25上に支持構造材料の層を堆積させること、および犠牲層25中の開口から離れて配置された支持構造材料の部分をパターニングすることによって形成され得る。支持構造は、図8Cに示すように開口内に配置され得るが、少なくとも部分的に、犠牲層25の一部分の上で延在することもある。上述のように、犠牲層25および/または支持ポスト18のパターニングは、マスキングおよびエッチングプロセスによって実行され得るが、代替パターニング方法によっても実行され得る。
プロセス80はブロック88において続き、図1、図6A〜6Eおよび図8Dに示す可動反射層14などの可動反射層または膜の形成を伴う。可動反射層14は、1つまたは複数のパターニング、マスキング、および/またはエッチングプロセスとともに、たとえば、反射層(Al、Al合金、または他の反射層など)堆積を含む1つまたは複数の堆積プロセスを採用することによって、形成され得る。可動反射層14は、電気伝導性であり、電気伝導性層(electrically conductive layer)と呼ばれることがある。いくつかの実施態様では、可動反射層14は、図8Dに示すように複数の副層14a、14b、および14cを含み得る。いくつかの実施態様では、副層14aおよび14cなど、副層のうちの1つまたは複数は、それらの光学的特性のために選択された高反射性副層を含み得、別の副層14bは、それの機械的特性のために選択された機械的副層を含み得る。犠牲層25は、ブロック88において形成された部分的に作製された干渉変調器中に依然として存在するので、可動反射層14は、一般にこの段階では可動でない。犠牲層25を含んでいる部分的に作製されたIMODは、本明細書では「非開放」IMODと呼ばれることもある。図1に関して上記で説明したように、可動反射層14は、ディスプレイの列を形成する個々の平行ストリップにパターニングされ得る。
プロセス80はブロック90において続き、図1、図6A〜6Eおよび図8Eに示すキャビティ19などのキャビティの形成を伴う。キャビティ19は、(ブロック84において堆積された)犠牲材料25をエッチャントにさらすことによって形成され得る。たとえば、MoまたはアモルファスSiなどのエッチング可能犠牲材料が、ドライ化学エッチングによって、所望の量の材料を除去するのに有効である期間の間、固体XeFから派生した蒸気などの気体または蒸気エッチャントに犠牲層25をさらすことによって、除去され得る。犠牲材料は、一般に、キャビティ19を囲む構造に対して選択的に除去される。ウェットエッチングおよび/またはプラズマエッチングなどの他のエッチング方法も使用され得る。犠牲層25がブロック90中に除去されるので、可動反射層14は、一般に、この段階後に可動となる。犠牲材料25を除去した後、得られた、完全にまたは部分的に作製されたIMODは、本明細書では「リリースされた」IMODと呼ばれ得、ブロック90における犠牲材料除去は、「リリースエッチング」と呼ばれ得る。
図8Fに示すように、リリースエッチングがキャビティを画定した後、少なくとも反射層14aおよび光学スタック16の頂部、ならびに図示した実施態様ではキャビティ19のすべての内面は、スティクション防止層でコーティングされ得る。図示したスティクション防止層は、以下で説明するように、原子層堆積(ALD)および自己集合単分子層(SAM)によって形成されたALD層31aを含む。スティクション防止特性は、ALD層とSAMの一方または両方で獲得され得ることが理解されよう。両方が使用される実施態様では、ALD層31aは、その上にSAMを形成するためのシード層として働き得る。
図9は、複数の基板をプロセシングするための方法91を示す流れ図の一例を示す。いくつかの実施態様では、方法91は、ブロック92において、複数の基板をクラスタツールの搬送チャンバから、クラスタツールのエッチングチャンバに搬送するステップを含む。ブロック93では、基板は、気相エッチング剤に露出される。いくつかの実施態様では、犠牲層は、電気機械システムデバイスの電極間にキャビティを残すためにエッチングチャンバにおいてエッチングされる。ブロック94において、基板は、エッチングチャンバから搬送チャンバを通って原子層堆積(ALD)チャンバに搬送される。ブロック95において、基板は、ALDによって基板上に薄膜を形成するために気相反応物質に露出される。ブロック96において、基板は、ALDチャンバから搬送チャンバを通って第3のチャンバに搬送される。ブロック97において、基板は、基板上に自己集合単分子層(SAM)を形成するために気相反応物質に露出される。いくつかの実施態様では、本方法は、後続のSAM堆積なしに、基板をエッチングし、クラスタツール内で基板上にALDにより薄膜を形成するためにブロック92、93、94、および95を含む。いくつかの実施態様では、本方法は、介在するALDプロセスなしに、基板をエッチングし、クラスタツール内で基板上にSAMを形成するためにブロック92、93、96、および97を含む。
上述のように、図8Fは、キャビティ19内に形成されたALD層31aおよびSAM層31bを有するキャビティ19を有するIMODの一例を示す。気相堆積反応物質は、反射性可動層14内のエッチングホール(図示せず)などの、リリースエッチング蒸気が支持体18間で横方向に進む同じ経路によりキャビティ19の内面に到達し得る。図示されていないが、ALDおよび/またはSAMの堆積は、伝導性層14cの上面などの、デバイスの外側表面上にALD層およびSAM層を残すこともできることを当業者は認識するであろう。
図10は、複数の基板をプロセシングするための方法を示す流れ図の一例を示す。いくつかの実施態様では、電気機械システムデバイスを形成するための方法100が提供される。方法100は、ブロック101において、クラスタツールの第1のプロセスチャンバ内で複数の基板上の電気機械デバイスの可動電極と静止電極との間にギャップを作り出すために犠牲層を除去するステップを含む。ブロック102において、原子層堆積(ALD)層が、ALDによりクラスタツールの第2のプロセスチャンバ内で基板のギャップ内に堆積され得る。ブロック103において、自己集合単分子層(SAM)が、クラスタツール73の第3のプロセスチャンバ内で基板のギャップ内に堆積され得る。いくつかの実施態様では、ギャップ内にALD層を形成するためにブロック101および102が実行され、ALD層が両電極表面上のキャビティに露出されるように、SAMは形成されない。いくつかの実施態様では、下位のALD層なしに、ギャップ内にSAM層を形成するために、ブロック101および103が実行される。いくつかの実施態様では、基板のキャビティ内の酸化アルミニウムエッチング停止層上にSAM層が形成され得る。
いくつかの異なる方法のうちのいずれかにおいて複数の基板をプロセシングするために、方法91および/または100が実施され得る。いくつかの実施態様では、複数の基板は、基板が互いにならびに共通の反応物質入口および排出部とオープンに連通しているプロセスチャンバ内でバッチで方法91および/または100によってプロセシングされ得る。いくつかの実施態様では、プロセスチャンバ(第1、第2、および第3のプロセスチャンバ、またはエッチングチャンバ、ALDチャンバ、および第3のチャンバ)のうちの1つまたは複数は、内側チャンバおよび外側チャンバを含み得る。いくつかの実施態様では、プロセスチャンバのうちの1つまたは複数は、外側チャンバ内に複数の内側チャンバまたはサブチャンバを含み得る。各サブチャンバは、単一の基板をプロセシングするように構成され得る。サブチャンバ内のプロセシングは、並列に行われ得る。
図11は、バッチプロセシングのための装置110の一例の概略断面図である。バッチクラスタツール110は、ロードロックチャンバ112、搬送チャンバ114、および1つまたは複数のプロセスチャンバ116を含む。ロードロックチャンバ112は、ボートすなわちラック118をハンドリングするように構成されるか、またはそれ以外は複数の基板120をハンドリングするように構成される。ロードロックチャンバ112は、外部のローディングプラットフォーム(図示せず)から基板のローディングされたカセットを受け取るように構成され得る。基板120は、ロボット124を使用してロードロックチャンバ112からドア122を通って搬送チャンバ114に搬送され得る。搬送チャンバ114は、ロードロックチャンバ112および1つまたは複数のプロセスチャンバ116と選択的に連通する。搬送チャンバ114は、ゲートバルブなどのドア123がオープンするとき、プロセスチャンバ116と選択的に連通する。搬送チャンバ114は、ゲートバルブなどのドア122がオープンするとき、ロードロックチャンバ112と選択的に連通する。ロボット124は、搬送チャンバ114、ロードロックチャンバ112、および1つまたは複数のプロセスチャンバ116の間で1つまたは複数の基板120を搬送するように構成される。プロセスチャンバ116は、複数の基板120を有するボート118を保持するように構成されたプラットフォーム128で構成される。プラットフォーム128は、ドア123を通る基板120の搬送を容易にするために上下に動くことができる割出しエレベータ機構を設けられ、ロードロックチャンバ112は、同様の割出し機構を設けられ得る。プロセスチャンバ116は、内容積132を有する。プラットフォーム128は、プロセスチャンバ116内に反応スペース容積134を形成するために反応器シェル130と係合するように構成される。反応スペース容積134は、外側のプロセスチャンバ116内の内側チャンバと見なされ得る。反応スペース容積134は、プラットフォーム128が反応器シェル130と係合し、気密シールを形成することができるとき、プロセスチャンバ116の内容積132とは別になる。反応スペース容積134は、1つまたは複数の反応物質ソース137a、137b、および137cと、1つまたは複数の反応物質入口136を通して流体連通している。ロードロックチャンバ112、搬送チャンバ114、およびプロセスチャンバ116は、それぞれ、排出ライン126a、126b、および126cと流体連通しており、ロードロックチャンバ112、搬送チャンバ114、およびプロセスチャンバ116内の圧力を低減させるために1つまたは複数の真空ポンプに接続され得る。プロセスチャンバ116および反応スペース容積134は、様々なプロセスを実行するように構成され得る。
バッチクラスタツール110は、所望のウエーハ処理、反応物質供給、プロセス圧力、およびプロセスを実行するために、ロードロックチャンバ112、搬送チャンバ114、およびプロセスチャンバ116の様々な機能を制御するように構成されたコントローラ115によって制御され得る。いくつかの実施態様では、コントローラ115は、メモリおよびプロセッサを含み、図9および図10に示すプロセスを実行するように構成またはプログラムされる。いくつかの実施態様では、コントローラ115は、126a、126b、および126cにそれぞれ接続された真空ポンプを制御するように構成される。いくつかの実施態様では、コントローラ115は、クラスタツール110内の個々のチャンバ、デバイス、またはデバイスのグループ用のサブコントローラを制御するマスターコントローラである。
いくつかの実施態様では、反応物質ソース137a、137b、および137cは、リリースエッチング、ALD層の堆積、およびSAMの堆積のために気相反応物質を含み、計量し、供給するように構成されたガス供給システムまたはサブシステムである。
図12は、バッチプロセシングのための装置の一例の概略平面図である。図12は、バッチクラスタツール150の概略平面図である。バッチクラスタツール150は、搬送チャンバ151、搬送ロボット152、ロードロックチャンバ153、および複数のプロセスチャンバ154a〜154f(6つが示されている)を含む。さらに、図12は、各々が複数の基板を保持するように構成された複数のカセット156を含むカセットステーション157に隣接する第2の搬送ロボット155を示す。第2の搬送ロボット155は、個々の基板または基板のカセット全体をカセットステーション内にまたはそれから搬送することができる。搬送ロボット152は、1つまたは複数の基板をロードロックチャンバ153およびプロセスチャンバ154a〜154f内におよびそれらから移動させるために、ロードロックチャンバ153およびプロセスチャンバ154a〜154fの内部スペースに到達するように回転および展開するように構成される。プロセスチャンバ154a〜154fは、基板上で1つまたは複数のプロセスを実行するように構成され得る。たとえば、プロセスチャンバの各々は、リリースエッチング、ALD層の堆積、およびSAMの堆積のうちの1つまたはすべてを実行するように構成され得る。以下のTable1(表1)およびTable2(表2)は、示されたプロセスを実行する能力をXが示す、異なるプロセスチャンバ154a〜154fの様々な構成(構成および配管)の例を示す。
図13は、バッチプロセシングのための装置の別の例の概略平面図である。図13は、図12とは異なるように構成されたバッチクラスタツール160を示す。バッチクラスタツール160は、ロードロックチャンバ161、搬送ロボット162、および複数のプロセスチャンバ163a〜163g(7つが示されている)を含む。搬送ロボット162は、ロードロックチャンバ161とプロセスチャンバ163dとの間の方向に水平に動くように構成される。また、搬送ロボット162は、1つまたは複数の基板をロードロックチャンバ161およびプロセスチャンバ163a〜163g内におよびそれらから、個別におよび1つずつ逐次移動させるために、ロードロックチャンバ161およびプロセスチャンバ163a〜163gの内部スペースに到達するように回転および展開するように構成される。いくつかの実施態様では、ロボットは、複数の基板を一度に搬送するために複数のパドルまたはエンドエフェクタを有することができる。いくつかの実施態様では、ロボットは、チャンバの間でラックまたはボートを搬送することができる。プロセスチャンバ163a〜163gは、基板上で1つまたは複数のプロセスを実行するように構成され得る。たとえば、プロセスチャンバの各々は、リリースエッチング、ALD層の堆積、およびSAMの堆積のうちの1つまたはすべてを実行するように構成され得る。Table1(表1)およびTable2(表2)は、示されたプロセスを実行する能力をXが示す、異なるプロセスチャンバ163a〜163gの様々な構成(構成および配管)の例を示す。
Figure 2015505975
Figure 2015505975
図14は、バッチプロセシングのための装置の別の例の概略平面図である。バッチクラスタツール170は、ロードロックチャンバ171および複数のプロセスチャンバ174a、174b、および174cを含む。バッチクラスタツールは、搬送チャンバ172a、172b、および172cを含む。バッチクラスタツールは、搬送コリドー173および175を含む。基板は、ロードロックチャンバ171から第1の搬送チャンバ172aに搬送され得る。基板は、第1の搬送チャンバ172aから第1のプロセスチャンバ174aに搬送され得る。複数の基板は、第1のプロセスチャンバ174aの各々において同時にプロセシングされ得る。複数の基板を並列にまたは千鳥形にプロセシングした後、基板は、第1のプロセスチャンバ174aから第1の搬送チャンバ172aに搬送され得る。複数の基板は、第1の搬送チャンバ172aから第1の搬送コリドー173を通って第2の搬送チャンバ172bに搬送され得る。複数の基板は、第2の搬送チャンバ172bから、プロセシング用の第2のプロセスチャンバ174bに搬送され得る。複数の基板を並列にまたは千鳥形にプロセシングした後、基板は、第2のプロセスチャンバ174bから第2の搬送チャンバ172bに搬送され得る。複数の基板は、第2の搬送チャンバ172bから第2の搬送コリドー175を通って第3の搬送チャンバ172cに搬送され得る。複数の基板は、第3の搬送チャンバ172cから、プロセシング用の第3のプロセスチャンバ174cに搬送され得る。いくつかの実施態様では、搬送チャンバ172a、172b、172c、173、および175の各々は、搬送ロボット(図示せず)を有することができる。プロセスチャンバ174a、174b、および174cは、基板上で1つまたは複数のプロセスを実行するように構成され得る。たとえば、第1のプロセスチャンバ174aの各々は、リリースエッチングを実行するように構成され得、第2のプロセスチャンバ174bの各々は、ALD層の堆積を実行するように構成され得、第3のプロセスチャンバ174cの各々は、SAMの堆積を行うように構成され得る。いくつかの実施態様では、搬送コリドー173および175は、異なるプロセス間のプロセスガスの拡散および二次汚染を低下させるために搬送チャンバ172a、172b、および172cよりも低い圧力に維持される。各ステージの複数のプロセスチャンバ172a、172b、または172c内の千鳥形のプロセシングは、搬送ロボット上のロードを千鳥形に配置するために並列なプロセシングよりも効率的である可能性がある。
バッチクラスタツール内のプロセスチャンバは、様々な堆積プロセスを実行するように構成され得る。たとえば、バッチクラスタツールは、エッチング/リリースのために構成され、ALD層の形成のために構成され、SAM層の形成のために構成されたプロセスチャンバを有することができる。クラスタツールは、様々なプロセスチャンバ内で、リリース、ALD層の形成、およびSAM層の形成の各々を実行するためにプログラムされる1つまたは複数のコントローラを有することができる。たとえば、6つのプロセスチャンバを有するバッチクラスタツールは、エッチング、ALD層の形成、およびSAM層の形成の各々のために構成された2つのプロセスチャンバを含み得る。
エッチングおよびリリースの後、プロセシングされた基板は、汚染に対してデリケートかつ敏感になる。いくつかの実施態様では、異なるプロセス間のプロセスガスの二次汚染が最小化される。いくつかの実施態様では、クラスタツールは、リリースの後、異なるプロセス間のプロセスガスの移動を最小にする。いくつかの実施態様では、リリース、ALDプロセス、およびSAM形成用の異なる化学物質の間で二次汚染を最小化するために、搬送チャンバ、プロセスチャンバ、および反応スペースの相対圧力が選択される。
いくつかの実施態様では、異なるプロセスチャンバ間で基板の搬送時間を最小化するように、異なるプロセスチャンバが配置され得る。
いくつかの実施態様では、異なるプロセスチャンバ間およびプロセスガス間の汚染を最小化するために、基板をプロセシングした後、プロセスチャンバと搬送チャンバとの間のドアをオープンする前に、反応スペースおよび/またはプロセスチャンバがパージされる。
いくつかの実施態様では、基板を搬送することは、複数の基板、または複数の基板を含むラックまたはボート全体のバッチ搬送を含み得る。いくつかの実施態様では、基板を搬送することは、搬送チャンバとプロセスチャンバとの間で個々の基板を逐次搬送することを含み得る。いくつかの実施態様では、ロボットは、複数の基板を一度に搬送するために複数のパドルまたはエンドエフェクタを有することができる。いくつかの実施態様では、ロボットは、チャンバの間でラックまたはボートを搬送することができる。
いくつかの実施態様では、ロボットは、チャンバ間で基板またはラックを搬送するのに使用される。いくつかの実施態様では、搬送ロボットは、プロセスチャンバまたはロードロックチャンバ内にまたはそれらから基板または(ボートなどの)ラックを移動させるために回転し、水平に展開することができる。
様々なタイプの基板は、ロボットによって搬送され、ラックによって収容され得る。いくつかの実施態様では、矩形基板が使用される。いくつかの実施態様では、円形基板が使用される。いくつかの実施態様では、ガラス基板が使用される。いくつかの実施態様では、表示用のガラス基板が使用される。いくつかの実施態様では、EMS表示用のガラス基板が使用される。いくつかの実施態様では、IMOD表示用のガラス基板が使用される。いくつかの実施態様では、クラスタツールおよび搬送ロボットは、約370mm×約470mmの寸法を有する、G2.5矩形基板をハンドリングするように構成される。いくつかの実施態様では、クラスタツールおよび搬送ロボットは、約730mm×約920mmの寸法を有する、G4.5矩形基板をハンドリングするように構成される。いくつかの実施態様では、クラスタツールおよび搬送ロボットは、約3.3m×約3.1mの寸法を有する、G11矩形基板をハンドリングするように構成される。
いくつかの実施態様では、プロセスチャンバは、5つ以上の基板を同時にプロセシングするように構成される。いくつかの実施態様では、プロセスチャンバは、約5つの基板から約25個の基板をプロセシングするように構成される。いくつかの実施態様では、25を超える基板は、プロセスチャンバ内で同時にプロセシングされ得る。
図15A〜図15Cは、図11〜図14と同様のバッチクラスタツールに有用なバッチプロセスチャンバの概略断面図を示す。図15Aは、反応器シェル130およびプラットフォーム128を含むプロセスチャンバの一部分の断面図を示す。反応器シェル130およびプラットフォームは、閉じられたとき、反応スペース容積134を画定する。複数の基板120を保持するボート118が、反応スペース容積134内にある。プロセス蒸気は、1つまたは複数の入口ライン136を通して反応スペース容積134に導入され得る。ボート118、基板120、およびライン136は、プロセス蒸気が排出部140を介して反応スペース容積134を出る前に基板120の各々を通って平行に流れるように配置される。また、反応器シェル130は、基板120を通る蒸気プロセスガスの流れを誘導するためのバッフル138を有する。反応器シェル130は、反応スペース容積134内で基板120を加熱するのに使用され得るヒーター142を有することもできる。プラットフォーム128は、反応スペース容積134を形成するためにガスケット144により反応器シェル130と係合するように構成される。プラットフォーム128は、ドア123(図11)を通して基板120をローディングするために下部位置まで下降することができる。プラットフォーム128は、シールを形成するために基板120がローディングされた後、シェル130と係合するために上昇することができる。プラットフォーム128がシェル130と係合した後、プロセスガスは、基板120上で所望のプロセスを実行するために使用され得、続いて、反応スペース134をパージする。プラットフォーム128を下げた後、基板120が除去され得る。他の実施態様では、反応器シェル130が移動し得るか、またはプラットフォーム128とシェル130との間の相対運動の任意の組合せによってシールが構築され得る。
図15Bは、プロセスチャンバ116の内容積132からシールされた反応器シェル130を含むプロセスチャンバ116の概略断面図である。プロセスチャンバ排出部145は、真空ポンプに接続され、チャンバが通気された後(たとえば、ローディング/アンローディング動作の間またはメンテナンス期間の後)、プロセスチャンバ内容積132において任意の汚染物質を除去し、圧力を低下させるために使用され得る。シェルからの排出部140は、反応スペース容積134内で汚染物質を除去し、圧力を低下させるために使用され得る。また、スロットル付排出ベント147は、動作中のより細かい圧力制御のために使用され得、別の真空ポンプに接続され得る。いくつかの実施態様では、プロセスチャンバ排出部145およびスロットル付排出ベント147は、異なるタイプの真空ポンプに接続され得る。たとえば、プロセスチャンバ排出部145は、約10mTorrから大気圧の間の圧力を達成するために粗引きポンプに接続され得る。排出ベント147は、10−6または10−7Torrなどの100mTorr未満の圧力を達成するためにTMPポンプに接続され得る。
図15Cは、プラットフォーム128が反応器シェル130に係合しないようにプラットフォーム128が下部位置にある、プロセスチャンバ116の概略断面図である。搬送チャンバ114とプロセスチャンバ116との間のドア123(図11)がオープンしているものとして示される。搬送ロボット124(図11)のエンドエフェクタ146は、基板120を除去またはローディングするためにプロセスチャンバ116まで展開される。上述のように、いくつかの実施態様では、ロボットは、複数の基板を一度に搬送するために複数のパドルまたはエンドエフェクタを有することができる。いくつかの実施態様では、ロボットは、チャンバの間でラックまたはボートを搬送することができる。
図16は、エッチング、原子層堆積(ALD)、および自己集合単分子層(SAM)堆積のために構成された3つの異なるガス供給システムに接続する、バッチプロセスチャンバの一例の概略断面図を示す。いくつかの実施態様では、プロセスチャンバ116の構成要素は、コントローラ115および反応物質ソース137a、137b、および137cに接続される。コントローラ115は、排出部140、145、および147を通してプロセスチャンバ116および反応スペース容積134内の圧力および温度を制御するように構成され得る。コントローラ115は、反応物質ソース137a、137b、および137cからそれぞれ、プロセスガスを供給するためにバルブ139a、139b、および139cを制御するように構成され得る。反応物質ソース137a、137b、および137cは各々、リリースエッチング、ALD層の形成、およびSAM層の堆積のために使用される反応物質蒸気を含み、計量し、供給するように構成されたガス供給システムまたはサブシステムである可能性がある。
反応物質ソース137a、137b、および137cは、反応性プロセスガス、および反応スペースをパージするための不活性ガスを含み得る。プロセスコントローラ115は、ALD層およびSAM層の堆積を実行するように構成され得る。たとえば、図8Fは、キャビティ19と、キャビティ19内に形成されたALD層31aおよびSAM層31bとを有するIMODの一例を示す。
いくつかの実施態様では、プロセスチャンバおよび反応スペースは、プロセシングされた基板の一部分をエッチングするのに使用され得る。たとえば、エッチングは、リリースプロセスのために使用され得る。いくつかの実施態様では、気相エッチング剤が使用される。いくつかの実施態様では、基板の一部分をエッチングするために、XeFが蒸発させられ、反応スペースに提供される。
いくつかの実施態様では、図16に示すバッチプロセスチャンバ116の例は、リリースエッチングを実行するように構成され得る。反応物質ソース137aは、XeF、または所望の濃度のXeFを達成するために緩衝器と結合されたXeFなどのエッチング剤を含み、計量し、供給するように構成された蒸気供給システムまたはサブシステムであり得る。反応物質ソース137aは、リリースエッチングが完了した後、反応スペース内をパージするために窒素などの不活性ガスをさらに提供することができる。いくつかの実施態様では、コントローラ115は、エッチングリリースを実行するためにバッチ基板にXeFを供給するのにバルブ139aをオープンさせるように構成される。コントローラ115は、犠牲層を除去し、電気機械システムデバイスの電極間のキャビティを形成するのに十分長くエッチングが進んだ後、反応スペースをパージするために不活性ガスを提供するように構成することもできる。リリースエッチングを行うために反応物質ソース137aに使用され得るガス供給システムの一例のさらなる詳細について、以下の図17Aに関して示し、説明する。
いくつかの実施態様では、図16に示すバッチプロセスチャンバ116は、ALD層を堆積させるように構成され得る。反応物質ソース137bは、TMAなどのアルミニウムソース蒸気、不活性ガスすなわちパージガス、および水などの酸素ソース蒸気を含むように構成されたガス供給システムまたはサブシステムであり得る。いくつかの実施態様では、コントローラ115は、吸収されたTMAでバッチ基板を飽和させるためにバルブ139bをオープンさせ、続いて、反応スペース134をパージし、続いて、吸収されたTMAと反応させるために水をバッチ基板に供給し、続いて再び、反応スペースをパージするために不活性ガスを提供するようにプログラムされる。コントローラ115は、所望の厚さを有する酸化アルミニウムを形成するために、TMAの提供、パージ、水の提供、およびパージのシーケンスを反復するように構成され得る。ALDを行うために反応物質ソース137bに使用され得るガス供給システムの一例のさらなる詳細について、以下の図17Bに関して示し、説明する。
いくつかの実施態様では、図16に示すバッチプロセスチャンバ116は、SAM層を堆積させるように構成され得る。反応物質ソース137cは、n−デシルトリクロロシランなどのSAMモノマーを含むように構成され得る。いくつかの実施態様では、コントローラ115は、バッチ基板にn−デシルトリクロロシランを供給するのにバルブ139cをオープンさせるように構成される。いくつかの実施態様では、複数のSAMモノマーが反応器に供給され得る。反応物質ソース137cは、酸素などの酸素ソース蒸気を含むように構成することもでき、励起種生成器を含むこともできる。反応物質ソース137cは、SAM層が形成された後、反応スペース内をパージするために窒素などの不活性ガスを含むようにさらに構成され得る。いくつかの実施態様では、コントローラ115は、基板が除去された後、反応スペースを清浄化するためにオゾンまたは酸素プラズマを生成するように構成される。SAMの堆積を行うために反応物質ソース137cに使用され得るガス供給システムの一例のさらなる詳細について、以下の図17Cに関して示し、説明する。
図17Aは、リリースエッチングのために構成されたバッチプロセスチャンバの一例の概略図である。バッチプロセスチャンバ116は、図15A〜図15Cに関して上記で説明した、反応器シェル130、プラットフォーム128、および関連の構成要素で構成され得る。バッチプロセスチャンバ116は、反応器シェル130およびプラットフォームによって画定された反応スペース134にエッチング剤を供給するためのガス供給システムの形態の反応物質ソース137aを含むモジュールまたはツールである。
選択されたエッチング剤および反応物質ソース137aの形態は、電気機械システムデバイスの作製に使用される犠牲材料に依存する。XeFなどのフッ素ベースのエッチング剤は、シリコン酸化物、酸化アルミニウム、およびアルミニウムなどの、電気機械システムデバイス内の他の露出された材料を除去することなく、タングステン(W)、モリブデン(Mo)またはシリコンなどの、いくつかの金属および半導体の犠牲材料を選択的にエッチングすることができる。図示した実施態様は、固体のXeF結晶およびガスライン、バルブ、緩衝器、ならびにエッチング剤を蒸発させ、エッチング剤蒸気を反応スペース134に供給するように構成されたガスソースを保持する容器を含む。特に、(例示した窒素すなわちNガスなどの)蒸気および不活性キャリアガスは、緩衝器1に引き込まれ、緩衝器1は、XeF結晶の蒸発を助けるために拡張チャンバとして働く。緩衝器1内の圧力は、ポンプによって低減される。緩衝器1は、蒸発したXeFを緩衝器2に周期的に供給することができるが、緩衝器2は、緩衝器1よりも小さい容積を有し、(例示した酸素すなわちOガスなどの)補助エッチング剤(co−etchants)および不活性キャリアガスは、反応スペース134に供給される前に混合され得る。クラスタツールのコントローラ115(図11)は、説明したエッチングリリースプロセスを行うためにプログラムすることを含み得る。
いくつかの実施態様では、プロセシング中のエッチング反応スペース134内の圧力は、約0.1〜約5Torrである。いくつかの実施態様では、リリースエッチングは、バッチの基板から(モリブデンなどの)犠牲材料を除去するのに約10分〜約60分かかる。反応スペース134からの排出部140は、目標圧力に到達した後、閉鎖され、エッチング反応物質蒸気が反応物質ソース137aから供給された後、閉じられたままである可能性がある。基板は、エッチング剤が消耗されるまで、その場合、別のサイクルの蒸発および充填を行うことができるが、または犠牲材料が完全にエッチングされるまで充填された反応スペース134に浸る可能性がある。
いくつかの実施態様では、反応器シェル130、プラットフォーム128、およびラック118などの、リリースエッチングプロセスチャンバ116の反応スペース134を画定するパーツは、XeFベースのエッチング剤、および酸化アルミニウムおよび石英などの任意の反応副生成物に対して耐性がある材料から作成される。XeFは、水と反応して基板および反応スペース材料を望ましくないほどエッチングする可能性がある、HFなどの腐食性の化合物を形成する可能性がある。クラスタツールは、望ましくない副生成物の形成を防止するために、以下で説明するように、隣接するALDプロセスチャンバおよびSAMチャンバなどからのエッチングプロセスチャンバの水汚染のリスクを最小化するように操作され得る。
図17Bは、ALDのために構成されたバッチプロセスチャンバの一例の一部分の概略図である。バッチプロセスチャンバ116は、図15A〜図15Cに関して上記で説明した、反応器シェル130、プラットフォーム128、および関連の構成要素で構成され得る。バッチプロセスチャンバ116は、反応器シェル130およびプラットフォームによって画定された反応スペース134にALD反応物質およびパージガスを供給するためのガス供給システムの形態の反応物質ソース137bを含むモジュールまたはツールである。
反応物質および反応物質ソース137bの形態は、堆積される所望の材料に依存する。図示した実施態様は、トリメチルアルミニウム(TMA、(CHAl)などの金属反応物質、および水などの酸素ソース蒸気を保持する容器を含む。TMAおよび水は、反応器から前の反応物質をパージするために不活性ガスを供給することなどによって、反応スペース134から反応物質を除去することを介在させながら、高速バルブにより交番のおよび連続的なパルスで反応スペースに供給され得る。TMAは、天然では液体であり、容器は、バブラなどの気化器として働くこともできる。TMAは、1つの反応物質パルスにおいてバッチの基板の表面上に吸着することができ、水は、酸化アルミニウムの自己限定単分子層を形成するために後続のパルスにおいて吸着された種と反応することができる。いくつかの実施態様では、反応物質は、反応スペース134を通って反応スペースの排出部140まで流れ、いくつかの実施態様では、排出部140は閉じられ、反応スペース134は、反応物質パルスのうちの1つまたは複数において充填される。所望の厚さを有する酸化アルミニウム層を形成するために複数のサイクルが実行され得る。いくつかの実施態様では、酸化アルミニウム層は、約3Å〜約50Åの厚さを有する。いくつかの実施態様では、酸化アルミニウム層は、約40Å〜約90Åの厚さを有する。いくつかの実施態様では、酸化アルミニウム層は、SAMの後続の形成を促進するシード層として使用され得る。クラスタツールのコントローラ115(図11)は、説明したALDプロセスを行うためにプログラムすることを含み得る。
いくつかの実施態様では、ALDプロセス中の反応スペース内の圧力は、約100mTorr〜約1Torrである。いくつかの実施態様では、ALD層またはシード層の堆積は、約10分から80分かかる。
いくつかの実施態様では、複数のプロセスガス入口は、入口ライン内でプロセスガスを混合するのを防止するために反応スペースとともに使用され得る。
いくつかの実施態様では、ALD反応スペースは、TMA、水、ならびに石英、チタンおよび/または酸化アルミニウムなどの任意の反応副生成物に対して耐性がある材料から作成される。いくつかの実施態様では、反応スペースは、反応スペース表面上に形成された酸化アルミニウムを除去するために周期的に清浄化される。
図17Cは、SAM堆積のために構成されたバッチプロセスチャンバの一例の一部分の概略図である。バッチプロセスチャンバ116は、図15A〜図15Cに関して上記で説明したものと同様の、反応器シェル130、プラットフォーム128、および関連の構成要素で構成され得る。前に説明した実施態様と異なり、図示したバッチプロセスチャンバ116は、シェル130の周りに赤外線(IR)ヒーター170を含み、シェルは、IR光に対して少なくとも部分的に透明である可能性がある。いくつかの実施態様では、電気ヒーターは、SAM堆積のために構成されたプロセスチャンバを加熱するために使用され得る。バッチプロセスチャンバ116は、自己集合単分子層(SAM)を形成することができるモノマーを供給するためにガス供給システムの形態の反応物質ソース137cを含むモジュールまたはツールである。
反応物質ソース137cの図示した実施態様は、気相モノマーのn−デシルトリクロロシラン(DTS)を提供するための容器、水を保持するための容器、これらのソースの各々を蒸発させるための拡張チャンバ、拡張チャンバに提供される不活性キャリアガス、およびシェル130およびプラットフォーム128によって画定された反応スペース134の堆積後の清浄化のためのオゾンのソースを含む。
図18は、バッチプロセシングのための装置の一例の概略断面図である。クラスタツール180は、ロードロックチャンバ182、搬送チャンバ184、および各々が複数の基板120をプロセシングするように構成された、複数のプロセスチャンバ186(1つが示されている)を含む。1つまたは複数のプロセスチャンバ186は、複数のプロセスサブチャンバ186a〜186hを含み得る。図示した実施態様では、プロセスチャンバ186は、複数のプロセスサブチャンバ186a〜186hの周囲の外側チャンバを画定する。
ロードロックチャンバ182は、ボート、ラック、およびカセットをハンドリングするように構成されるか、またはそれ以外は複数の基板120をハンドリングするように構成され得る。ロードロックチャンバ182は、外部のローディングプラットフォーム(図示せず)からドア181を通して複数の基板を受け取るように構成され得る。基板120は、ロボット185を使用してロードロックチャンバ182からドア183を通って搬送チャンバ184に搬送され得る。搬送チャンバ184は、ロードロックチャンバ182および複数のプロセスチャンバ186と選択的に連通する。クラスタツール180は、図11〜図14に関して上記で説明したクラスタツール110、150、160、および170などの、本明細書で説明するクラスタツールの他の実施態様と同様の構成要素を含み、実質的に同様に機能することができるが、これらのクラスタツールからの構成要素の多くは、図18では簡潔のために省略される。たとえば、クラスタツール180は、1つまたは複数のコントローラ、ポンプ、反応物質ソース、ガス供給システムおよびサブシステム、ならびにロードロックチャンバ182、搬送チャンバ184、およびプロセスチャンバ186(プロセスサブチャンバ186a〜186hを含む)とともに含まれるか、またはそれらと相互作用する他の構成要素を含み得る。
各プロセスサブチャンバ186a〜186hは、複数の基板120のサブセットを個別にプロセシングするように構成され得る。いくつかの実施態様では、各プロセスサブチャンバ186a〜186hは、単一の基板をプロセシングするように構成され得る。各プロセスサブチャンバ186a〜186hは、基板を支持するための、1つまたは複数の基板支持体188a〜188hを含み得る。基板支持体188a〜188hは、ベース、1つまたは複数のピン、フランジ、および/もしくはプロセスサブチャンバ186a〜186h内で基板に支持を与えるのに適した他の構造体、またはそれらの組合せを含み得る。
プロセスサブチャンバ186a〜186hは、分離しているか、または互いに共通の機構を含む可能性がある。たとえば、プロセスサブチャンバ186a〜186hは、サブチャンバ186a〜186hの各々に連通する並列ブランチとともに、プロセスサブチャンバ186a〜186hの内容積の各々に関して、プロセスガス供給用の共通のソース、真空部、および/または排出部を含み得る。いくつかの実施態様では、プロセスサブチャンバ186a〜186hは、互いに、別のガス供給部、真空部、および/または排出部構造体を含み得る。プロセスサブチャンバ186a〜186hは、プロセシング中、互いにシールされ得る。いくつかの実施態様では、プロセスガス供給部、真空部、および/または排出部、および/または温度などの他のプロセス特徴は、プロセスの個々の調整をプロセスサブチャンバ186a〜186hの各々内で実行させるために、プロセスサブチャンバ186a〜186hの各々に関して別個に制御され得る。いくつかの実施態様では、プロセスサブチャンバ186a〜186hは、実質的に同様のプロセス条件で複数の基板120の同時並列的なプロセシングを可能にするように構成され得る。
いくつかの実施態様では、サブチャンバ186a〜186hの各々は、それぞれ、複数のドア187a〜187hを通して搬送チャンバ184と選択的に連通する。ドア187a〜187hは、ゲートバルブ、スイングドア、スライディングドア、またはチャンバもしくはサブチャンバを選択的に開閉するための他の適切な構成を含み得る。いくつかの実施態様では、ドア187a〜187hは、同時に開放または閉鎖するために(電気的および/または機械的に)互いにリンクされ得る。図示した実施態様では、ドア187a〜187hは、サブチャンバ186a〜186hから搬送チャンバ184の方に開放しており、他の実施態様では、サブチャンバは、別のドア(図示せず)が外側チャンバを搬送チャンバから分離するように、プロセスチャンバの外側チャンバの壁によって完全に囲まれ得る。
共通のプロセスチャンバ内にプロセスサブチャンバを含むプロセスツールの実施態様は、バッチプロセスチャンバ内のバッチの基板上の同様のプロセスなどの、同様のプロセスによるいくつかの他のツールと比較して、排気し、パージし、または複数の基板をプロセシングする時間量を低減させることができる。この時間低減の1つの理由は、プロセスサブチャンバ186a〜186hの内容積を結合した容積が、バッチツール上で同様のプロセスを実行するバッチプロセスチャンバ内の総容積よりも小さい可能性があることである。また、プロセスサブチャンバ186a〜186h内のこの低減された総容積は、同様のバッチプロセシングツールのものと比較して、プロセスガス消費を低減させ、ならびに/または、ポンプのサイズ、バルブのサイズ、およびプロセスガスを排気し、プロセスガスをパージしおよび/もしくはプロセスガスをサブチャンバ186a〜186hに提供する他の構成要素のサイズを低減させることができる。
いくつかの実施態様では、SAMを形成するための反応スペースを含むプロセスチャンバは、バッチクラスタツールの一部分として使用され得る。いくつかの実施態様では、SAMを形成するためのモノマーが使用される。モノマーは、疎液性の尾部および親水性の尾部を有する有機直鎖分子であり得る。一実施態様では、n−デシルトリクロロシラン(DTS)および水は、SAMを形成するために使用される。いくつかの実施態様では、SAMを堆積させる際の反応スペース内の圧力は、約100mTorrから約1Torrの間である。いくつかの実施態様では、SAMの堆積は、約10分から約90分かかる。
いくつかの実施態様では、SAM反応スペースは、反応スペースの壁上での蓄積を防止するために、オゾンまたは他の反応性の清浄剤を使用して清浄化され得る。清浄化は、バッチの基板のプロセシングの中間で実行されるか、または複数のバッチの基板をプロセシングした後に周期的に実行され得る。いくつかの実施態様では、炭化水素などの任意の汚染物質を除去するために、ALD層または他のシード層の表面を清浄化するためにオゾンが使用され得る。炭化水素汚染は、クリーンルーム大気への露出もしくは真空破壊によって引き起こされる可能性があるか、または、いくつかの実施態様では、有機前駆物質が使用される場合に、ALDプロセスから生じる可能性がある。クラスタツールのコントローラ115(図11)は、任意の堆積後の清浄化を含めて、説明したSAM堆積プロセスを行うためにプログラムすることを含み得る。
堆積後のまたは周期的な清浄化プロセスに耐えるための反応スペース用の適切な材料の一例は、アルミナとしても知られる酸化アルミニウムである。いくつかの実施態様では、SAM反応チャンバおよび/またはプロセスチャンバは、HCl、およびSAMの堆積中に形成された任意の他の副生成物からの腐食に耐えることができる陽極処理アルミニウムライナーでライニングされるか、またはコーティングされ得る。いくつかの実施態様では、SAM反応チャンバは、オゾンに対して耐性がある。いくつかの実施態様では、ライナーは、サファイアすなわち単結晶アルミナで作成され得る。
プロセスチャンバおよび反応スペースは、使用される反応器構成およびプロセスガスに基づいて様々な材料から構築され得る。いくつかの実施態様では、反応スペースシェルは、石英から作成され得る。いくつかの実施態様では、IRヒーターは、特に、SAMバッチプロセスツールなどの、大いに酸化する環境に支配される実施態様では、石英またはサファイアの反応スペースシェルとともに使用され得、オゾンなどの活性化された酸素種は、チャンバの堆積後の清浄化に使用され得る。いくつかの実施態様では、反応スペースシェルは、ステンレス鋼、チタン、またはアルミニウムから作成され得る。そのような金属シェルは、たとえば、リリースエッチングおよびALDプロセス、ならびにそれらのための任意の周期的な清浄化プロセスと関連するプロセシングにより十分に耐えるように表面コーティングまたはライナーを含み得る。いくつかの実施態様では、シェルは、陽極処理アルミニウムであるか、陽極処理アルミニウムライナーを含むか、またはアルミナでコーティングされ得る。いくつかの実施態様では、エッチングプロセスチャンバ内の反応スペースシェルは、アルミニウムまたは陽極処理アルミニウムから作成され得る。いくつかの実施態様では、ALDプロセスチャンバ内の反応スペースシェルは、アルミニウム、石英、または陽極処理アルミニウムから作成され得る。いくつかの実施態様では、SAMプロセスチャンバ内の反応スペースシェルは、石英または陽極処理アルミニウムから作成され得る。アルミニウム反応器壁は、たとえば、インジアナ州、LogansportのS.U.S. Cast Products, Inc.から取得され得る。
リリース/エッチングの後、部分的に作製されたデバイスは、汚染物質に敏感である。たとえば、リリースの後、かつALD層およびSAM層の形成の前に、部分的に作製されたデバイスをクリーンルームに露出することは、完成したIMODデバイスの特性を劣化させる可能性がある、キャビティ内の炭素汚染または他の汚染につながる可能性がある。部分的に作製されたデバイスの汚染のリスクは、低減された圧力で基板をハンドリングし、図11〜図14に関して上記で説明した、低圧で操作され得るバッチクラスタツール110、150、160、および170などの閉鎖環境において基板をハンドリングすることにより低下する可能性がある。たとえば、リリース/エッチングプロセス、ALD層堆積、およびSAM形成はすべて、そのようなバッチクラスタツールにおいて実行され得る。基板は、たとえば、ALDおよびSAMなどのスティクション防止層がキャビティ内に形成されるまでクリーンルーム大気に露出されることなく真空環境内に維持され、それにより、部分的に作製されたデバイスの汚染の可能性を低下させることができる。加えて、同じツール内のリリース、ALD、およびSAM堆積の3つのすべてのプロセスを行うことにより、デバイスがダメージに敏感であるとき、リリース後の基板ハンドリングの量が減少する。
いくつかの実施態様では、プロセシング中の内側プロセスチャンバ内の圧力は、プロセシング中の外側プロセスチャンバ内の圧力よりも大きい。たとえば、図18の実施態様では、プロセスサブチャンバ186a〜186h内の圧力は、周囲のプロセスチャンバ186内の圧力よりも大きい可能性がある。同様に、図15A〜図16の実施態様では、反応スペース134内の圧力は、プロセスチャンバ116および搬送チャンバ114内の圧力よりも大きく、プロセスチャンバ116および搬送チャンバ114内の圧力は、ほぼ同じである可能性がある。図18の例について以下で詳細には説明しないが、図15A〜図16の実施態様において以下に説明する同様の考察が図18の実施態様に当てはまることを当業者は諒解するであろう。
いくつかの実施態様では、基板を搬送する際、搬送チャンバ114の圧力は、プロセスチャンバ116および反応スペース134内の圧力よりも大きい。いくつかの実施態様では、反応スペース134内の圧力は、反応スペース134がプロセスチャンバ116に開放される前に低下される。いくつかの実施態様では、プロセシング中の反応スペース容積134内の圧力は、約10−2Torrよりも大きいが、基板を搬送する際のプロセスチャンバ116および搬送チャンバ114内の圧力は、約10−4Torrよりも小さい。いくつかの実施態様では、基板を搬送する際のプロセスチャンバ116および搬送チャンバ114内の圧力は、約10−7Torrよりも小さい。いくつかの実施態様では、基板を搬送する際のプロセスチャンバおよび搬送チャンバ内の圧力は、約10−5Torrから10−8Torrの間になる可能性がある。いくつかの実施態様では、基板を搬送することは、ソースチャンバから宛先チャンバに基板を搬送することを含み、ソースおよび宛先チャンバ、ならびにソースチャンバと宛先チャンバとの間の任意のチャンバは、搬送中、10−5Torr未満の圧力に維持される。
たとえば、反応スペース容積134などの反応スペースは、反応スペースから任意のプロセスガスおよび副生成物を除去するためにその中でバッチがプロセシングされた後、パージされ得る。不活性ガスは、基板をプロセシングした後、反応スペース内に残る、任意の反応性プロセス蒸気および揮発性副生成物を移動させるためにパージガスとして使用され得る。いくつかの実施態様では、真空ポンプは、反応スペースを周囲のプロセスチャンバスペースに対して開放する前に、反応スペース内の圧力を低下させるために使用され得る。
反応スペース134のより小さい容積をポンプダウンするのは、プロセスチャンバ116のより大きい内容積132をポンプダウンすることよりも速い。プロセスチャンバ116の内容積132は、プロセシング中、反応スペース134に使用されるプロセシング圧力よりも低い圧力に維持され得る。したがって、シェル130を開放し、基板をアンローディングする前の反応スペース134内の圧力を低減させる時間は、より大きいプロセスチャンバの内容積134内の圧力を低減させる時間と比較して、短縮される。また、搬送チャンバ114は、プロセスチャンバ116に使用される圧力と同様の圧力に維持され得る。
エッチング/リリース、ALD層形成、およびSAM形成などの、様々なプロセスに使用されるプロセスガスは、互いに反応し、望ましくない副生成物を形成し、および/または、反応スペースおよび他のプロセスを実行するプロセスチャンバに使用される材料に適合しない可能性がある。反応スペースのパージは、二次汚染のリスクを低減させ、様々なプロセスに使用されるプロセスガスを混合することにより形成される望ましくない副生成物の形成を防止することができる。
別の実施態様では、搬送チャンバ114は、プロセスチャンバ116の内容積132および反応スペース134よりも高い圧力に維持され得る。窒素などの不活性ガスは、プロセスチャンバよりも高い圧力を維持するために搬送チャンバ114に提供され得る。搬送チャンバ114内の正圧は、異なるプロセスチャンバおよび反応スペース間のプロセスガスの二次汚染の可能性を低下させるために、プロセスチャンバから搬送チャンバへのガスの拡散またはガスの流れを防止することができる。反応スペースへの流れを防止することができる逆圧力勾配と異なり、搬送チャンバ114内により高い圧力を使用することは、異なるプロセスの残りのプロセスガス間の相互作用を防止し、したがって、二次汚染を防止することができる。いくつかの実施態様では、高真空(低圧力)は、搬送チャンバ、プロセスチャンバ、および反応スペースに使用される。高真空圧力は、チャンバ内の分子の減少につながり、チャンバ内に存在するより少ない数の分子のために、二次汚染の可能性を低下させることができる。
いくつかの実施態様では、バッチクラスタツールは、同時に複数の基板をプロセシングし、リリース/エッチング、スティクション防止層のALD、およびスティクション防止SAMの蒸着を逐次実行するために使用され得る。逐次プロセシングの一例は、個々のプロセスチャンバのパーツについて説明する図15Bを参照するとともに、バッチクラスタツール150のチャンバの間の移動について説明する図12を参照しながら説明される。複数の基板が、ロードロックチャンバ153にローディングされ得る。基板は、ロボット152により、ロードロックチャンバ153から搬送チャンバ151および第1のプロセスチャンバ154aに搬送され得る。ロボット152は、1つまたは複数の基板を一度に搬送することができる。複数の基板が第1のプロセスチャンバ154aにローディングされた後、プラットフォーム128は、第1のプロセスチャンバ154a内に反応スペース134を形成するために反応器シェル130と係合され得る。複数の基板は、たとえば例19(図8E)などのキャビティを形成するために基板の一部分をエッチングするのにXeFなどのエッチング剤に露出され得る。基板をエッチングした後、反応スペース134をパージするためにパージガスが使用され、続いて、周囲のプロセスチャンバ内容積132内の圧力とほぼ同じであり得る圧力まで反応スペース圧力を低減させるために真空ポンプを使用することができる。プラットフォーム128は下降し、基板は、搬送ロボット152により、第1のプロセスチャンバ154aから搬送チャンバ151および第2のプロセスチャンバ154bに搬送され得る。第1のプロセスチャンバ154aから基板が搬送された後、新規のバッチの基板は、第1のプロセスチャンバ154aに搬送され、プロセシングされ得る。
基板を第2のプロセスチャンバ154bに搬送することができた後、第2のプロセスチャンバ154b内のプラットフォーム128は、第2のプロセスチャンバ154b内の反応器シェル130と係合するために上昇することができる。ALDプロセスが、その中で行われ得る。たとえば、金属ソース蒸気および酸化剤ソース蒸気は、ALDによるリリース/エッチングによって残されたキャビティ内にスティクション防止層を形成するために交番することができる。一実施態様では、TMAおよび水は、エッチングプロセス中に形成されるキャビティ内に酸化アルミニウムを形成するために複数の基板に交互におよび逐次供給され得る。TMAおよび水のパルスは、流れる不活性パージガスのパージ周期によって分離され得る。酸化アルミニウム層の形成の後、反応スペースがパージされ得、周囲のプロセスチャンバ内の圧力とほぼ同じであり得る圧力まで反応スペース内の圧力を低下させるために真空ポンプが使用され得る。プラットフォーム128は下降し、基板は、搬送ロボット152により、第2のプロセスチャンバ154bから搬送チャンバ151および第3のプロセスチャンバ154cに搬送され得る。第2のプロセスチャンバ154bから基板が搬送された後、新規のバッチの基板は、第2のプロセスチャンバ154bに搬送され、プロセシングされ得る。
基板が第3のプロセスチャンバ154cに搬送された後、第3のプロセスチャンバ154c内のプラットフォーム128は、第3のプロセスチャンバ154c内の反応器シェル130と係合するために上昇する。スティクション防止自己集合単分子層(SAM)が、ALDプロセスによって残されたスティクション防止層よりも上の第3のチャンバ154c内に形成され得る。一実施態様では、N−デシルトリクロロシランおよび水が、基板上のキャビティ内に形成された酸化アルミニウム層上にSAM層を形成するために使用され得る。SAMの形成の後、反応スペースがパージされ得、周囲のプロセスチャンバ内容積132内の圧力とほぼ同じである圧力まで反応スペース134内の圧力を低下させるために真空ポンプが使用され得る。プラットフォーム128は下降し、基板は、第3のプロセスチャンバ154cから搬送チャンバ151およびロードロックチャンバ153またはさらなるプロセシングのための別のプロセスチャンバに搬送され得る。第3のプロセスチャンバ154cから基板が搬送された後、(第2のプロセスチャンバ154bなどからの)新規のバッチの基板は、第3のプロセスチャンバ154cに搬送され、プロセシングされ得る。図8Fは、キャビティ19のすべての表面をライニングするALD層31aおよびSAM層31bを有するキャビティ19を有するIMODの一例を示す。
図19Aおよび図19Bは、複数の干渉変調器を含むディスプレイデバイス40を示すシステムブロック図の例を示す。ディスプレイデバイス40は、たとえば、スマートフォン、セルラー電話または携帯電話であり得る。ただし、ディスプレイデバイス40の同じ構成要素またはディスプレイデバイス40の軽微な変形も、テレビジョン、タブレット、電子リーダー、ハンドヘルドデバイスおよびポータブルメディアプレーヤなど、様々なタイプのディスプレイデバイスを示す。
ディスプレイデバイス40は、ハウジング41と、ディスプレイ30と、アンテナ43と、スピーカー45と、入力デバイス48と、マイクロフォン46とを含む。ハウジング41は、射出成形および真空成形を含む様々な製造プロセスのうちのいずれかから形成され得る。さらに、ハウジング41は、限定はしないが、プラスチック、金属、ガラス、ゴム、およびセラミック、またはそれらの組合せを含む、様々な材料のうちのいずれかから製作され得る。ハウジング41は、異なる色の、または異なるロゴ、ピクチャ、もしくはシンボルを含んでいる、他の取外し可能な部分と交換され得る、取外し可能な部分(図示せず)を含むことができる。
ディスプレイ30は、本明細書で説明する、双安定またはアナログディスプレイを含む様々なディスプレイのうちのいずれかであり得る。ディスプレイ30はまた、プラズマ、EL、OLED、STN LCD、またはTFT LCDなど、フラットパネルディスプレイ、あるいはCRTまたは他の管デバイスなど、非フラットパネルディスプレイを含むように構成され得る。さらに、ディスプレイ30は、本明細書で説明する干渉変調器ディスプレイを含むことができる。
ディスプレイデバイス40の構成要素は図16Bに概略的に示されている。ディスプレイデバイス40は、ハウジング41を含み、それの中に少なくとも部分的に密閉された追加の構成要素を含むことができる。たとえば、ディスプレイデバイス40は、トランシーバ47に結合されたアンテナ43を含むネットワークインターフェース27を含む。トランシーバ47はプロセッサ21に接続され、プロセッサ21は調整ハードウェア52に接続される。調整ハードウェア52は、信号を調整する(たとえば、信号をフィルタリングする)ように構成され得る。調整ハードウェア52は、スピーカー45およびマイクロフォン46に接続される。プロセッサ21は、入力デバイス48およびドライバコントローラ29にも接続される。ドライバコントローラ29は、フレームバッファ28に、およびアレイドライバ22に結合され、アレイドライバ22は次にディスプレイアレイ30に結合される。いくつかの実施態様では、電源50が、特定のディスプレイデバイス40設計において実質的にすべての構成要素に電力を与えることができる。
ネットワークインターフェース27は、ディスプレイデバイス40がネットワークを介して1つまたは複数のデバイスと通信することができるように、アンテナ43とトランシーバ47とを含む。ネットワークインターフェース27はまた、たとえば、プロセッサ21のデータ処理要件を軽減するための、何らかの処理能力を有し得る。アンテナ43は信号を送信および受信することができる。いくつかの実施態様では、アンテナ43は、IEEE16.11(a)、(b)、または(g)を含むIEEE16.11規格、あるいはIEEE802.11a、b、g、nを含むIEEE802.11規格、およびそれらのさらなる実施態様に従って、RF信号を送信および受信する。いくつかの他の実施態様では、アンテナ43は、BLUETOOTH規格(登録商標)に従ってRF信号を送信および受信する。セルラー電話の場合、アンテナ43は、3Gまたは4G技術を利用するシステムなどのワイヤレスネットワーク内で通信するために使用される、符号分割多元接続(CDMA)、周波数分割多元接続(FDMA)、時分割多元接続(TDMA)、Global System for Mobile communications(GSM(登録商標))、GSM(登録商標)/General Packet Radio Service(GPRS)、Enhanced Data GSM(登録商標) Environment(EDGE)、Terrestrial Trunked Radio(TETRA)、広帯域CDMA(W−CDMA)、Evolution Data Optimized(EV−DO)、1xEV−DO、EV−DO Rev A、EV−DO Rev B、高速パケットアクセス(HSPA)、高速ダウンリンクパケットアクセス(HSDPA)、高速アップリンクパケットアクセス(HSUPA)、発展型高速パケットアクセス(HSPA+)、Long Term Evolution(LTE)、AMPS、または他の知られている信号を受信するように設計される。トランシーバ47は、アンテナ43から受信された信号がプロセッサ21によって受信され、プロセッサ21によってさらに操作され得るように、その信号を前処理することができる。トランシーバ47はまた、プロセッサ21から受信された信号がアンテナ43を介してディスプレイデバイス40から送信され得るように、その信号を処理することができる。
いくつかの実施態様では、トランシーバ47は受信機によって置き換えられ得る。さらに、いくつかの実施態様では、ネットワークインターフェース27は、プロセッサ21に送られるべき画像データを記憶または生成することができる画像ソースによって置き換えられ得る。プロセッサ21は、ディスプレイデバイス40の全体的な動作を制御することができる。プロセッサ21は、ネットワークインターフェース27または画像ソースから圧縮された画像データなどのデータを受信し、そのデータを生画像データに、または生画像データに容易に処理されるフォーマットに、処理する。プロセッサ21は、処理されたデータをドライバコントローラ29に、または記憶のためにフレームバッファ28に送ることができる。生データは、一般に、画像内の各ロケーションにおける画像特性を識別する情報を指す。たとえば、そのような画像特性は、色、飽和、およびグレースケールレベルを含むことができる。
プロセッサ21は、ディスプレイデバイス40の動作を制御するためのマイクロコントローラ、CPU、または論理ユニットを含むことができる。調整ハードウェア52は、スピーカー45に信号を送信するための、およびマイクロフォン46から信号を受信するための、増幅器およびフィルタを含み得る。調整ハードウェア52は、ディスプレイデバイス40内の個別構成要素であり得、あるいはプロセッサ21または他の構成要素内に組み込まれ得る。
ドライバコントローラ29は、プロセッサ21によって生成された生画像データをプロセッサ21から直接、またはフレームバッファ28から取ることができ、アレイドライバ22への高速送信のために適宜に生画像データを再フォーマットすることができる。いくつかの実施態様では、ドライバコントローラ29は、生画像データを、ラスタ様フォーマットを有するデータフローに再フォーマットすることができ、その結果、そのデータフローは、ディスプレイアレイ30にわたって走査するのに好適な時間順序を有する。次いで、ドライバコントローラ29は、フォーマットされた情報をアレイドライバ22に送る。LCDコントローラなどのドライバコントローラ29は、しばしば、スタンドアロン集積回路(IC)としてシステムプロセッサ21に関連付けられるが、そのようなコントローラは多くの方法で実施され得る。たとえば、コントローラは、ハードウェアとしてプロセッサ21中に埋め込まれるか、ソフトウェアとしてプロセッサ21中に埋め込まれるか、またはハードウェアにおいてアレイドライバ22と完全に一体化され得る。
アレイドライバ22は、ドライバコントローラ29からフォーマットされた情報を受信することができ、ビデオデータを波形の並列セットに再フォーマットすることができ、波形の並列セットは、ディスプレイのピクセルのx−y行列から来る、数百の、および時には数千の(またはより多くの)リード線に毎秒何回も適用される。
いくつかの実施態様では、ドライバコントローラ29、アレイドライバ22、およびディスプレイアレイ30は、本明細書で説明するディスプレイのタイプのうちのいずれにも適している。たとえば、ドライバコントローラ29は、従来のディスプレイコントローラまたは双安定ディスプレイコントローラ(IMODコントローラなど)であり得る。さらに、アレイドライバ22は、従来のドライバまたは双安定ディスプレイドライバ(IMODディスプレイドライバなど)であり得る。さらに、ディスプレイアレイ30は、従来のディスプレイアレイまたは双安定ディスプレイアレイ(IMODのアレイを含むディスプレイなど)とすることができる。いくつかの実施態様では、ドライバコントローラ29はアレイドライバ22と一体化することができる。そのような実施態様は、高集積システム、たとえば、モバイルフォン、ポータブル電子デバイス、ウォッチまたは小面積ディスプレイにおいて、有用であることがある。
いくつかの実施態様では、入力デバイス48は、たとえば、ユーザがディスプレイデバイス40の動作を制御できるように構成することができる。入力デバイス48は、QWERTYキーボードまたは電話キーパッドなどのキーパッド、ボタン、スイッチ、ロッカー、タッチセンシティブスクリーン、ディスプレイアレイ30と一体化されたタッチセンシティブスクリーン、あるいは感圧膜または感熱膜を含むことができる。マイクロフォン46は、ディスプレイデバイス40のための入力デバイスとして構成することができる。いくつかの実施態様では、ディスプレイデバイス40の動作を制御するために、マイクロフォン46を通してのボイスコマンドを用いることができる。
電源50は種々のエネルギー蓄積デバイスを含むことができる。たとえば、電源50は、ニッケルカドミウムバッテリまたはリチウムイオンバッテリなどの充電式バッテリとすることができる。充電式バッテリを使用する実装形態では、充電式バッテリは、たとえば、壁コンセントあるいは光起電性デバイスまたはアレイから来る電力を使用して充電可能であり得る。代替的には、充電式バッテリはワイヤレス充電可能とすることができる。電源50はまた、再生可能エネルギー源、キャパシタ、あるいはプラスチック太陽電池または太陽電池塗料を含む太陽電池とすることもできる。電源50はまた、壁コンセントから電力を受け取るように構成することもできる。
いくつかの実施態様では、制御プログラマビリティがドライバコントローラ29中に存在し、これは電子ディスプレイシステム中のいくつかの場所に配置され得る。いくつかの他の実施態様では、制御プログラマビリティがアレイドライバ22中に存在する。上記で説明した最適化は、任意の数のハードウェアおよび/またはソフトウェア構成要素において、ならびに様々な構成において実施され得る。
本明細書で開示する実施態様に関して説明した様々な例示的な論理、論理ブロック、モジュール、回路、およびアルゴリズムステップは、電子ハードウェア、コンピュータソフトウェア、または両方の組合せとして実施され得る。ハードウェアとソフトウェアの互換性が、概して機能に関して説明され、上記で説明した様々な例示的な構成要素、ブロック、モジュール、回路およびプロセスにおいて示された。そのような機能がハードウェアで実施されるか、ソフトウェアで実施されるかは、特定の適用例および全体的なシステムに課された設計制約に依存する。
本明細書で開示する態様に関して説明した様々な例示的な論理、論理ブロック、モジュール、および回路を実施するために使用される、ハードウェアおよびデータ処理装置は、汎用シングルチップまたはマルチチッププロセッサ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)または他のプログラマブル論理デバイス、個別ゲートまたはトランジスタ論理、個別ハードウェア構成要素、あるいは本明細書で説明した機能を実行するように設計されたそれらの任意の組合せを用いて実施または実行され得る。汎用プロセッサは、マイクロプロセッサ、あるいは任意の従来のプロセッサ、コントローラ、マイクロコントローラ、または状態機械であり得る。プロセッサは、DSPとマイクロプロセッサとの組合せ、複数のマイクロプロセッサ、DSPコアと連携する1つまたは複数のマイクロプロセッサ、あるいは任意の他のそのような構成などのコンピューティングデバイスの組合せとして実施することもできる。いくつかの実施態様では、特定のステップおよび方法が、所与の機能に固有である回路によって実行され得る。
1つまたは複数の態様では、説明した機能は、本明細書で開示する構造を含むハードウェア、デジタル電子回路、コンピュータソフトウェア、ファームウェア、およびそれらの上記構造の構造的等価物において、またはそれらの任意の組合せにおいて実施され得る。また、本明細書で説明した主題の実施態様は、1つまたは複数のコンピュータプログラムとして、すなわち、データ処理装置が実行するためにコンピュータ記憶媒体上に符号化された、またはデータ処理装置の動作を制御するための、コンピュータプログラム命令の1つまたは複数のモジュールとして、実施され得る。
ソフトウェアで実施する場合、機能は、1つまたは複数の命令またはコードとしてコンピュータ可読媒体上に記憶するか、あるいはコンピュータ可読媒体を介して送信することができる。いくつかの実施態様では、図9および図10に示される方法は、ソフトウェア内に実装され、図11のコントローラ115などのコントローラに関連し得るコンピュータ可読媒体上の1つまたは複数の命令またはコード上に記憶され、またはそれらを介して送信され得る。本明細書で開示された方法またはアルゴリズムのステップは、コンピュータ可読媒体上に存在し得る、プロセッサ実行可能ソフトウェアモジュールで実施され得る。コンピュータ可読媒体は、ある場所から別の場所にコンピュータプログラムを転送することを可能にされ得る任意の媒体を含む、コンピュータ記憶媒体とコンピュータ通信媒体の両方を含む。記憶媒体は、コンピュータによってアクセスされ得る任意の利用可能な媒体であり得る。限定ではなく例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMもしくは他の光ディスクストレージ、磁気ディスクストレージもしくは他の磁気ストレージデバイス、または、命令もしくはデータ構造の形態で所望のプログラムコードを記憶するために使用され得、コンピュータによってアクセスされ得る、任意の他の媒体を含み得る。また、いかなる接続もコンピュータ可読媒体と適切に呼ばれ得る。本明細書で使用するディスク(disk)およびディスク(disc)は、コンパクトディスク(disc)(CD)、レーザディスク(disc)、光ディスク(disc)、デジタル多用途ディスク(disc)(DVD)、フロッピー(登録商標)ディスク(disk)およびブルーレイディスク(disc)を含み、ディスク(disk)は、通常、データを磁気的に再生し、ディスク(disc)は、データをレーザで光学的に再生する。上記の組合せもコンピュータ可読媒体の範囲内に含め得る。さらに、方法またはアルゴリズムの動作は、コンピュータプログラム製品に組み込まれ得る、機械可読媒体およびコンピュータ可読媒体上のコードおよび命令の、1つまたは任意の組合せまたはセットとして存在し得る。本開示で説明した実施態様への様々な修正は当業者には容易に明らかであり得、本明細書で定義した一般原理は、本開示の趣旨または範囲から逸脱することなく他の実施態様に適用され得る。したがって、特許請求の範囲は、本明細書で示した実施態様に限定されるものではなく、本開示と、本明細書で開示する原理および新規の特徴とに一致する、最も広い範囲を与えられるべきである。さらに、「上側」および「下側」という用語は、図の説明を簡単にするために時々使用され、適切に配向されたページ上の図の配向に対応する相対位置を示すが、実施されたIMODの適切な配向を反映しないことがあることを、当業者は容易に諒解されよう。
また、別個の実施態様に関して本明細書で説明されたいくつかの特徴は、単一の実施態様において組合せで実施され得る。また、逆に、単一の実施態様に関して説明した様々な特徴は、複数の実施態様において別個に、あるいは任意の好適な部分組合せで実施され得る。その上、特徴は、いくつかの組合せで働くものとして上記で説明され、初めにそのように請求されることさえあるが、請求される組合せからの1つまたは複数の特徴は、場合によってはその組合せから削除され得、請求される組合せは、部分組合せ、または部分組合せの変形形態を対象とし得る。
同様に、動作は特定の順序で図面に示されているが、そのような動作は、望ましい結果を達成するために、示される特定の順序でまたは順番に実行される必要がないこと、またはすべての例示される動作が実行される必要があるとは限らないことは、当業者は容易に認識されよう。さらに、図面は、流れ図の形態でもう1つの例示的なプロセスを概略的に示し得る。ただし、図示されていない他の動作が、概略的に示される例示的なプロセスに組み込まれ得る。たとえば、1つまたは複数の追加の動作が、図示の動作のうちのいずれかの前に、後に、同時に、またはそれの間で、実行され得る。いくつかの状況では、マルチタスキングおよび並列処理が有利であり得る。その上、上記で説明した実施態様における様々なシステム構成要素の分離は、すべての実施態様においてそのような分離を必要とするものとして理解されるべきでなく、説明するプログラム構成要素およびシステムは、概して、単一のソフトウェア製品において互いに一体化されるか、または複数のソフトウェア製品にパッケージングされ得ることを理解されたい。さらに、他の実施態様が以下の特許請求の範囲内に入る。場合によっては、特許請求の範囲に記載の行為は、異なる順序で実行され、依然として望ましい結果を達成することができる。
14 可動反射層
14a 反射副層
14b 反射副層
14c 反射副層
16 光学スタック
16a 光吸収体
16b 誘電体
18 支持体
19 キャビティ
20 基板
25 犠牲材料
31a 原子層堆積(ALD)層
31b 自己集合単分子層(SAM)層
32 テザー
34 変形可能層
110 クラスタツール
114 搬送チャンバ
115 コントローラ
116 プロセスチャンバ
118 ラック
120 基板
123 ドア
124 搬送ロボット
128 プラットフォーム
130 反応器シェル
132 プロセスチャンバ116の内容積
134 反応スペース
137a 反応物質ソース
139a バルブ
140 排出部
146 エンドエフェクタ
150 クラスタツール
151 搬送チャンバ
153 ロードロックチャンバ
154a 第1のプロセスチャンバ
154b 第2のプロセスチャンバ
154c 第3のプロセスチャンバ
154d〜154f プロセスチャンバ
160 クラスタツール
161 ロードロックチャンバ
162 搬送ロボット
163a〜163g プロセスチャンバ
170 クラスタツール
171 ロードロックチャンバ
172a 第1の搬送チャンバ
172b 第2の搬送チャンバ
172c 第3の搬送チャンバ
173 搬送コリドー
174a 第1のプロセスチャンバ
174b 第2のプロセスチャンバ
174c 第3のプロセスチャンバ
175 搬送コリドー
180 クラスタツール
181 ドア
182 ロードロックチャンバ
184 搬送チャンバ
185 ロボット
186 プロセスチャンバ
186a〜186h プロセスサブチャンバ
188a〜188h 基板支持体

Claims (41)

  1. デバイスを形成する方法であって、
    複数の基板をクラスタツールの搬送チャンバから、前記クラスタツールのエッチングチャンバに搬送するステップと、
    前記基板を気相エッチング剤に露出させるステップと、
    前記基板を気相エッチング剤に露出させた後、
    原子層堆積(ALD)によって前記基板上に薄膜を形成するために、前記搬送チャンバを介して前記基板をALDチャンバに搬送し、前記基板を気相反応物質に露出させること、および
    前記基板上に自己集合単分子層(SAM)を形成するために、前記搬送チャンバを介して前記基板を第3のチャンバに搬送し、前記基板を気相反応物質に露出させること
    のうちの少なくとも1つを実行するステップと
    を含む、方法。
  2. 前記基板を前記気相エッチング剤に露出させること、前記薄膜を形成するために前記基板を前記気相反応物質に露出させること、および前記SAMを形成するために前記基板を気相反応物質に露出させることのうちの少なくとも1つが実行されるが、前記基板は、互いにオープンに連通している、請求項1に記載の方法。
  3. 前記基板をエッチングチャンバに搬送すること、前記基板をALDチャンバに搬送すること、および前記基板を第3のチャンバに搬送することのうちの少なくとも1つは、前記基板を外側チャンバおよび前記外側チャンバ内の内側チャンバに搬送することを含む、請求項1に記載の方法。
  4. 前記基板を搬送することのうちの前記少なくとも1つに対応する、前記基板を前記気相エッチング剤に露出させること、前記薄膜を形成するために前記基板を気相反応物質に露出させること、および前記SAMを形成するために前記基板を気相反応物質に露出させることが実行されるが、前記基板は、前記少なくとも1つの内側チャンバ内で互いにオープンに連通している、請求項3に記載の方法。
  5. 前記薄膜がALDによって前記基板上に形成され、前記SAMが前記基板上に形成される、請求項1に記載の方法。
  6. 複数の基板を搬送することには、連続的な単一の基板搬送を含む、請求項1に記載の方法。
  7. 複数の基板を搬送することには、複数の基板の同時搬送を含む、請求項1に記載の方法。
  8. エッチング、ALD、およびSAMプロセスのうちの少なくとも1つのプロセシング圧力は、搬送圧力と異なる、請求項1に記載の方法。
  9. 前記基板を搬送することは、ソースチャンバから宛先チャンバに前記基板を搬送することを含み、前記ソースチャンバおよび前記宛先チャンバ、ならびに前記ソースチャンバと前記宛先チャンバとの間の任意のチャンバは、搬送中、10−5Torr未満の圧力に維持される、請求項1に記載の方法。
  10. 前記クラスタツールは、矩形基板をハンドリングするように構成される、請求項11に記載の方法。
  11. 電気機械システムデバイスを形成するための方法であって、
    クラスタツールの第1のプロセスチャンバ内で複数の基板上の電気機械デバイスの可動電極と静止電極との間にギャップを作り出すために犠牲層を除去するステップと、
    原子層堆積(ALD)層を、原子層堆積により前記クラスタツールの第2のプロセスチャンバ内で前記基板の前記ギャップ内に堆積させること、および
    自己集合単分子層(SAM)を、前記クラスタツールの第3のプロセスチャンバ内で前記基板の前記ギャップ内に堆積させること
    のうちの少なくとも1つを実行するステップと
    を含む、方法。
  12. 犠牲層を除去すること、ALD層を堆積させること、およびSAMを堆積させることのうちの少なくとも1つが実行されるが、前記基板は、互いにオープンに連通している、請求項11に記載の方法。
  13. 犠牲層を除去すること、ALD層を堆積させること、およびSAMを堆積させることのうちの少なくとも1つが、外側プロセスチャンバ内に配置された内側チャンバ内で実行される、請求項11に記載の方法。
  14. 犠牲層を除去すること、ALD層を堆積させること、およびSAMを堆積させることのうちの前記少なくとも1つが実行されるが、前記基板は、前記内側チャンバ内で互いにオープンに連通している、請求項13に記載の方法。
  15. 前記犠牲層を除去することは、前記クラスタツールの前記第1のプロセスチャンバ内の圧力を約0.1Torrから約5Torrの間に維持しながら前記クラスタツールの前記第1のプロセスチャンバにXeFを提供することを含む、請求項11に記載の方法。
  16. ALD層が、前記クラスタツールの前記第2のプロセスチャンバ内で前記基板の前記ギャップ内に形成され、前記自己集合単分子層(SAM)が、前記クラスタツールの前記第3のプロセスチャンバ内で前記基板の前記ギャップ内の前記ALD層よりも上に堆積される、請求項11に記載の方法。
  17. 前記犠牲層を除去すること、ALD層を堆積させること、およびSAMを堆積させることの各々は、10−2Torrよりも大きい圧力で行われるが、前記プロセスチャンバの各々に接続された前記クラスタツールの搬送チャンバは、前記搬送チャンバおよび前記プロセスチャンバの各々の間で基板を搬送するとき、10−4Torr未満の圧力に維持される、請求項16に記載の方法。
  18. ALD層を堆積させることは、酸化アルミニウムALD層を堆積させるために、トリメチルアルミニウム(TMA)と水とを交互に提供し、前記クラスタツールの前記第2のプロセスチャンバに連続的なパルスを提供することを含むが、ALD層を堆積させることは、前記第2のプロセスチャンバ内の圧力を約100mTorrから約1Torrの間に設定することを含む、請求項16に記載の方法。
  19. SAMを堆積させることは、前記クラスタツールの前記第3のプロセスチャンバにn−デシルトリクロロシランを提供することを含むが、SAMを堆積させることは、前記第3のプロセスチャンバ内の圧力を約100mTorrから約1Torrの間に設定することを含む、請求項16に記載の方法。
  20. 前記犠牲層を除去することは約10分から約60分かかり、前記ALD層を堆積させることは約10分から約80分かかり、前記SAMを堆積させることは約10分から約90分かかる、請求項16に記載の方法。
  21. 電気機械システムデバイスをプロセシングするための装置であって、
    フッ素ベースのエッチング剤を含むエッチング剤ソースと流体連通している、複数の基板をプロセシングするように構成された第1のプロセスチャンバと、
    酸化用ソースを含む第1のソースと、半導体および金属ソースのうちの1つを含む第2のソースとに流体連通している、複数の基板をプロセシングするように構成された第2のプロセスチャンバ、ならびに
    有機ソース化学物質と流体連通している、複数の基板をプロセシングするように構成された第3のプロセスチャンバ
    のうちの1つまたは複数と、
    前記第1、および前記第2または前記第3のプロセスチャンバの各々と選択的に連通している、搬送チャンバならびに前記第1および前記第2または前記第3のプロセスチャンバの間で基板を搬送するように構成されたロボットを含む、搬送チャンバと
    を含む、装置。
  22. 前記第1のプロセスチャンバ、前記第2のプロセスチャンバ、および前記第3のプロセスチャンバのうちの少なくとも1つは、前記基板の前記プロセシングの間の前記基板間のオープンな連通を可能にするように構成される、請求項21に記載の装置。
  23. 前記第1のプロセスチャンバ、前記第2のプロセスチャンバ、および前記第3のプロセスチャンバのうちの少なくとも1つ内に配置された少なくとも1つの内側プロセスチャンバをさらに含む、請求項21に記載の装置。
  24. 前記内側プロセスチャンバは、前記基板の前記プロセシングの間の前記基板間のオープンな連通を可能にするように構成される、請求項23に記載の装置。
  25. 前記第2のプロセスチャンバおよび前記第3のプロセスチャンバを含む、請求項21に記載の装置。
  26. 前記第1のソースと前記第2のソースとの間で交互に切り替えるための、前記第2のプロセスチャンバと連通している制御システムをさらに含む、請求項25に記載の装置。
  27. 前記第3のプロセスチャンバは、HClからの腐食に耐えることができる陽極処理ライナーを有する、請求項21に記載の装置。
  28. 前記搬送チャンバ、ならびに前記第1、前記第2、および前記第3のプロセスチャンバの各々と流体連通している少なくとも1つの真空ポンプをさらに含む、請求項25に記載の装置。
  29. 前記ロボットは、複数の単一の基板を逐次搬送するように構成される、請求項21に記載の装置。
  30. 約370mm×約470mmの寸法を有する矩形基板の面積よりも大きい面積を有する矩形基板をハンドリングするように構成される、請求項21に記載の装置。
  31. 前記フッ素ベースのエッチング剤はXeFであり、前記金属ソースはトリメチルアルミニウムであり、前記酸化用ソースは水であり、前記有機ソース化学物質は、n−デシルトリクロロシランである、請求項21に記載の装置。
  32. 前記第1のプロセスチャンバは、XeFベースのエッチング剤に対する耐性がある材料から作成される、請求項21に記載の装置。
  33. 前記第1、前記第2、前記第3のプロセスチャンバの2つ以上を含む、請求項21に記載の装置。
  34. 前記第1および前記第3のプロセスチャンバの2つ以上を含む、請求項33に記載の装置。
  35. 電気機械システムデバイスをプロセシングするためのクラスタツールであって、
    前記基板から犠牲層を除去するための手段を含む、複数の基板をプロセシングするように構成された第1のプロセスチャンバと、
    前記基板上にALD層を形成するための手段を含む、複数の基板をプロセシングするように構成された第2のプロセスチャンバ、ならびに
    前記基板上に自己集合単分子層を形成するための手段を含む、複数の基板をプロセシングするように構成された第3のプロセスチャンバ
    のうちの1つまたは複数と、
    前記第1、前記第2、および前記第3のプロセスチャンバのうちのチャンバ間で基板を搬送するための手段を含む、前記第1、前記第2、および前記第3のプロセスチャンバの間で基板を選択的に連通させることができる搬送チャンバと
    を含む、クラスタツール。
  36. 前記第1のプロセスチャンバ、前記第2のプロセスチャンバ、および前記第3のプロセスチャンバのうちの少なくとも1つは、前記基板の前記プロセシングの間の前記基板間のオープンな連通を可能にするように構成される、請求項35に記載の装置。
  37. 前記第1のプロセスチャンバ、前記第2のプロセスチャンバ、および前記第3のプロセスチャンバのうちの少なくとも1つ内に配置された少なくとも1つの内側プロセスチャンバをさらに含む、請求項35に記載の装置。
  38. 前記内側プロセスチャンバは、前記基板の前記プロセシングの間の前記基板間のオープンな連通を可能にするように構成される、請求項37に記載の装置。
  39. 前記第2のプロセスチャンバと前記第3のプロセスチャンバの両方を含む、請求項35に記載の装置。
  40. 2つ以上の第1のプロセスチャンバ、2つ以上の第2のプロセスチャンバ、および2つ以上の第3のプロセスチャンバを含む、請求項35に記載の装置。
  41. 前記バッチクラスタツールは、矩形基板をハンドリングするように構成される、請求項35に記載の装置。
JP2014542558A 2011-11-21 2012-11-19 電気機械システムためのプロセシングおよび同プロセシングのための機器 Pending JP2015505975A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/301,630 2011-11-21
US13/301,630 US20130129922A1 (en) 2011-11-21 2011-11-21 Batch processing for electromechanical systems and equipment for same
PCT/US2012/065904 WO2013078141A1 (en) 2011-11-21 2012-11-19 Processing for electromechanical systems and equipment for same

Publications (1)

Publication Number Publication Date
JP2015505975A true JP2015505975A (ja) 2015-02-26

Family

ID=47326376

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014542558A Pending JP2015505975A (ja) 2011-11-21 2012-11-19 電気機械システムためのプロセシングおよび同プロセシングのための機器

Country Status (6)

Country Link
US (1) US20130129922A1 (ja)
JP (1) JP2015505975A (ja)
KR (1) KR20150033594A (ja)
CN (1) CN104040708A (ja)
TW (1) TW201342507A (ja)
WO (1) WO2013078141A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013124535A1 (en) * 2012-02-22 2013-08-29 Beneq Oy Apparatus for processing substrates
EP3022329A4 (en) * 2013-07-16 2017-03-22 3M Innovative Properties Company Sheet coating method
US20150346391A1 (en) * 2014-05-27 2015-12-03 Himax Display, Inc. Method for forming anti stiction coating and anti stiction coating thereof
CN105316652A (zh) * 2014-07-25 2016-02-10 立景光电股份有限公司 形成抗沾粘涂层的方法与抗沾粘涂层
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
WO2016201526A1 (en) * 2015-06-19 2016-12-22 Chee Yee Kwok Silicon film and process for forming silicon film
CN105470169A (zh) * 2015-11-20 2016-04-06 中国科学院微电子研究所 面向GaN器件的介质生长系统及其操作方法
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
TW201740466A (zh) * 2016-05-03 2017-11-16 系統科技公司 基板處理裝置及基板處理方法
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
CN107039298B (zh) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 微元件的转移装置、转移方法、制造方法、装置和电子设备
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
GB2559615A (en) * 2017-02-13 2018-08-15 Edwards S R O Cleaning method
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN107026112A (zh) * 2017-05-19 2017-08-08 武汉华星光电技术有限公司 一种蚀刻装置
KR102271771B1 (ko) 2017-05-25 2021-07-01 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP7295359B2 (ja) * 2018-03-20 2023-06-21 東京エレクトロン株式会社 統合的な計測を伴う基板処理ツール並びに使用方法
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
DE102018004733A1 (de) * 2018-06-14 2019-12-19 Merck Patent Gmbh Verfahren zur Herstellung eines elektronischen Bauteils enthaltend eine selbstorganisierte Monolage
US20210403321A1 (en) * 2020-06-30 2021-12-30 Butterfly Network, Inc. Formation of self-assembled monolayer for ultrasonic transducers
FI130544B (en) * 2021-08-13 2023-11-08 Beneq Oy Atomic layer growth device and arrangement

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09129609A (ja) * 1995-11-06 1997-05-16 Sanyo Shinku Kogyo Kk ドライエッチング装置
JP2006099068A (ja) * 2004-09-27 2006-04-13 Idc Llc Memsデバイスにおいて再生型保護塗料を提供するためのシステム及び装置
US20090056116A1 (en) * 2007-08-07 2009-03-05 Micro Foundry Inc. Integrated miniature device factory
JP2010524010A (ja) * 2007-03-21 2010-07-15 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Memsキャビティ被覆層および方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
TW499696B (en) * 1999-04-27 2002-08-21 Tokyo Electron Ltd Processing apparatus and processing method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
CN100458538C (zh) * 2004-09-27 2009-02-04 Idc公司 在mems装置中提供一再生保护涂层的系统和方法
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US7521777B2 (en) * 2005-03-31 2009-04-21 Showa Denko K.K. Gallium nitride-based compound semiconductor multilayer structure and production method thereof
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20080210168A1 (en) * 2007-01-18 2008-09-04 May Su Single chamber, multiple tube high efficiency vertical furnace system
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US8071160B2 (en) * 2007-10-29 2011-12-06 Integrated Surface Technologies Surface coating process
KR100994920B1 (ko) * 2008-06-05 2010-11-17 주식회사 소로나 기상 자기조립 단분자막 코팅장치
JP2010098141A (ja) * 2008-10-16 2010-04-30 Sumitomo Electric Device Innovations Inc 半導体装置の製造方法
ES2342872B1 (es) * 2009-05-20 2011-05-30 Baolab Microsystems S.L. Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.
CN102051562A (zh) * 2009-11-03 2011-05-11 北京有色金属研究总院 一种铝合金的均匀化工艺方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09129609A (ja) * 1995-11-06 1997-05-16 Sanyo Shinku Kogyo Kk ドライエッチング装置
JP2006099068A (ja) * 2004-09-27 2006-04-13 Idc Llc Memsデバイスにおいて再生型保護塗料を提供するためのシステム及び装置
JP2010524010A (ja) * 2007-03-21 2010-07-15 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Memsキャビティ被覆層および方法
US20090056116A1 (en) * 2007-08-07 2009-03-05 Micro Foundry Inc. Integrated miniature device factory

Also Published As

Publication number Publication date
US20130129922A1 (en) 2013-05-23
CN104040708A (zh) 2014-09-10
WO2013078141A1 (en) 2013-05-30
TW201342507A (zh) 2013-10-16
KR20150033594A (ko) 2015-04-01

Similar Documents

Publication Publication Date Title
JP2015505975A (ja) 電気機械システムためのプロセシングおよび同プロセシングのための機器
US20140349469A1 (en) Processing for electromechanical systems and equipment for same
KR101582873B1 (ko) 갭들을 갖는 립들을 갖춘 전자기계 시스템
US20140210835A1 (en) Metal oxide layer composition control by atomic layer deposition for thin film transistor
JP2006100795A (ja) 効率を高めたフッ化キセノン・エッチングのための方法及びシステム
JP5696216B2 (ja) Imodディスプレイのための誘電性の向上したミラー
JP5763793B2 (ja) エポキシ粘着性向上のための誘電体層上の自己組織化単分子層の処置
KR20140030162A (ko) 비정질 산화물 반도체 박막 트랜지스터 제조 방법
KR20150128926A (ko) 듀얼-레벨 셔터들을 통합한 디스플레이 장치
JP2014535062A (ja) 大面積積層金属構造および関連する方法
KR20150128927A (ko) 듀얼-레벨 셔터들을 통합한 디스플레이 장치
US20140009379A1 (en) Cavity liners for electromechanical systems devices
JP2014523144A (ja) スパッタエッチングツール及びライナー
KR101822099B1 (ko) 고해상도 디스플레이들에 대한 mems 셔터 어셈블리들
KR20150113146A (ko) 저-전력 mems 셔터 어셈블리들
US20140210836A1 (en) Layer for reduced charge migration between mems layers
KR20140026407A (ko) 비활성 더미 화소들
US20160232858A1 (en) Creep resistant reflective structure in mems display
US20120062570A1 (en) Process of forming an air gap in a microelectromechanical system device using a liner material
US20130335383A1 (en) Removal of molybdenum
KR20150119234A (ko) 다중-높이 몰드들상에 제조되는 셔터 어셈블리들
KR20060087379A (ko) 이동형 에칭 챔버
US20130106875A1 (en) Method of improving thin-film encapsulation for an electromechanical systems assembly
JP2015500504A (ja) ディスプレイデバイスならびに光を曲げるフィーチャおよびディスプレイ要素を形成するための両面処理

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150629

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151120