JP2014060327A - Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device - Google Patents

Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device Download PDF

Info

Publication number
JP2014060327A
JP2014060327A JP2012205651A JP2012205651A JP2014060327A JP 2014060327 A JP2014060327 A JP 2014060327A JP 2012205651 A JP2012205651 A JP 2012205651A JP 2012205651 A JP2012205651 A JP 2012205651A JP 2014060327 A JP2014060327 A JP 2014060327A
Authority
JP
Japan
Prior art keywords
substrate
chamber
processing
substrate holder
cooling wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012205651A
Other languages
Japanese (ja)
Inventor
Takeshi Ito
伊藤  剛
Shuhei Nishido
周平 西堂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2012205651A priority Critical patent/JP2014060327A/en
Publication of JP2014060327A publication Critical patent/JP2014060327A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PROBLEM TO BE SOLVED: To shorten the temperature reduction time after the substrate processing and ease the damage of a component in a spare room which is caused by radiation heat.SOLUTION: A substrate processing apparatus includes: a substrate holder which holds multiple substrates; a processing chamber which houses the substrate holder and processes the substrates; a spare chamber which is disposed so as to communicate with the processing chamber through an opening in an airtight manner, houses the substrate holder, and transports the substrates; lifting means which moves up and down the substrate holder between the processing chamber and the spare chamber; a cooling wall which is disposed between the lifting means and the substrate holder in the spare chamber; and a cooling gas supply part which is provided at a position facing the cooling wall across the substrate holder, supplies a cooling gas to the substrate holder and the substrates, and then causes the cooling gas to collide with the cooling wall.

Description

本発明は、炭化珪素ウエハにエピタキシャル炭化珪素膜を成長させる基板処理装置、基板処理方法及び半導体装置の製造方法に関するものである。   The present invention relates to a substrate processing apparatus, a substrate processing method, and a semiconductor device manufacturing method for growing an epitaxial silicon carbide film on a silicon carbide wafer.

炭化珪素(SiC)は、珪素(Si)に比べてエネルギーバンドキャップが大きいことや、絶縁耐圧が高いことから、特にパワーデバイス用素子材料として注目されている。SiCは融点がSiに比べて高いこと、常圧下での液相を持たないこと、不純物拡散係数が小さいことなどから、Siに比べて基板やデバイスの作製が難しいことが知られている。   Silicon carbide (SiC) has attracted attention as an element material for power devices because it has a larger energy band cap and higher withstand voltage than silicon (Si). It is known that SiC has a higher melting point than Si, does not have a liquid phase under normal pressure, and has a small impurity diffusion coefficient, making it difficult to produce substrates and devices as compared to Si.

例えばSiCエピタキシャル成膜装置は、Siのエピタキシャル成膜温度が900〜1200℃であるのに対し、SiCのそれは1500〜1800℃程度と高いことから、成膜装置の耐熱構造や原料の分解抑制に技術的な工夫が必要である。また、SiとCの2元素の反応で成膜が進むため、膜厚や組成均一性の確保、ドーピングレベルの制御技術にもシリコン系の成膜装置にもない工夫が必要となる。   For example, the SiC epitaxial film forming apparatus has an Si epitaxial film forming temperature of 900 to 1200 ° C., whereas that of SiC is as high as about 1500 to 1800 ° C. Need to be creative. In addition, since the film formation proceeds by the reaction of two elements of Si and C, it is necessary to devise a device that is neither in a film thickness or composition uniformity, nor in a doping level control technique nor a silicon film forming apparatus.

量産用のSiCエピタキシャル成長装置として市場に供されている装置としては、いわゆる「パンケーキ型」や「プラネタリ型」と称される枚葉型の装置が主流である。これらの装置では、高周波等で成膜温度まで加熱したサセプタ上に数枚〜十数枚程度のSiC基板を平面的に並べ、原料ガスやキャリアガスを供給する方法で成膜されている。C原料としてC(プロパン)やC(エチレン)、Si原料としてSiH(モノシラン)が多く採用されており、キャリアとしてはH(水素)が使用される。気相中でのシリコン核形成の抑制や結晶の品質向上を狙って塩化水素(HCl)を添加したり、塩素(Cl)を構造中に含むテトラクロルシラン(SiCl、四塩化珪素)等の原料を使う場合もある。 As a mass-produced SiC epitaxial growth apparatus, a single-wafer type apparatus called “pancake type” or “planetary type” is mainly used. In these apparatuses, films are formed by a method in which several to dozen or more SiC substrates are arranged in a plane on a susceptor heated to a film formation temperature by high frequency or the like, and a source gas or a carrier gas is supplied. C 3 H 8 (propane) or C 2 H 4 (ethylene) is often used as the C raw material, SiH 4 (monosilane) is often used as the Si raw material, and H 2 (hydrogen) is used as the carrier. Hydrogen chloride (HCl) is added for the purpose of suppressing silicon nucleation in the gas phase and improving the quality of crystals, or tetrachlorosilane (SiCl 4 , silicon tetrachloride) containing chlorine (Cl) in the structure. Sometimes raw materials are used.

しかしながら、これらのSiCエピタキシャル成膜装置には次のような課題がある。「パンケーキ型」や「プラネタリ型」の反応室構造では、平面的に配置されたウエハに対してシリコン成膜材料、カーボン成膜材料が中心部に設置されたガス供給部から供給され、排気は周辺部から行われるのが一般的であり、供給口から排気口にかけてガスの濃度分布が大きく変化する。これに伴う膜厚の不均一性をウエハ及びサセプタを成膜時に回転させて回避することも一般的に行われている。一度に処理できる基板枚数を増やすにはサセプタの直径を大きくすれば良いが、サセプタの直径を大きくすると装置サイズが大きくなり、コストが増大するという課題がある。この課題はウエハ径が大きくなるほど、より深刻となる。また、ガス供給方向からガス排気口方向(半径方向)にウエハを2枚以上並べると前述のガス濃度差の問題によりウエハ間に膜厚差が発生するため、一度に処理できる実用的なウエハ枚数が制限されるという課題がある。   However, these SiC epitaxial film forming apparatuses have the following problems. In the “pancake-type” and “planetary-type” reaction chamber structures, the silicon film forming material and the carbon film forming material are supplied to the planarly arranged wafer from the gas supply unit installed in the center and exhausted. Is generally performed from the periphery, and the concentration distribution of the gas greatly changes from the supply port to the exhaust port. In general, the film thickness non-uniformity associated with this is also avoided by rotating the wafer and the susceptor during film formation. In order to increase the number of substrates that can be processed at a time, the diameter of the susceptor may be increased. However, increasing the diameter of the susceptor increases the size of the apparatus and increases the cost. This problem becomes more serious as the wafer diameter increases. In addition, when two or more wafers are arranged from the gas supply direction to the gas exhaust port direction (radial direction), a difference in film thickness occurs between the wafers due to the above-mentioned gas concentration difference problem. There is a problem that is limited.

一方、シリコンの成膜で用いられる縦型基板処理装置は、1枚相当のフットプリントにて一度に複数(例えば25〜100枚)のウエハを縦方向に積み上げて一括して処理できる構造を有することから、大量生産に非常に有利である。縦型基板処理装置の技術をSiCエピタキシャル成長に応用した例としては、特許文献1が知られている。   On the other hand, a vertical substrate processing apparatus used for silicon film formation has a structure in which a plurality of wafers (for example, 25 to 100 wafers) are stacked in a vertical direction at a time with a footprint corresponding to one sheet and processed in a batch. Therefore, it is very advantageous for mass production. As an example of applying the technology of the vertical substrate processing apparatus to SiC epitaxial growth, Patent Document 1 is known.

しかしながら、このような縦型基板処理装置では、SiCウエハをボートに積載して成膜する構造になっているが、前述のように成膜温度が高いため、処理後の降温に時間がかかり、装置のスループットに影響を及ぼすという課題がある。また、SiCウエハの温度が高い状態でロードロック室内にボートダウンすると、その輻射熱によりロードロック室内の部品がダメージを受けるという課題がある。   However, such a vertical substrate processing apparatus has a structure in which a SiC wafer is loaded on a boat to form a film, but since the film forming temperature is high as described above, it takes time to lower the temperature after processing, There is a problem of affecting the throughput of the apparatus. In addition, when the boat is lowered into the load lock chamber while the temperature of the SiC wafer is high, there is a problem that the components in the load lock chamber are damaged by the radiant heat.

特開2008−227264号公報JP 2008-227264 A

そこで、本発明は、前記課題を解決すべくなされたものである。本発明の目的は、基板の処理後の降温時間の短縮及び輻射熱による予備室内の部品へのダメージの緩和が図れる基板処理装置、基板処理方法及び半導体装置の製造方法を提供することにある。   Therefore, the present invention has been made to solve the above problems. An object of the present invention is to provide a substrate processing apparatus, a substrate processing method, and a semiconductor device manufacturing method capable of shortening a temperature lowering time after processing a substrate and alleviating damage to components in a spare chamber due to radiant heat.

前記目的を達成するために、本発明の一態様に係る基板処理装置は、基板を縦方向に複数枚保持する基板保持具と、該基板保持具を収容して基板を所定の処理ガス及び所定の温度で処理する処理室と、該処理室と開口を介して気密に連通するように配置され、前記基板保持具を収容して基板の移載を行う予備室と、前記基板保持具を前記処理室と前記予備室の間で昇降する昇降手段と、前記予備室内の前記昇降手段と基板保持具の間に配置された冷却壁と、前記基板保持具を挟んで前記冷却壁と対向する位置に設けられ、冷却ガスを基板保持具及び基板に供給してから前記冷却壁に衝突させる冷却ガス供給部とを有する。   In order to achieve the above object, a substrate processing apparatus according to one aspect of the present invention includes a substrate holder that holds a plurality of substrates in a vertical direction, and a substrate that holds the substrate holder and holds a substrate with a predetermined processing gas and a predetermined A processing chamber for processing at a temperature of the substrate, a preliminary chamber that is disposed so as to be airtightly communicated with the processing chamber through the opening, accommodates the substrate holder, and transfers the substrate; and Lifting means that moves up and down between the processing chamber and the preliminary chamber, a cooling wall disposed between the lifting means and the substrate holder in the preliminary chamber, and a position facing the cooling wall across the substrate holder And a cooling gas supply section for supplying a cooling gas to the substrate holder and the substrate and then colliding with the cooling wall.

また、本発明の他の一態様に係る基板処理方法は、基板を縦方向に複数枚保持した基板保持具を処理室内に収容して基板を所定の処理ガス及び所定の温度で処理する工程と、前記基板を処理する工程後、前記基板保持具を処理室と開口を介して気密に連通するように配置された予備室に昇降手段により搬出する工程と、前記予備室に搬出された基板保持具と前記昇降手段との間に設けられた冷却壁に対し、該冷却壁と対向する位置から冷却ガスを供給して基板保持具及び基板を冷却すると共にその冷却ガスを冷却壁に衝突させる工程とを有する。   A substrate processing method according to another aspect of the present invention includes a step of storing a substrate holder holding a plurality of substrates in a vertical direction in a processing chamber and processing the substrate at a predetermined processing gas and a predetermined temperature. After the step of processing the substrate, the step of unloading the substrate holder to the preliminary chamber arranged so as to be airtightly communicated with the processing chamber through the opening, and the holding of the substrate transferred to the preliminary chamber A step of supplying a cooling gas from a position facing the cooling wall to cool the substrate holder and the substrate and causing the cooling gas to collide with the cooling wall with respect to the cooling wall provided between the jig and the lifting means And have.

更に、本発明の他の一態様に係る半導体装置の製造方法は、基板を縦方向に複数枚保持した基板保持具を処理室内に収容して基板を所定の処理ガス及び所定の温度で処理する工程と、前記基板を処理する工程後、前記基板保持具を処理室と開口を介して気密に連通するように配置された予備室に昇降手段により搬出する工程と、前記予備室に搬出された基板保持具と前記昇降手段との間に設けられた冷却壁に対し、該冷却壁と対向する位置から冷却ガスを供給して基板保持具及び基板を冷却すると共にその冷却ガスを冷却壁に衝突させる工程とを有する。   Furthermore, in a method for manufacturing a semiconductor device according to another aspect of the present invention, a substrate holder holding a plurality of substrates in a vertical direction is accommodated in a processing chamber, and the substrate is processed at a predetermined processing gas and a predetermined temperature. And after the step of processing the substrate, the substrate holder is unloaded by a lifting / lowering means into a preliminary chamber disposed so as to communicate with the processing chamber in an airtight manner through the opening, and is unloaded into the preliminary chamber A cooling gas is supplied to the cooling wall provided between the substrate holder and the elevating means from a position facing the cooling wall to cool the substrate holder and the substrate, and the cooling gas collides with the cooling wall. And a step of causing

本発明によれば、基板の処理後の降温時間の短縮及び輻射熱による予備室内の部品へのダメージの緩和が図れる基板処理装置、基板処理方法及び半導体装置の製造方法を提供することが可能ととなり、スループットの向上及び良好な炭化珪素膜の形成が可能となる。   According to the present invention, it becomes possible to provide a substrate processing apparatus, a substrate processing method, and a semiconductor device manufacturing method capable of shortening the temperature lowering time after the processing of the substrate and alleviating damage to components in the spare chamber due to radiant heat. Thus, it becomes possible to improve the throughput and form a good silicon carbide film.

本発明の実施形態で好適に用いられる基板処理装置の斜視図である。It is a perspective view of the substrate processing apparatus used suitably by embodiment of this invention. 本発明の実施形態で好適に用いられる基板処理装置におけるロードロック室の縦断面図である。It is a longitudinal cross-sectional view of the load-lock chamber in the substrate processing apparatus used suitably by embodiment of this invention. 図2のA−A線横断面図である。FIG. 3 is a cross-sectional view taken along line AA in FIG. 2. 本発明の実施形態で好適に用いられる冷却壁を概略的に示す斜視図である。It is a perspective view which shows roughly the cooling wall used suitably by embodiment of this invention. 本発明の実施形態で好適に用いられる冷却壁の構造を説明する概略図である。It is the schematic explaining the structure of the cooling wall used suitably by embodiment of this invention. 本発明の実施形態で好適に用いられる冷却ノズルの一例を示す斜視図である。It is a perspective view which shows an example of the cooling nozzle used suitably by embodiment of this invention. 本発明の実施形態で好適に用いられる基板処理装置の制御構成を示すブロック図である。It is a block diagram which shows the control structure of the substrate processing apparatus used suitably by embodiment of this invention.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。本実施形態に係る基板処理装置は、基板からなる半導体装置(IC(Integrated Circuit))の製造に使用される半導体製造装置を例に挙げて述べる。下記の説明では、基板処理装置の一例として、ウエハに対して熱処理等を行う縦型の装置を使用した場合について述べる。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. The substrate processing apparatus according to the present embodiment will be described by taking a semiconductor manufacturing apparatus used for manufacturing a semiconductor device (IC (Integrated Circuit)) made of a substrate as an example. In the following description, a case where a vertical apparatus that performs heat treatment or the like on a wafer is used as an example of a substrate processing apparatus will be described.

図1において、本発明が実施される基板処理装置の一例を説明する。この基板処理装置1では、SiC基板であるウエハ6は基板収納容器としてのカセット2に収容され、搬入出される。   An example of a substrate processing apparatus in which the present invention is implemented will be described with reference to FIG. In this substrate processing apparatus 1, a wafer 6, which is a SiC substrate, is stored in a cassette 2 as a substrate storage container, and is carried in and out.

基板処理装置1は、筐体3を備え、筐体3の正面壁にはカセット搬入搬出口4がフロントシャッタ(図示せず)によって開閉されるように設けられている。筐体3の内部に、カセット搬入搬出口4に隣接してカセットステージ5が設けられている。   The substrate processing apparatus 1 includes a housing 3, and a cassette loading / unloading port 4 is provided on the front wall of the housing 3 so as to be opened and closed by a front shutter (not shown). Inside the housing 3, a cassette stage 5 is provided adjacent to the cassette loading / unloading port 4.

カセット2はカセットステージ5上に工程内搬送装置(図示せず)によって搬入され、また、カセットステージ5上から搬出されるようになっている。カセットステージ5は、工程内搬送装置によって、カセット2内のウエハ6が垂直姿勢となり、カセット2のウエハ出入り口が上方向を向くように配置され、カセットステージ5は、カセット2のウエハ出入り口が筐体3後方を向くように回転する。   The cassette 2 is loaded onto the cassette stage 5 by an in-process transfer device (not shown) and unloaded from the cassette stage 5. The cassette stage 5 is arranged so that the wafer 6 in the cassette 2 is in a vertical posture and the wafer entrance / exit of the cassette 2 faces upward by the in-process transfer device. 3 Rotate to face backwards.

筐体3内の前後方向の略中央部には、カセット棚(基板収納容器載置棚)7が設置されており、カセット棚7は、複数段複数列にて各複数個のカセット2を保管するように構成されている。カセット棚7にはウエハ移載装置8の搬送対象となるカセット2が収納される移載棚9が設けられている。また、カセットステージ5の上方には予備カセット棚11が設けられ、予備的にカセット2を保管するように構成されている。   A cassette shelf (substrate storage container mounting shelf) 7 is installed at a substantially central portion in the front-rear direction in the housing 3. The cassette shelf 7 stores a plurality of cassettes 2 in a plurality of rows and a plurality of rows. Is configured to do. The cassette shelf 7 is provided with a transfer shelf 9 in which the cassette 2 to be transferred by the wafer transfer device 8 is stored. Further, a preliminary cassette shelf 11 is provided above the cassette stage 5, and is configured to store the cassette 2 preliminarily.

カセットステージ5とカセット棚7との間には、カセット搬送装置12が設置されている。カセット搬送装置12は、カセット2をカセットステージ5、カセット棚7、予備カセット棚11との間で搬送するように構成されている。   A cassette carrying device 12 is installed between the cassette stage 5 and the cassette shelf 7. The cassette carrying device 12 is configured to carry the cassette 2 between the cassette stage 5, the cassette shelf 7, and the spare cassette shelf 11.

カセット棚7の後方には、ウエハ移載装置8が設置されている。ウエハ移載装置8は、ウエハ6を水平方向に回転ないし直動可能なウエハ移載機構8aと、ウエハ移載機構8aを昇降させるための昇降機構8bと、ウエハ移載機構8a上に設けられウエハ6をピックアップするためのツイーザ8cとで構成されている。   A wafer transfer device 8 is installed behind the cassette shelf 7. The wafer transfer device 8 is provided on the wafer transfer mechanism 8a capable of rotating or linearly moving the wafer 6 in the horizontal direction, an elevating mechanism 8b for raising and lowering the wafer transfer mechanism 8a, and the wafer transfer mechanism 8a. And a tweezer 8c for picking up the wafer 6.

ウエハ移載装置8の後方には、ウエハ6を熱処理する処理炉14と、熱処理前後のウエハ6を一時的に収容するロードロック室15とが上下に隣接して設けられている。ロードロック室15内には、ボート13を処理炉14に昇降させる昇降機構16が設けられている。ボート13は複数の保持部材を備えており、複数枚(例えば、50〜150枚程度)のウエハ6をその中心を揃えて垂直方向に整列させた状態で、それぞれ水平に保持するように構成されている。   Behind the wafer transfer device 8, a processing furnace 14 for heat-treating the wafer 6 and a load lock chamber 15 for temporarily storing the wafer 6 before and after the heat treatment are provided adjacent vertically. In the load lock chamber 15, an elevating mechanism 16 that elevates the boat 13 to the processing furnace 14 is provided. The boat 13 includes a plurality of holding members, and is configured to hold a plurality of (for example, about 50 to 150) wafers 6 horizontally with the centers thereof aligned in the vertical direction. ing.

昇降機構16は、昇降アーム17を具備し、昇降アーム17には蓋体としてのシールキャップ18が水平に設けられており、シールキャップ18はボート13を垂直に支持し、処理炉14の炉口部を開閉するように構成されている。   The elevating mechanism 16 includes an elevating arm 17, and a seal cap 18 as a lid is provided horizontally on the elevating arm 17. The seal cap 18 supports the boat 13 vertically, and the furnace port of the processing furnace 14. The part is configured to open and close.

カセット棚7の上方には、清浄化した雰囲気であるクリーンエアを供給するクリーンユニット19が設けられ、クリーンユニット19はクリーンエアを筐体3の内部に流通させるようになっている。   Above the cassette shelf 7, a clean unit 19 for supplying clean air, which is a cleaned atmosphere, is provided, and the clean unit 19 distributes clean air inside the housing 3.

次に図2、図3において、本実施形態のロードロック室の詳細について説明する。図2及び図3は本発明の好ましい実施形態で使用されるロードロック室とそれに付属する部材とを説明するための概略構成図であり、特に図2はロードロック室の縦断面図であり、図3は図2のA−A線横断面図である。   Next, the details of the load lock chamber of the present embodiment will be described with reference to FIGS. 2 and 3 are schematic configuration diagrams for explaining a load lock chamber and members attached thereto used in a preferred embodiment of the present invention. In particular, FIG. 2 is a longitudinal sectional view of the load lock chamber. 3 is a cross-sectional view taken along line AA in FIG.

図2及び図3に示すように、ロードロック室15はSi等の半導体のウエハ6を搭載するボート13を収容可能な予備室の一例であり、開口20を介して処理炉14の処理室と気密に連通するように配置されている。ロードロック室15内にはボート13を昇降させる昇降機構16が設けられている。ロードロック室15内には、昇降機構16を収容する昇降機構室21と、ボート13を収容するボート室22とに仕切るように冷却壁23が設けられている。   As shown in FIGS. 2 and 3, the load lock chamber 15 is an example of a spare chamber capable of accommodating the boat 13 on which the semiconductor wafer 6 such as Si is mounted. The load lock chamber 15 is connected to the processing chamber of the processing furnace 14 through the opening 20. It is arranged to communicate in an airtight manner. An elevating mechanism 16 for elevating the boat 13 is provided in the load lock chamber 15. A cooling wall 23 is provided in the load lock chamber 15 so as to be divided into an elevating mechanism chamber 21 that accommodates the elevating mechanism 16 and a boat chamber 22 that accommodates the boat 13.

冷却壁23は例えば図5の(a)ないし(b)に示すように熱伝導性のよい金属板23xの内部に冷媒(例えば水)を流通させる流通路23yを設けて構成されている。冷却壁23はロードロック室15内の幅方向中央に設けられた第1冷却壁23aと、幅方向一側に設けられた第2冷却壁23bとから構成されている。これら第1冷却壁23aと第2冷却壁23bはロードロック室15内に固定されている。これら第1冷却壁23aと第2冷却壁23bとの間には後述する平面U字状のアーム41の一側が昇降可能なスリット70が設けられている。   For example, as shown in FIGS. 5A and 5B, the cooling wall 23 is configured by providing a flow passage 23 y for circulating a coolant (for example, water) inside a metal plate 23 x having good thermal conductivity. The cooling wall 23 includes a first cooling wall 23a provided at the center in the width direction in the load lock chamber 15, and a second cooling wall 23b provided on one side in the width direction. The first cooling wall 23 a and the second cooling wall 23 b are fixed in the load lock chamber 15. A slit 70 is provided between the first cooling wall 23a and the second cooling wall 23b so that one side of a flat U-shaped arm 41 described later can be moved up and down.

ロードロック室15の一方の側壁24aのボート室22側には開口25が設けられており、開口25にはゲートバルブ26が取り付けられている。基板処理装置1では、開口25とゲートバルブ26とを介して、ウエハ6をボート13に搭載したり、ボート13からウエハ6を取出すことができるようになっている。   An opening 25 is provided on one side wall 24 a of the load lock chamber 15 on the boat chamber 22 side, and a gate valve 26 is attached to the opening 25. In the substrate processing apparatus 1, the wafer 6 can be mounted on the boat 13 and the wafer 6 can be taken out from the boat 13 through the opening 25 and the gate valve 26.

ロードロック室15上には処理炉14が設けられている。処理炉14は、ボート13を収容可能な処理室(図示せず)と、当該処理室内を加熱するヒータ等の加熱手段(図示せず)とを有しており、当該処理室でボート13に搭載されたウエハ6を熱処理することができるようになっている。   A processing furnace 14 is provided on the load lock chamber 15. The processing furnace 14 has a processing chamber (not shown) in which the boat 13 can be accommodated, and heating means (not shown) such as a heater for heating the processing chamber. The mounted wafer 6 can be heat-treated.

処理炉14とボート室22との間の天井壁27には開口20が設けられており、開口20にはゲートバルブ28が取り付けられている。基板処理装置1では、開口20とゲートバルブ28とを介して、ボート13をロードロック室15から処理炉14の処理室内に導入したり、処理炉14の処理室からボート13を取り出すことができるようになっている。   An opening 20 is provided in the ceiling wall 27 between the processing furnace 14 and the boat chamber 22, and a gate valve 28 is attached to the opening 20. In the substrate processing apparatus 1, the boat 13 can be introduced into the processing chamber of the processing furnace 14 from the load lock chamber 15 or taken out from the processing chamber of the processing furnace 14 through the opening 20 and the gate valve 28. It is like that.

昇降機構室21には、ボート13を上下方向の移動させる昇降機構16が設けられている。昇降機構16は移動ブロック30、ボールネジ31、ガイド32、ベアリング33,34、モータ35、磁気シールユニット36を主な構成部品として構成されている。ボールネジ31及びガイド32は、底壁37に取り付けられた基台38と天井壁27に取り付けられた基台39との間に垂直に設けられている。ボールネジ31の下端は基台38内に取り付けられたベアリング34によって回転可能に支持され、かつ、ボールネジ31の上端は基台39内に取り付けられたベアリング33によって回転可能に支持されており、ボールネジ31の先端は磁気シールユニット36に取り付けられている。   The lifting mechanism chamber 21 is provided with a lifting mechanism 16 that moves the boat 13 in the vertical direction. The elevating mechanism 16 includes a moving block 30, a ball screw 31, a guide 32, bearings 33 and 34, a motor 35, and a magnetic seal unit 36 as main components. The ball screw 31 and the guide 32 are provided vertically between a base 38 attached to the bottom wall 37 and a base 39 attached to the ceiling wall 27. The lower end of the ball screw 31 is rotatably supported by a bearing 34 attached in the base 38, and the upper end of the ball screw 31 is rotatably supported by a bearing 33 attached in the base 39. Is attached to a magnetic seal unit 36.

ボールネジ31は磁気シールユニット36を介してモータ35に接続されている。モータ35の作動でボールネジ31が回転すると、ボールネジ31に螺合して取り付けられているナット40と一体の移動ブロック30が上下動し、それによって移動ブロック30に取り付けられているアーム41が上下動してボート13を上下動するようになっている。   The ball screw 31 is connected to the motor 35 via the magnetic seal unit 36. When the ball screw 31 is rotated by the operation of the motor 35, the moving block 30 integrated with the nut 40 screwed to the ball screw 31 moves up and down, and thereby the arm 41 attached to the moving block 30 moves up and down. The boat 13 is moved up and down.

ボート13はアーム41上に取り付けられたシールキャップ18上に載置されている。アーム41は、平面U字状であり、そのアーム41の内側に第1冷却壁23aが配置されている。アーム41の一側方に第2冷却壁23bが配置されている。移動ブロック30には、ナット40に加えてガイド32用のガイド孔42も設けられており、ナット40はガイド32と共働して移動ブロック30の上下動を案内するようになっている。   The boat 13 is placed on a seal cap 18 attached on the arm 41. The arm 41 has a plane U shape, and the first cooling wall 23 a is disposed inside the arm 41. A second cooling wall 23 b is disposed on one side of the arm 41. The moving block 30 is provided with a guide hole 42 for the guide 32 in addition to the nut 40, and the nut 40 cooperates with the guide 32 to guide the vertical movement of the moving block 30.

なお、本実施例ではボールネジ31、ガイド32、ベアリング33、34、を昇降機構室21内に設置するように記載しているが、これらの昇降機構は昇降機構室21の外部に設けてもよく、昇降機構を外部に設けた場合には、ボールネジ31やガイド32に昇降シャフトとなる部材を取り付け、当該昇降シャフトにアーム41を取付けるように構成されても良い。   In the present embodiment, the ball screw 31, the guide 32, and the bearings 33 and 34 are described as being installed in the elevating mechanism chamber 21, but these elevating mechanisms may be provided outside the elevating mechanism chamber 21. When the elevating mechanism is provided outside, a member serving as an elevating shaft may be attached to the ball screw 31 or the guide 32, and the arm 41 may be attached to the elevating shaft.

冷却壁23は、図5の(a),(b)に示すように熱伝導性の良好な材質例えばアルミ製の金属板23xからなり、その金属板23xの内部に冷媒(例えば水)を流す流通路23yを配置して構成されている。なお、金属板23xの表面は熱吸収性の良い表面色(例えば黒色)とされていることが好ましい。   As shown in FIGS. 5A and 5B, the cooling wall 23 is made of a metal plate 23x made of a material having good thermal conductivity, for example, aluminum, and a coolant (for example, water) flows through the metal plate 23x. The flow path 23y is arranged. In addition, it is preferable that the surface of the metal plate 23x has a surface color with good heat absorption (for example, black).

ロードロック室15の一端側の側壁24c側には、冷却ガス供給部として、冷却ガス(例えばN等)の不活性ガスをロードロック室15に供給する不活性ガス供給ライン44が接続されている。不活性ガス供給ライン44は、不活性ガス供給管44a〜44fを備えている。不活性ガス供給管44aは2本の不活性ガス供給管44b,44cに分岐している。不活性ガス供給管44b,44cはそれぞれ側壁24cを貫通してロードロック室15内に至っており、そのロードロック室15内で垂直方向に延在する不活性ガス供給部である不活性ガス供給管44d,44eに連通している。不活性ガス供給管44d,44eにはそれぞれ複数の噴射孔45が垂直方向に設けられている。 An inert gas supply line 44 that supplies an inert gas such as a cooling gas (for example, N 2 ) to the load lock chamber 15 is connected to the side wall 24c on one end side of the load lock chamber 15 as a cooling gas supply unit. Yes. The inert gas supply line 44 includes inert gas supply pipes 44a to 44f. The inert gas supply pipe 44a is branched into two inert gas supply pipes 44b and 44c. Each of the inert gas supply pipes 44b and 44c penetrates the side wall 24c and reaches the load lock chamber 15, and the inert gas supply pipe that is an inert gas supply section extending in the vertical direction in the load lock chamber 15 is provided. 44d and 44e. The inert gas supply pipes 44d and 44e are each provided with a plurality of injection holes 45 in the vertical direction.

図6は不活性ガス供給管44d,44eの一例を示す斜視図である。左右の不活性ガス供給管44d,44eは、上部と下部が保持枠51a,51bで保持されている。上下の保持枠51a,51bで保持された部分の不活性ガス供給管44d,44eの噴射孔45からボート13の基部側に不活性ガスを噴射供給するようになっている。また、一方の不活性ガス供給管44dは上部の保持枠51aよりも上方に延長した延長部44dwを有しており、この延長部44dwの噴射孔45からボート13上のウエハ6に不活性ガスを噴射供給するようになっている。   FIG. 6 is a perspective view showing an example of the inert gas supply pipes 44d and 44e. Upper and lower portions of the left and right inert gas supply pipes 44d and 44e are held by holding frames 51a and 51b. The inert gas is injected and supplied to the base side of the boat 13 from the injection holes 45 of the inert gas supply pipes 44d and 44e of the portions held by the upper and lower holding frames 51a and 51b. One inert gas supply pipe 44d has an extension 44dw extending upward from the upper holding frame 51a, and the inert gas is supplied to the wafer 6 on the boat 13 from the injection hole 45 of the extension 44dw. It is designed to supply the spray.

不活性ガス供給ライン44の途中には流量計59が設けられており、不活性ガス供給ライン44からロードロック室15への不活性ガスの供給量を調整することができるようになっている。不活性ガス供給ライン44から流入した不活性ガスは、不活性ガス供給管44d,44eの各噴射孔45からシャワー方式でボート13とウエハ6とに向かって供給されるようになっており、その後は第1冷却壁23a、第2冷却壁23bに衝突するようになっている。   A flow meter 59 is provided in the middle of the inert gas supply line 44 so that the amount of inert gas supplied from the inert gas supply line 44 to the load lock chamber 15 can be adjusted. The inert gas flowing in from the inert gas supply line 44 is supplied toward the boat 13 and the wafer 6 by the shower method from the injection holes 45 of the inert gas supply pipes 44d and 44e. Collides with the first cooling wall 23a and the second cooling wall 23b.

不活性ガスは常温であるが、冷却されていても良い。不活性ガスはボート13とウエハ6を通過する際にこれらを冷却し、これらから熱を奪うことにより昇温するが、直後に第1冷却壁23a、第2冷却壁23bに衝突することで冷却される。ロードロック室15の他方の側壁24bと第1冷却壁23aとの間には不活性ガスがボート室22側から昇降機構室21側へ流通し得る隙間46が形成されている。   The inert gas is at room temperature, but may be cooled. The inert gas cools the boat 13 and the wafer 6 as they pass through, and heats them up by taking heat away from them. Immediately thereafter, the inert gas cools down by colliding with the first cooling wall 23a and the second cooling wall 23b. Is done. A gap 46 is formed between the other side wall 24b of the load lock chamber 15 and the first cooling wall 23a so that an inert gas can flow from the boat chamber 22 side to the lifting mechanism chamber 21 side.

冷却壁23が、予備室15内を、昇降機構16を収容する昇降機構室21と、ボート13を収容するボート室22とに仕切るように配置され、不活性ガス供給ライン44から冷却壁23に供給されて冷却壁23に衝突し、ボート室22側へ反射する際に冷却壁23によって冷却されるようになっている。   The cooling wall 23 is arranged so as to partition the preliminary chamber 15 into an elevating mechanism chamber 21 that accommodates the elevating mechanism 16 and a boat chamber 22 that accommodates the boat 13, and the inert gas supply line 44 is connected to the cooling wall 23. It is supplied and collides with the cooling wall 23 and is cooled by the cooling wall 23 when reflected to the boat chamber 22 side.

ロードロック室15の他端側の側壁24dには、これを貫通する真空排気ライン47が設けられている。真空排気ライン47の途中にはエアバルブ48が設けられている。真空排気ライン47のエアバルブ48の手前側には大気圧ベントライン49が接続されている。大気圧ベントライン49の先端は実質的に大気圧となっている。   The side wall 24d on the other end side of the load lock chamber 15 is provided with a vacuum exhaust line 47 penetrating therethrough. An air valve 48 is provided in the middle of the vacuum exhaust line 47. An atmospheric pressure vent line 49 is connected to the front side of the air valve 48 of the vacuum exhaust line 47. The tip of the atmospheric pressure vent line 49 is substantially at atmospheric pressure.

大気圧ベントライン49の途中にはエアバルブ50が設けられている。基板処理装置1では、エアバルブ48,50により、真空排気ライン47と大気圧ベントライン49との間で排気を切り換えることができるようになっている。真空排気ライン47には真空ポンプ(図示せず)が接続されている。   An air valve 50 is provided in the middle of the atmospheric pressure vent line 49. In the substrate processing apparatus 1, the air can be switched between the vacuum exhaust line 47 and the atmospheric pressure vent line 49 by the air valves 48 and 50. A vacuum pump (not shown) is connected to the vacuum exhaust line 47.

なお、処理炉14には、処理炉14の処理室に処理ガスを供給するガス供給ラインと、処理室に不活性ガスを供給する不活性ガス供給ラインとが接続されており、エアバルブを開けた状態で真空ポンプを作動させると、処理ガス又は不活性ガスを処理室内に供給しながらその処理室のガス雰囲気を真空排気ラインから排気することができるようになっている(図示せず)。   The processing furnace 14 is connected to a gas supply line for supplying a processing gas to the processing chamber of the processing furnace 14 and an inert gas supply line for supplying an inert gas to the processing chamber, and the air valve is opened. When the vacuum pump is operated in this state, the gas atmosphere in the processing chamber can be exhausted from the vacuum exhaust line while supplying the processing gas or the inert gas into the processing chamber (not shown).

基板処理装置1では、処理炉14に関する加熱手段やガス供給ライン、エアバルブ48,50、真空ポンプ、流量計59、圧力計60等の制御は制御装置57よって行われ、流量計59からの各流量情報、圧力計からの圧力情報等は制御装置57を介して表示装置58によって表示されるようになっている。   In the substrate processing apparatus 1, the control unit 57 controls the heating means and the gas supply line for the processing furnace 14, the air valves 48 and 50, the vacuum pump, the flow meter 59, the pressure gauge 60, and the like. Information, pressure information from the pressure gauge, and the like are displayed on the display device 58 via the control device 57.

SiCエピタキシャル膜を成膜する基板処理装置1を構成する各部の制御構成について説明すると、図7に示すように温度制御部52、ガス流量制御部53、圧力制御部54、駆動制御部55は、操作部及び入出力部を構成し、基板処理装置1全体を制御する主制御部56に電気的に接続されている。また、温度制御部52、ガス流量制御部53、圧力制御部54、駆動制御部55は、制御装置57として構成されている。   The control configuration of each part constituting the substrate processing apparatus 1 for forming the SiC epitaxial film will be described. As shown in FIG. 7, the temperature control unit 52, the gas flow rate control unit 53, the pressure control unit 54, and the drive control unit 55 are An operation unit and an input / output unit are configured and electrically connected to a main control unit 56 that controls the entire substrate processing apparatus 1. Further, the temperature control unit 52, the gas flow rate control unit 53, the pressure control unit 54, and the drive control unit 55 are configured as a control device 57.

次に、上記のような構成の基板処理装置1を用いてウエハ6に成膜処理を行う方法について説明する。なお、基板処理装置1では、処理炉14に関する加熱手段やガス供給ライン、エアバルブ48,50、真空ポンプ、流量計59、圧力計60等の制御は制御装置57よって行われ、流量計59からの流量情報、圧力計60からの圧力情報等は制御装置57を介して表示装置58によって表示される。   Next, a method for forming a film on the wafer 6 using the substrate processing apparatus 1 having the above-described configuration will be described. In the substrate processing apparatus 1, the control unit 57 controls the heating means and the gas supply line related to the processing furnace 14, the air valves 48 and 50, the vacuum pump, the flow meter 59, the pressure gauge 60, and the like. Flow rate information, pressure information from the pressure gauge 60, and the like are displayed on the display device 58 via the control device 57.

(ステップS1)
まず、ゲートバルブ28を閉じた状態で処理炉14の処理室内を所定の温度と雰囲気に保っておく。この状態において、ゲートバルブ26を開放状態にする。この時、エアバルブ48,50は閉じておく。その後、開口25とゲートバルブ26とを介して、ロードロック室15外部の大気圧雰囲気からボート13上に複数のウエハ6を搭載する。
(Step S1)
First, the processing chamber of the processing furnace 14 is maintained at a predetermined temperature and atmosphere with the gate valve 28 closed. In this state, the gate valve 26 is opened. At this time, the air valves 48 and 50 are closed. Thereafter, a plurality of wafers 6 are mounted on the boat 13 from the atmospheric pressure atmosphere outside the load lock chamber 15 through the opening 25 and the gate valve 26.

(ステップS2)
その後、ゲートバルブ26を閉じる。エアバルブ50を閉じたままでエアバルブ48を開き、ロードロック室15内を真空排気ライン47を介して真空引きする。
(Step S2)
Thereafter, the gate valve 26 is closed. While the air valve 50 is closed, the air valve 48 is opened, and the load lock chamber 15 is evacuated through the vacuum exhaust line 47.

(ステップS3)
その後、エアバルブ48を閉じ、ロードロック室15内が大気圧以上になるまで不活性ガス供給ライン44から不活性ガスを供給してロードロック室15を不活性ガス雰囲気にする。その後、不活性ガス供給ライン44から不活性ガスを供給した状態で、エアバルブ50を開き、大気圧ベントライン49から不活性ガスを排気する。
(Step S3)
Thereafter, the air valve 48 is closed, and an inert gas is supplied from the inert gas supply line 44 until the inside of the load lock chamber 15 becomes atmospheric pressure or higher, thereby bringing the load lock chamber 15 into an inert gas atmosphere. Thereafter, with the inert gas supplied from the inert gas supply line 44, the air valve 50 is opened and the inert gas is exhausted from the atmospheric pressure vent line 49.

この際、大気圧ベントライン49からのパーティクルや酸素の逆流を防止するため、ロードロック室15内が大気圧より若干陽圧(0.05kgf/cm2 G程度)となるように、流量計59により不活性ガス供給ライン44から供給する不活性ガスの流量を制御する。流量計59の流量の制御は、圧力計60から入力されたロードロック室15内の圧力情報に応じて制御装置57によって行われる。 At this time, in order to prevent the backflow of particles and oxygen from the atmospheric pressure vent line 49, the flow meter 59 is set so that the inside of the load lock chamber 15 is slightly positive pressure (about 0.05 kgf / cm 2 G) from the atmospheric pressure. Is used to control the flow rate of the inert gas supplied from the inert gas supply line 44. Control of the flow rate of the flow meter 59 is performed by the control device 57 in accordance with pressure information in the load lock chamber 15 input from the pressure gauge 60.

(ステップS4)
その後、不活性ガス供給ライン44から不活性ガスを供給しつつ大気圧ベントライン49から不活性ガスを排気する。この状態でゲートバルブ28を開き、昇降機構16によりボート13を上昇させて処理炉14の処理室内に導入する。
(Step S4)
Thereafter, the inert gas is exhausted from the atmospheric pressure vent line 49 while supplying the inert gas from the inert gas supply line 44. In this state, the gate valve 28 is opened, and the boat 13 is lifted by the lifting mechanism 16 and introduced into the processing chamber of the processing furnace 14.

ゲートバルブ28を開く際には、圧力計60からのロードロック室15内の圧力情報を制御装置57に入力し、測定したロードロック室15内の圧力値と、予め設定した所定の圧力値又は処理炉14の処理室内の圧力値とを比較し、流量計59を制御装置57で制御することによってロードロック室15内の圧力を制御して、処理炉14の処理室内とロードロック室15内との圧力差をできるだけなくすように圧力制御する。大気圧ベントライン49に流量計を設けて大気圧ベントライン49の流量を調整することによってロードロック室15内の圧力を調整することもできる。   When opening the gate valve 28, the pressure information in the load lock chamber 15 from the pressure gauge 60 is input to the control device 57, and the measured pressure value in the load lock chamber 15 and a predetermined pressure value set in advance or The pressure value in the processing chamber of the processing furnace 14 is compared with the pressure value in the processing chamber 14 and the pressure in the load lock chamber 15 is controlled by controlling the flow meter 59 with the control device 57. The pressure is controlled so as to eliminate the pressure difference as much as possible. The pressure in the load lock chamber 15 can be adjusted by providing a flow meter in the atmospheric pressure vent line 49 and adjusting the flow rate of the atmospheric pressure vent line 49.

このように、不活性ガス供給ライン44から不活性ガスを供給しつつ大気圧ベントライン49から不活性ガスを排気する場合には、大気圧ベントライン49からのパーティクルや酸素の逆流を防止するため、ロードロック室15内をベント側(略大気圧)より若干陽圧(0.05kgf/cm2 G程度)となるように設定することが望まれる。 As described above, when the inert gas is exhausted from the atmospheric pressure vent line 49 while supplying the inert gas from the inert gas supply line 44, in order to prevent the backflow of particles and oxygen from the atmospheric pressure vent line 49. It is desirable to set the inside of the load lock chamber 15 to be slightly positive pressure (about 0.05 kgf / cm 2 G) from the vent side (substantially atmospheric pressure).

なお、ゲートバルブ28を開いてボート13を処理炉14の処理室内に導入(ボートローディング)する際に、ロードロック室15の内壁は処理炉14の処理室から漏れ出る輻射熱を受けるが、その輻射熱は常温のボート13やそれに搭載されたウエハ6等で遮られ、ロードロック室15の表面温度の上昇は抑えられる。   When the gate valve 28 is opened and the boat 13 is introduced into the processing chamber of the processing furnace 14 (boat loading), the inner wall of the load lock chamber 15 receives radiant heat leaking from the processing chamber of the processing furnace 14. Is blocked by the room temperature boat 13 and the wafer 6 mounted on the boat 13, and the rise in the surface temperature of the load lock chamber 15 is suppressed.

(ステップS5)
その後、ゲートバルブ28を閉じ、処理炉14の処理室において処理ガスを供給しながらボート13に搭載されたウエハ6を加熱し、ウエハ6に成膜処理を行う。成膜処理中、ロードロック室15では、不活性ガス供給ライン44から不活性ガスを供給しつつ大気圧ベントライン49から不活性ガスを排気する。
(Step S5)
Thereafter, the gate valve 28 is closed, the wafer 6 mounted on the boat 13 is heated while supplying a processing gas in the processing chamber of the processing furnace 14, and a film forming process is performed on the wafer 6. During the film forming process, in the load lock chamber 15, the inert gas is exhausted from the atmospheric pressure vent line 49 while supplying the inert gas from the inert gas supply line 44.

その際、大気圧ベントライン49からのパーティクルや酸素の逆流を防止するため、ロードロック室15を大気圧より若干陽圧(0.05kgf/cm2 G程度)となるように、流量計59により不活性ガス供給ライン44から供給する不活性ガスの流量を制御する。ステップS5では、上記の通りに処理炉14の処理室内においてウエハ6の成膜処理を行うが、その成膜条件として処理炉14の処理室内の温度,圧力を厳密に制御することが重要になる。 At that time, in order to prevent the backflow of particles and oxygen from the atmospheric pressure vent line 49, the flow meter 59 is used so that the load lock chamber 15 is slightly positive pressure (about 0.05 kgf / cm 2 G) from the atmospheric pressure. The flow rate of the inert gas supplied from the inert gas supply line 44 is controlled. In step S5, the wafer 6 is formed in the processing chamber of the processing furnace 14 as described above, but it is important to strictly control the temperature and pressure in the processing chamber of the processing furnace 14 as the film forming conditions. .

本実施の形態では、処理炉14の処理室内の圧力制御方法として、不活性ガスバラスト方式を採用する。「不活性バラスト方式」とは、真空ポンプの排気能力を一定として排気する一方で、真空排気ライン47の途中に接続された不活性ガスバラスト配管から不活性ガスを流入させ、この不活性ガスの流量を流量計により制御することによって処理炉14の処理室からの排気量を調整して処理炉14の処理室内の圧力調整を行う方法である。   In the present embodiment, an inert gas ballast system is adopted as a pressure control method in the processing chamber of the processing furnace 14. In the “inert ballast system”, while exhausting the vacuum pump at a constant exhaust capacity, an inert gas is introduced from an inert gas ballast pipe connected in the middle of the vacuum exhaust line 47, and the inert gas In this method, the pressure in the processing chamber of the processing furnace 14 is adjusted by adjusting the exhaust amount from the processing chamber of the processing furnace 14 by controlling the flow rate with a flow meter.

なお、不活性ガスバラスト方式に代えて、流量調整バルブ使用(APC)方式を使用することもできる。「APC方式」とは、不活性ガスバラスト配管から不活性ガスを導入するものではなく、真空排気ライン47に流量調整バルブを設け、流量調整バルブの開度により真空排気ライン47のコンダクタンスを調整して処理炉14の処理室内の圧力調整を行う方法である。   In place of the inert gas ballast method, a flow rate adjusting valve use (APC) method may be used. The “APC system” does not introduce inert gas from the inert gas ballast pipe, but a flow adjustment valve is provided in the vacuum exhaust line 47, and the conductance of the vacuum exhaust line 47 is adjusted by the opening of the flow adjustment valve. In this method, the pressure in the processing chamber of the processing furnace 14 is adjusted.

(ステップS6)
処理炉14の処理室での成膜処理が終了した後に、処理炉14の処理室内の雰囲気を不活性ガス雰囲気とする。その一方で、不活性ガス供給ライン44からロードロック室15内に不活性ガスを供給しつつ大気圧ベントライン49から排気し続けておき、ロードロック室15内を不活性ガス雰囲気に維持しておく。
(Step S6)
After the film forming process in the processing chamber of the processing furnace 14 is completed, the atmosphere in the processing chamber of the processing furnace 14 is changed to an inert gas atmosphere. On the other hand, while the inert gas is being supplied from the inert gas supply line 44 into the load lock chamber 15, the exhaust gas is continuously exhausted from the atmospheric pressure vent line 49 to maintain the load lock chamber 15 in an inert gas atmosphere. deep.

この状態で、ゲートバルブ28を開き、昇降機構16によりボート13を下降させて処理炉14の処理室からロードロック室15内に移動させ、その後ゲートバルブ28を閉じる。ロードロック室15では、不活性ガス供給ライン44から不活性ガス(冷却ガス)が供給されているから、ボート13がロードロック室15に移動すると、当該不活性ガスがボート13やそれに搭載されたウエハ6等を冷却する。   In this state, the gate valve 28 is opened, the boat 13 is lowered by the elevating mechanism 16 and moved from the processing chamber of the processing furnace 14 into the load lock chamber 15, and then the gate valve 28 is closed. In the load lock chamber 15, the inert gas (cooling gas) is supplied from the inert gas supply line 44. Therefore, when the boat 13 moves to the load lock chamber 15, the inert gas is mounted on the boat 13 and the boat 13. The wafer 6 and the like are cooled.

なお、ゲートバルブ28を開く際には、流量計59の流量を制御することによってロードロック室15内の圧力を制御して、処理炉14の処理室内とロードロック室15内との圧力差をできるだけなくすように圧力制御することが好ましい。   When opening the gate valve 28, the pressure in the load lock chamber 15 is controlled by controlling the flow rate of the flow meter 59, and the pressure difference between the processing chamber of the processing furnace 14 and the load lock chamber 15 is set. It is preferable to control the pressure as much as possible.

ゲートバルブ28が開いてボート13が処理炉14の処理室からロードロック室15に下降(ボートアンローディング)する際や下降後においてロードロック室15に滞在する際に、ボート13やそれに搭載されたウエハ6等は輻射熱を発するが、ロードロック室15内にはボート13を挟んで一側に冷却壁23が、他側に不活性ガス供給管44d、44eが設けられており、不活性ガス供給管44d、44eの噴射孔45から冷却気体である不活性ガスをボート13及びそれに搭載されたウエハ6に噴射するため、これらボート13及びウエハ6からの輻射熱の温度を効果的に下げることができ、ロードロック室15の内壁および各種センサなどの部品類は輻射熱の影響をほとんど受けない。   When the gate valve 28 is opened and the boat 13 descends from the processing chamber of the processing furnace 14 to the load lock chamber 15 (boat unloading) or stays in the load lock chamber 15 after descending, the boat 13 and the boat 13 are mounted thereon. The wafer 6 and the like generate radiant heat, but the load lock chamber 15 is provided with a cooling wall 23 on one side and the inert gas supply pipes 44d and 44e on the other side with the boat 13 in between. Since the inert gas, which is a cooling gas, is injected from the injection holes 45 of the tubes 44d and 44e onto the boat 13 and the wafer 6 mounted thereon, the temperature of the radiant heat from the boat 13 and the wafer 6 can be effectively lowered. The parts such as the inner wall of the load lock chamber 15 and various sensors are hardly affected by radiant heat.

なお、不活性ガス供給ライン44から供給された不活性ガスは輻射熱を受けて温められるが、その不活性ガスは冷却壁23に衝突することにより冷却されるため、ボート13及びボートに搭載されたウエハ6からの輻射熱を再度奪ってこれらを効率よく冷却することができる。   The inert gas supplied from the inert gas supply line 44 is warmed by receiving radiant heat. However, since the inert gas is cooled by colliding with the cooling wall 23, it is mounted on the boat 13 and the boat. The radiant heat from the wafer 6 can be taken again to cool them efficiently.

(ステップS7)
その後、不活性ガス供給ライン44から不活性ガスを供給しつつ大気圧ベントライン49から不活性ガスを排気しながら、ゲートバルブ26を開き、開口25とゲートバルブ26とを介して、ボート13からロードロック室15外部の大気圧雰囲気中に複数のウエハ6を取り出す。ゲートバルブ26を開く際も、流量計59の流量を制御することによってロードロック室15内の圧力を制御して、ロードロック室15内とロードロック室15外部の大気圧雰囲気との圧力差をできるだけなくすようにすることが好ましい。
(Step S7)
After that, while supplying the inert gas from the inert gas supply line 44 and exhausting the inert gas from the atmospheric pressure vent line 49, the gate valve 26 is opened, and the boat 13 is opened via the opening 25 and the gate valve 26. The plurality of wafers 6 are taken out into the atmospheric pressure atmosphere outside the load lock chamber 15. Even when the gate valve 26 is opened, the pressure in the load lock chamber 15 is controlled by controlling the flow rate of the flow meter 59, and the pressure difference between the load lock chamber 15 and the atmospheric pressure atmosphere outside the load lock chamber 15 is controlled. It is preferable to eliminate as much as possible.

以上の実施形態では、ロードロック室15内に冷却壁23が配置され、熱処理後のウエハ6をロードロック室15内で冷却する際に、不活性ガス供給管44d,44eの噴射孔45からボート13及びそれに搭載されたウエハ6に不活性ガスを噴射供給するため、ボート13及びウエハ6ならびにロードロック室15内を迅速に冷却することができ、ロードロック室15の内壁および各種センサなどの部品類はボート13及びウエハ6からの輻射熱をほとんど受けない。このため、ロードロック室15の内壁に水分や不純物等が付着していたとしても、これら水分や不純物等が蒸発してロードロック室15内に浮遊することはなく、ロードロック室に設けられた耐熱処理が施されていない各部品についてもダメージを軽減することができる。   In the above embodiment, the cooling wall 23 is disposed in the load lock chamber 15, and when the heat-treated wafer 6 is cooled in the load lock chamber 15, the boat is formed from the injection holes 45 of the inert gas supply pipes 44 d and 44 e. Since the inert gas is injected and supplied to the wafer 13 and the wafer 6 mounted thereon, the inside of the boat 13 and the wafer 6 and the load lock chamber 15 can be quickly cooled, and the inner wall of the load lock chamber 15 and components such as various sensors Class receives almost no radiant heat from the boat 13 and the wafer 6. For this reason, even if moisture or impurities adhere to the inner wall of the load lock chamber 15, these moisture and impurities do not evaporate and float in the load lock chamber 15. Damage can also be reduced for each component that has not been heat-treated.

また、不活性ガス供給管44d,44eの噴射孔45から供給された不活性ガスはボート13及びウエハ6を通過した後に冷却壁23を構成する第1冷却壁23a及び第2冷却壁23bに衝突するため、これら第1冷却壁23a及び第2冷却壁23bによって冷却されることになる。そして、第1冷却壁23a及び第2冷却壁23bに衝突してボート室22内に反射した不活性ガス(冷却ガス)はボート室22を再度冷却しつつ第1冷却壁23aと第2冷却壁23bのスリット70や隙間46を通り昇降機構室21内に流入して昇降機構16を冷却し、昇降機構室21から大気圧ベントライン49を介して排気される。   Further, the inert gas supplied from the injection holes 45 of the inert gas supply pipes 44d and 44e collides with the first cooling wall 23a and the second cooling wall 23b constituting the cooling wall 23 after passing through the boat 13 and the wafer 6. Therefore, the first cooling wall 23a and the second cooling wall 23b are used for cooling. And the inert gas (cooling gas) which collided with the 1st cooling wall 23a and the 2nd cooling wall 23b and reflected in the boat chamber 22 cooled the boat chamber 22 again, and the 1st cooling wall 23a and the 2nd cooling wall The elevating mechanism chamber 21 flows into the elevating mechanism chamber 21 through the slit 70 and the gap 46 of 23 b to cool the elevating mechanism 16, and is exhausted from the elevating mechanism chamber 21 through the atmospheric pressure vent line 49.

このように不活性ガスによりロードロック室15内の雰囲気を効果的に冷却して排気することができるため、高温でのボートアンロードが可能となると共に、ロードロック室15内での熱対流の発生を抑えることができ、ロードロック室15の内壁に付着していた水分や不純物等が仮にロードロック室15中に浮遊して当該不活性ガスと混在されたとしても、その水分や不純物等はロードロック室15中を飛散し難くなる。   As described above, the atmosphere in the load lock chamber 15 can be effectively cooled and exhausted by the inert gas, so that boat unloading at a high temperature is possible, and thermal convection in the load lock chamber 15 is prevented. Even if moisture, impurities, etc. adhering to the inner wall of the load lock chamber 15 float in the load lock chamber 15 and are mixed with the inert gas, the moisture, impurities, etc. It becomes difficult to scatter in the load lock chamber 15.

以上から、ロードロック室15の内壁に付着していた水分や不純物等が熱処理後のウエハ6やそれを搭載するボート13等に付着するのを防止又は抑止することができ、ひいては製品用のウエハ6が汚染されるのを防止又は抑止することができる。   From the above, it is possible to prevent or inhibit moisture, impurities, etc. adhering to the inner wall of the load lock chamber 15 from adhering to the wafer 6 after heat treatment, the boat 13 on which it is mounted, etc. 6 can be prevented or suppressed from being contaminated.

また、上述した実施例ではSiCエピタキシャル膜の成膜装置について説明したが、同様の構成を有する熱処理装置であるSiCアニール装置では、炉口部周辺に炉口がシールされたか等を検知する各種センサが設けられており、更に他の膜種と異なって基板処理温度が1500〜2000℃と高温であるため、炉口部周辺温度も通常の縦型装置に比べて高くなってしまうため、各種センサが壊れ易くなってしまうのを防止することができる。   In the above-described embodiments, the SiC epitaxial film forming apparatus has been described. However, in the SiC annealing apparatus, which is a heat treatment apparatus having the same configuration, various sensors for detecting whether the furnace port is sealed around the furnace port. In addition, unlike other film types, the substrate processing temperature is as high as 1500 to 2000 ° C., so the temperature around the furnace port becomes higher than that of a normal vertical apparatus. Can be prevented from being easily broken.

基板処理温度が高いため、降温にも時間がかかってしまうことから、出来るだけ高い温度例えば1000℃でボートをアンロードする必要があるが、高温でアンロードを行うとロードロック室に設けられている各部がボートやウエハからの放射熱に耐えられない。そこで、ボートダウンをしているときは、ロードロック室15内の圧力は大気圧と同等とされ、冷却ガスを供給することによりロードロック室15内の圧力を高くし、ロードロック室15内から高温の雰囲気を迅速に押し出すことができる。   Since the substrate processing temperature is high, it takes time to lower the temperature, so it is necessary to unload the boat at a temperature as high as possible, for example, 1000 ° C. However, if the unloading is performed at a high temperature, the load lock chamber is provided. Each part cannot withstand radiant heat from boats and wafers. Therefore, when the boat is down, the pressure in the load lock chamber 15 is equal to the atmospheric pressure, and the pressure in the load lock chamber 15 is increased by supplying the cooling gas. High temperature atmosphere can be pushed out quickly.

以上により、ウエハの処理後の降温時間の短縮及び輻射熱によるロードロック室内の部品のダメージの緩和が図れる。すなわち、従来ではボートアンロード(約1000℃)後、ウエハの取り出し(約100℃)までかかる時間が約2時間程度かかっていたのが、本実施の形態によれば約1/5の時間まで短縮することが可能となった。また、ロードロック室15内の部品(センサー等)に対して熱ダメージからの保護が可能となる。更に、ロードロック室内での熱による金属汚染や有機汚染の発生を抑えることができる。   As described above, it is possible to shorten the temperature drop time after the processing of the wafer and alleviate damage to components in the load lock chamber due to radiant heat. That is, in the prior art, it took about 2 hours from boat unloading (about 1000 ° C.) to taking out the wafer (about 100 ° C.), but according to the present embodiment, it takes about 1/5 time. It became possible to shorten. In addition, it is possible to protect the components (sensors and the like) in the load lock chamber 15 from thermal damage. Furthermore, the occurrence of metal contamination and organic contamination due to heat in the load lock chamber can be suppressed.

以上は、本発明の好ましい一実施形態を述べたに過ぎず、本発明は上記実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。例えば、本発明は、半導体ウエハだけでなく、液晶表示素子を形成するためのガラス基板用ロードロック室にも対応することができる。   The above is only one preferred embodiment of the present invention, and the present invention is not limited to the above embodiment, and various modifications can be made without departing from the scope of the present invention. For example, the present invention can be applied not only to a semiconductor wafer but also to a glass substrate load lock chamber for forming a liquid crystal display element.

例えば、本実施の形態では縦型バッチ式の基板処理装置を用いて説明したが、枚葉型の処理装置に処理室から搬出された基板を冷却するスペース(冷却室)を設け、上述した構成を設けることで適用可能となることは言うまでも無い。   For example, in the present embodiment, the description has been given using the vertical batch type substrate processing apparatus. However, a space (cooling chamber) for cooling the substrate carried out of the processing chamber is provided in the single wafer processing apparatus, and the configuration described above is provided. Needless to say, it can be applied by providing.

以上、本発明を実施形態に沿って説明してきたが、ここで本発明の主たる態様を付記する。   As mentioned above, although this invention has been demonstrated along embodiment, the main aspect of this invention is added here.

[付記1]
基板を複数枚保持する基板保持具と、
該基板保持具を収容して基板を処理する処理室と、
該処理室と開口を介して気密に連通するように配置され、前記基板保持具を収容して基板の移載を行う予備室と、
前記基板保持具を前記処理室と前記予備室の間で昇降する昇降手段と、
前記予備室内の前記昇降手段と基板保持具の間に配置された冷却壁と、
前記基板保持具を挟んで前記冷却壁と対向する位置に設けられ、冷却ガスを基板保持具及び基板に供給してから前記冷却壁に衝突させる冷却ガス供給部とを有する基板処理装置。
[Appendix 1]
A substrate holder for holding a plurality of substrates;
A processing chamber for storing the substrate holder and processing the substrate;
A preparatory chamber that is arranged to communicate with the processing chamber in an airtight manner through the opening, accommodates the substrate holder, and transfers a substrate;
Elevating means for elevating and lowering the substrate holder between the processing chamber and the preliminary chamber;
A cooling wall disposed between the lifting means and the substrate holder in the preliminary chamber;
A substrate processing apparatus, comprising: a cooling gas supply unit that is provided at a position facing the cooling wall with the substrate holder interposed therebetween, and supplies a cooling gas to the substrate holder and the substrate and then collides with the cooling wall.

[付記2]
前記冷却壁が、前記予備室内を、前記昇降機構を収容する昇降機構室と、前記基板保持具を収容する基板保持具室とに仕切るように配置され、前記冷却ガス供給部から前記冷却壁に供給されて冷却壁に衝突し、基板保持具室側へ反射する際に冷却壁によって冷却されることを特徴とする付記1に記載の基板処理装置。
[Appendix 2]
The cooling wall is disposed so as to partition the preliminary chamber into an elevating mechanism chamber that accommodates the elevating mechanism and a substrate holder chamber that accommodates the substrate holder, and the cooling wall from the cooling gas supply unit to the cooling wall The substrate processing apparatus according to appendix 1, wherein the substrate processing apparatus is cooled by the cooling wall when it is supplied, collides with the cooling wall, and is reflected to the substrate holder chamber side.

[付記3]
前記基板は、1500〜2000℃で処理が行われる付記1または2に記載の基板処理装置。
[Appendix 3]
The substrate processing apparatus according to appendix 1 or 2, wherein the substrate is processed at 1500 to 2000 ° C.

[付記4]
基板を複数枚保持した基板保持具を処理室内に収容して基板を処理する工程と、
前記基板を処理する工程後、前記基板保持具を処理室と開口を介して気密に連通するように配置された予備室に昇降手段により搬出する工程と、
前記予備室に搬出された基板保持具と前記昇降手段との間に設けられた冷却壁に対し、該冷却壁と対向する位置から冷却ガスを供給して基板保持具及び基板を冷却すると共にその冷却ガスを冷却壁に衝突させる工程とを有する基板処理方法。
[Appendix 4]
Accommodating a substrate holder holding a plurality of substrates in a processing chamber and processing the substrate;
After the step of processing the substrate, a step of lifting the substrate holder by a lifting means to a preliminary chamber disposed so as to communicate with the processing chamber in an airtight manner through the opening;
A cooling gas is supplied from a position facing the cooling wall to the cooling wall provided between the substrate holder carried out to the preliminary chamber and the elevating means to cool the substrate holder and the substrate. And a step of causing the cooling gas to collide with the cooling wall.

[付記5]
前記処理室内で前記基板を熱処理する工程は、1500〜2000℃で行われる付記4に記載の基板処理方法。
[Appendix 5]
The substrate processing method according to appendix 4, wherein the step of heat-treating the substrate in the processing chamber is performed at 1500 to 2000 ° C.

[付記6]
基板を複数枚保持した基板保持具を処理室内に収容して基板を処理する工程と、
前記基板を処理する工程後、前記基板保持具を処理室と開口を介して気密に連通するように配置された予備室に昇降手段により搬出する工程と、
前記予備室に搬出された基板保持具と前記昇降手段との間に設けられた冷却壁に対し、該冷却壁と対向する位置から冷却ガスを供給して基板保持具及び基板を冷却すると共にその冷却ガスを冷却壁に衝突させる工程とを有する半導体装置の製造方法。
[Appendix 6]
Accommodating a substrate holder holding a plurality of substrates in a processing chamber and processing the substrate;
After the step of processing the substrate, a step of lifting the substrate holder by a lifting means to a preliminary chamber disposed so as to communicate with the processing chamber in an airtight manner through the opening;
A cooling gas is supplied from a position facing the cooling wall to the cooling wall provided between the substrate holder carried out to the preliminary chamber and the elevating means to cool the substrate holder and the substrate. A method of manufacturing a semiconductor device, the method comprising: causing a cooling gas to collide with a cooling wall.

[付記7]
処理室内に基板を搬入する工程と、
前記処理室内で前記基板を熱処理する工程と、
前記基板を処理する工程後、前記基板保持具を処理室と開口を介して気密に連通するように配置された予備室に昇降手段により搬出する工程と、
前記予備室に搬出された基板保持具と前記昇降手段との間に設けられた冷却壁に対し、該冷却壁と対向する位置から冷却ガスを供給して基板保持具及び基板を冷却すると共にその冷却ガスを冷却壁に衝突させる工程とを有する基板の製造方法。
[Appendix 7]
A step of carrying the substrate into the processing chamber;
Heat treating the substrate in the processing chamber;
After the step of processing the substrate, a step of lifting the substrate holder by a lifting means to a preliminary chamber disposed so as to communicate with the processing chamber in an airtight manner through the opening;
A cooling gas is supplied from a position facing the cooling wall to the cooling wall provided between the substrate holder carried out to the preliminary chamber and the elevating means to cool the substrate holder and the substrate. And a step of causing the cooling gas to collide with the cooling wall.

[付記8]
前記処理室内で前記基板を熱処理する工程は、1500〜2000℃で行われる付記7に記載の基板の製造方法。
[Appendix 8]
The method for manufacturing a substrate according to appendix 7, wherein the step of heat-treating the substrate in the processing chamber is performed at 1500 to 2000 ° C.

1 基板処理装置
6 ウエハ(基板)
13 ボート(基板保持具)
14 処理炉
15 ロードロック室(予備室)
16 昇降機構(昇降手段)
21 昇降機構室
22 基板保持具室
23 冷却壁
44 不活性ガス供給ライン(冷却ガス供給部)
1 Substrate Processing Device 6 Wafer (Substrate)
13 Boat (Substrate holder)
14 Processing furnace 15 Load lock room (spare room)
16 Lifting mechanism (lifting means)
21 Elevating mechanism chamber 22 Substrate holder chamber 23 Cooling wall 44 Inert gas supply line (cooling gas supply section)

Claims (3)

基板を複数枚保持する基板保持具と、
該基板保持具を収容して基板を処理する処理室と、
該処理室と開口を介して気密に連通するように配置され、前記基板保持具を収容して基板の移載を行う予備室と、
前記基板保持具を前記処理室と前記予備室の間で昇降する昇降手段と、
前記予備室内の前記昇降手段と基板保持具の間に配置された冷却壁と、
前記基板保持具を挟んで前記冷却壁と対向する位置に設けられ、冷却ガスを基板保持具及び基板に供給してから前記冷却壁に衝突させる冷却ガス供給部とを有する基板処理装置。
A substrate holder for holding a plurality of substrates;
A processing chamber for storing the substrate holder and processing the substrate;
A preparatory chamber that is arranged to communicate with the processing chamber in an airtight manner through the opening, accommodates the substrate holder, and transfers a substrate;
Elevating means for elevating and lowering the substrate holder between the processing chamber and the preliminary chamber;
A cooling wall disposed between the lifting means and the substrate holder in the preliminary chamber;
A substrate processing apparatus, comprising: a cooling gas supply unit that is provided at a position facing the cooling wall with the substrate holder interposed therebetween, and supplies a cooling gas to the substrate holder and the substrate and then collides with the cooling wall.
基板を複数枚保持した基板保持具を処理室内に収容して基板を処理する工程と、
前記基板を処理する工程後、前記基板保持具を処理室と開口を介して気密に連通するように配置された予備室に昇降手段により搬出する工程と、
前記予備室に搬出された基板保持具と前記昇降手段との間に設けられた冷却壁に対し、該冷却壁と対向する位置から冷却ガスを供給して基板保持具及び基板を冷却すると共にその冷却ガスを冷却壁に衝突させる工程とを有する基板処理方法。
Accommodating a substrate holder holding a plurality of substrates in a processing chamber and processing the substrate;
After the step of processing the substrate, a step of lifting the substrate holder by a lifting means to a preliminary chamber disposed so as to communicate with the processing chamber in an airtight manner through the opening;
A cooling gas is supplied from a position facing the cooling wall to the cooling wall provided between the substrate holder carried out to the preliminary chamber and the elevating means to cool the substrate holder and the substrate. And a step of causing the cooling gas to collide with the cooling wall.
基板を複数枚保持した基板保持具を処理室内に収容して基板を処理する工程と、
前記基板を処理する工程後、前記基板保持具を処理室と開口を介して気密に連通するように配置された予備室に昇降手段により搬出する工程と、
前記予備室に搬出された基板保持具と前記昇降手段との間に設けられた冷却壁に対し、該冷却壁と対向する位置から冷却ガスを供給して基板保持具及び基板を冷却すると共にその冷却ガスを冷却壁に衝突させる工程とを有する半導体装置の製造方法。
Accommodating a substrate holder holding a plurality of substrates in a processing chamber and processing the substrate;
After the step of processing the substrate, a step of lifting the substrate holder by a lifting means to a preliminary chamber disposed so as to communicate with the processing chamber in an airtight manner through the opening;
A cooling gas is supplied from a position facing the cooling wall to the cooling wall provided between the substrate holder carried out to the preliminary chamber and the elevating means to cool the substrate holder and the substrate. A method of manufacturing a semiconductor device, the method comprising: causing a cooling gas to collide with a cooling wall.
JP2012205651A 2012-09-19 2012-09-19 Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device Pending JP2014060327A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012205651A JP2014060327A (en) 2012-09-19 2012-09-19 Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012205651A JP2014060327A (en) 2012-09-19 2012-09-19 Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device

Publications (1)

Publication Number Publication Date
JP2014060327A true JP2014060327A (en) 2014-04-03

Family

ID=50616525

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012205651A Pending JP2014060327A (en) 2012-09-19 2012-09-19 Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP2014060327A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180016294A (en) 2016-08-04 2018-02-14 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus, program, fluid circulation mechanism, and manufacturing method of semiconductor device
JP2018538691A (en) * 2015-12-01 2018-12-27 ジルトロニック アクチエンゲゼルシャフトSiltronic AG Method for manufacturing a semiconductor wafer having an epitaxial layer in a deposition chamber, an apparatus for manufacturing a semiconductor wafer having an epitaxial layer, and a semiconductor wafer having an epitaxial layer
CN110042362A (en) * 2019-05-13 2019-07-23 杨卫正 A kind of multipurpose chemical vapor deposition unit
CN110408914A (en) * 2019-08-28 2019-11-05 理想晶延半导体设备(上海)有限公司 Tubular type depositing system
US11694907B2 (en) 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018538691A (en) * 2015-12-01 2018-12-27 ジルトロニック アクチエンゲゼルシャフトSiltronic AG Method for manufacturing a semiconductor wafer having an epitaxial layer in a deposition chamber, an apparatus for manufacturing a semiconductor wafer having an epitaxial layer, and a semiconductor wafer having an epitaxial layer
KR20180016294A (en) 2016-08-04 2018-02-14 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus, program, fluid circulation mechanism, and manufacturing method of semiconductor device
KR102012557B1 (en) * 2016-08-04 2019-08-20 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, program, fluid circulation mechanism, and manufacturing method of semiconductor device
US11694907B2 (en) 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism
CN110042362A (en) * 2019-05-13 2019-07-23 杨卫正 A kind of multipurpose chemical vapor deposition unit
CN110408914A (en) * 2019-08-28 2019-11-05 理想晶延半导体设备(上海)有限公司 Tubular type depositing system
CN110408914B (en) * 2019-08-28 2021-07-20 理想晶延半导体设备(上海)股份有限公司 Tubular deposition system

Similar Documents

Publication Publication Date Title
US7731797B2 (en) Substrate treating apparatus and semiconductor device manufacturing method
JP5564311B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and substrate manufacturing method
US20100154711A1 (en) Substrate processing apparatus
JP2012195565A (en) Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device
JP4809175B2 (en) Manufacturing method of semiconductor device
JP5902073B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP6688850B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
JP2012049342A (en) Apparatus and method of processing substrate
US20110207302A1 (en) Semiconductor device manufacturing method, and substrate processing method and apparatus
JPWO2007018139A1 (en) Semiconductor device manufacturing method and substrate processing apparatus
KR100996689B1 (en) Manufacturing method of semiconductor apparatus, film forming method and substrate processing apparatus
JP2014060327A (en) Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device
JP2010287877A (en) Heat treatment apparatus and method of heat treatment
JP2012023073A (en) Substrate processing device and method for manufacturing substrate
JP2010171101A (en) Method of manufacturing semiconductor apparatus, and substrate processing apparatus
US10676820B2 (en) Cleaning method and film forming method
JP2013197474A (en) Substrate processing method, semiconductor device manufacturing method and substrate processing apparatus
JP2013051374A (en) Substrate processing apparatus
JP2012204691A (en) Manufacturing method of semiconductor device and substrate processing device
JP2011187543A (en) Substrate processing apparatus, and method of manufacturing semiconductor device
JP4324632B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2012191191A (en) Substrate processing apparatus
JP2013045884A (en) Substrate processing apparatus
JP2012195355A (en) Substrate processing device and substrate manufacturing method
JPWO2019172274A1 (en) Manufacturing method of processing equipment, exhaust system, semiconductor equipment