JP2010171101A - Method of manufacturing semiconductor apparatus, and substrate processing apparatus - Google Patents

Method of manufacturing semiconductor apparatus, and substrate processing apparatus Download PDF

Info

Publication number
JP2010171101A
JP2010171101A JP2009010610A JP2009010610A JP2010171101A JP 2010171101 A JP2010171101 A JP 2010171101A JP 2009010610 A JP2009010610 A JP 2009010610A JP 2009010610 A JP2009010610 A JP 2009010610A JP 2010171101 A JP2010171101 A JP 2010171101A
Authority
JP
Japan
Prior art keywords
gas
processing chamber
processing
substrate
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009010610A
Other languages
Japanese (ja)
Other versions
JP5235142B2 (en
Inventor
Junichi Tanabe
潤一 田▲辺▼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2009010610A priority Critical patent/JP5235142B2/en
Priority to US12/410,836 priority patent/US8293592B2/en
Priority to KR1020090027649A priority patent/KR101070668B1/en
Publication of JP2010171101A publication Critical patent/JP2010171101A/en
Application granted granted Critical
Publication of JP5235142B2 publication Critical patent/JP5235142B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor apparatus and a substrate processing apparatus capable of growing an epitaxial film having a sufficient thickness with keeping selectivity and uniformity of film thickness in a substrate surface. <P>SOLUTION: A method at least includes: a step of carrying a substrate having at least a silicon exposure surface and an exposure surface of a silicon oxide film or a silicon nitride film on a surface into a processing chamber; a first gas supplying step of supplying a first processing gas that at least contains silicon, and a second processing gas of etching system together into the processing chamber in a state that the substrate in the processing chamber is heated to a predetermined temperature; and a second gas supplying step of supplying a third processing gas of etching system having a larger etching power than that of the second processing gas into the processing chamber. The first gas supplying step and the second gas supplying step are executed at least one or more times to selectively grow an epitaxial film on the silicon exposure surface of the substrate surface. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は半導体装置の製造方法及びその基板の処理装置に関し、特に、MOSFET(Metal-Oxide-Semiconductor Field Effect Transistor)の生産に用いられる基板のソース/ドレイン上へ選択的にシリコンエピタキシャル膜を成長する工程を備える半導体装置の製造方法及びその基板処理装置に関する。   The present invention relates to a semiconductor device manufacturing method and a substrate processing apparatus thereof, and more particularly, a silicon epitaxial film is selectively grown on a source / drain of a substrate used for production of a MOSFET (Metal-Oxide-Semiconductor Field Effect Transistor). The present invention relates to a method of manufacturing a semiconductor device including a process and a substrate processing apparatus thereof.

MOSFETの高集積化及び高性能化に伴い、基板特性の向上と微細化の両立が要求さ
れている。この両立を実現するために、MOSFETのソース/ドレインの課題として、
リーク電流低減及び低抵抗化などが求められており、これらの問題を解決する方法の一つ
としてソース/ドレイン上にシリコンエピタキシャル膜を選択成長させる方法がある。
Along with higher integration and higher performance of MOSFETs, both improvement of substrate characteristics and miniaturization are required. In order to realize this coexistence, as a problem of the source / drain of MOSFET,
Reduction of leakage current and reduction in resistance are required, and one method for solving these problems is a method of selectively growing a silicon epitaxial film on the source / drain.

従来行われてきた選択エピタキシャル膜の成長方法には、成膜ガスとエッチングガスを
同時供給する方法と、交互供給する方法の2つの方法がある。
Conventional selective epitaxial film growth methods include two methods: a method of simultaneously supplying a film forming gas and an etching gas, and a method of alternately supplying them.

成膜ガスとエッチングガスを同時供給する場合には、選択性と基板面内での膜厚均一性
の確保の両立が困難である。選択性を高めると基板の中央部が厚く周辺部が薄くなり均一
性が著しく低下する。また、均一性を確保すると、選択性が低下し絶縁膜上にも膜が成長
してしまうという問題があった。さらに、プロセスパラメータが非常に多くなるため、プ
ロセス制御が困難であるという問題や、成膜中にエッチングガスを導入することで成膜レ
ートが大幅に減少してしまうという問題があった。
In the case where the film forming gas and the etching gas are supplied simultaneously, it is difficult to achieve both selectivity and ensuring film thickness uniformity within the substrate surface. When the selectivity is increased, the central portion of the substrate is thick and the peripheral portion is thin, so that the uniformity is significantly lowered. Further, if uniformity is ensured, there is a problem that selectivity is lowered and a film grows on the insulating film. Furthermore, since the process parameters are very large, there are problems that the process control is difficult and that the film formation rate is greatly reduced by introducing an etching gas during the film formation.

一方、成膜ガスとエッチングガスを交互供給する場合には、成膜のみを行う成膜ステッ
プとエッチングのみを行うエッチングステップを有するが、成膜ステップでは、シリコン
上と絶縁膜上での成長開始時間の差を利用して選択成長を進めるために、選択性の確保で
きる条件が狭いという問題があった。さらに、エッチング力の強いガスによって絶縁膜上
に発生した核を取り除く際に、基板上の多結晶シリコン膜やシリコン基板等の膜質の違い
によるエッチング依存性よりエッチング量が異なり、必要以上にエッチングしてしまう部
分が発生してしまうという問題があった。
On the other hand, when the film forming gas and the etching gas are alternately supplied, there are a film forming step for performing only film forming and an etching step for performing only etching. In the film forming step, growth starts on the silicon and the insulating film. In order to advance selective growth using the time difference, there is a problem that the conditions under which selectivity can be secured are narrow. Furthermore, when removing nuclei generated on the insulating film by a gas with strong etching power, the etching amount differs depending on the etching dependency due to the difference in film quality of the polycrystalline silicon film, silicon substrate, etc. on the substrate, etching more than necessary. There was a problem that a part that would occur.

このため、従来の同時供給プロセスまたは交互供給プロセスでは、選択性及び基板面内
での膜厚均一性を保ちながら十分厚いエピタキシャル膜を成長させることが困難であった
For this reason, in the conventional simultaneous supply process or alternate supply process, it is difficult to grow a sufficiently thick epitaxial film while maintaining selectivity and film thickness uniformity within the substrate surface.

従って、本発明の主な目的は、選択性及び基板面内での膜厚均一性を保ちながら十分厚
いエピタキシャル膜を成長させることができる半導体装置の製造方法及び基板処理装置を提供することにある。
Accordingly, a main object of the present invention is to provide a method of manufacturing a semiconductor device and a substrate processing apparatus capable of growing a sufficiently thick epitaxial film while maintaining selectivity and film thickness uniformity in the substrate surface. .

本発明によれば、
表面に少なくともシリコン露出面とシリコン酸化膜もしくはシリコン窒化膜の露出面と
を備える基板を処理室内に搬入する工程と、
前記処理室内の前記基板を所定の温度に加熱した状態で前記処理室内に、少なくともシリコンを含む第1の処理ガスとエッチング系の第2の処理ガスとを共に供給する第1のガス供給工程と、
前記処理室内に、前記第2の処理ガスよりエッチング力の強いエッチング系の第3の処
理ガスを供給する第2のガス供給工程と、
を少なくとも含み、前記第1のガス供給工程と前記第2のガス供給工程とを少なくとも1回以上実施し、前記基板表面のシリコン露出面に選択的にエピタキシャル膜を成長させて
なる半導体装置の製造方法が提供される。
According to the present invention,
Carrying a substrate having at least a silicon exposed surface and an exposed surface of a silicon oxide film or a silicon nitride film on a surface thereof into a processing chamber;
A first gas supply step for supplying both a first processing gas containing at least silicon and a second processing gas of an etching system into the processing chamber in a state where the substrate in the processing chamber is heated to a predetermined temperature; ,
A second gas supply step of supplying a third processing gas of an etching system having an etching power stronger than that of the second processing gas into the processing chamber;
Of the semiconductor device, wherein the first gas supply step and the second gas supply step are performed at least once, and an epitaxial film is selectively grown on the silicon exposed surface of the substrate surface. A method is provided.

また本発明によれば、
基板を収容する処理室と、
前記基板を加熱する加熱機構と、
前記処理室内に所定の膜を形成するための処理ガスを供給するガス供給部と、
前記処理室内を所定の圧力とし前記処理室内の雰囲気を排気するガス排気部と、
少なくとも前記処理室内を第1の圧力とし、前記処理室内の前記基板を第1の温度に加熱した状態で、少なくともシリコンを含む第1の処理ガスとエッチング系の第2の処理ガスとを前記処理室内に供給し、前記基板のシリコン露出面に選択的に成膜し、
少なくとも前記処理室内を前記第1の圧力よりも高圧にし、若しくは前記処理室内の前記基板を第1の温度よりも高温にし、または前記第2の処理ガスより第2の処理ガスよりエッチング力の強いエッチング系の第3の処理ガスを供給し、前記シリコン酸化膜もしくは前記シリコン窒化膜上のシリコン核を除去するよう前記加熱機構及び前記ガス供給部、前記ガス排気部とを制御する制御部とが構成される基板処理装置が提供される。
Also according to the invention,
A processing chamber for accommodating the substrate;
A heating mechanism for heating the substrate;
A gas supply unit for supplying a processing gas for forming a predetermined film in the processing chamber;
A gas exhaust unit configured to exhaust the atmosphere in the processing chamber with a predetermined pressure in the processing chamber;
At least a first pressure in the processing chamber is set to a first pressure, and the substrate in the processing chamber is heated to a first temperature, and the first processing gas containing at least silicon and a second processing gas in an etching system are processed. Supply to the room, selectively deposit on the silicon exposed surface of the substrate,
At least the processing chamber is set to a pressure higher than the first pressure, or the substrate in the processing chamber is set to a temperature higher than the first temperature, or the etching power is stronger than the second processing gas than the second processing gas. A control unit that controls the heating mechanism, the gas supply unit, and the gas exhaust unit to supply a third processing gas of an etching system and remove silicon nuclei on the silicon oxide film or the silicon nitride film; A configured substrate processing apparatus is provided.

本発明によれば、選択性及び基板面内での膜厚均一性を保ちながら十分厚いエピタキシ
ャル膜を成長させることができる半導体装置の製造方法及び基板処理装置が提供される。
ADVANTAGE OF THE INVENTION According to this invention, the manufacturing method and substrate processing apparatus of a semiconductor device which can grow a sufficiently thick epitaxial film, maintaining selectivity and the film thickness uniformity in a substrate surface are provided.

〈第1の実施形態〉
本発明を実施するための最良の形態において、基板処理装置は、一例として、半導体装置(IC等)の製造方法における処理工程を実施する半導体製造装置として構成されている。なお、以下の説明では、基板処理装置として基板に酸化、拡散処理やCVD処理などを行なう縦型の装置(以下、単に処理装置という)を適用した場合について述べる。
本発明の第1の実施形態における好ましい実施例を説明する。
本発明の第1の実施形態における好ましい実施例では、表面の少なくとも一部にシリコン窒化膜もしくはシリコン酸化膜を有し、かつシリコン表面も露出したシリコン基板を処理室内に挿入し、処理室内にシラン系のガス(SiGeの混晶膜を成長する場合は、ゲルマン系のガスをも供給する)と同時に水素ガスおよびフッ素ガス、塩化水素ガスなどのエッチングガスを同時に導入することでシラン系もしくはゲルマン系ガスが気相中で反応するのを抑制しながらシリコン表面のみに選択的にシリコンエピタキシャル成長を行う第1のステップと、第1のステップで用いたエッチングガスよりエッチング力が強い塩素ガスなどのエッチングガスのみ導入してシリコン窒化膜もしくはシリコン酸化膜上に付着したシリコン原子を除去する第2ステップとを順に所定回数繰返すことにより選択エピタキシャル成長を行う。このようにすることで、選択性及び基板面内の膜厚均一性を保ちながら十分厚いエピタキシャル膜を成長させることが出来る。
<First Embodiment>
In the best mode for carrying out the present invention, as an example, the substrate processing apparatus is configured as a semiconductor manufacturing apparatus that performs processing steps in a method of manufacturing a semiconductor device (IC or the like). In the following description, a case where a vertical apparatus (hereinafter simply referred to as a processing apparatus) that performs oxidation, diffusion processing, CVD processing, or the like is applied to the substrate as the substrate processing apparatus will be described.
A preferred example of the first embodiment of the present invention will be described.
In a preferred example of the first embodiment of the present invention, a silicon substrate having a silicon nitride film or a silicon oxide film on at least a part of the surface and having an exposed silicon surface is inserted into the processing chamber, and silane is inserted into the processing chamber. Silane system or germane system by simultaneously introducing etching gas such as hydrogen gas, fluorine gas, hydrogen chloride gas etc. at the same time as the system gas (when germanium mixed crystal film is grown, also supply germane gas) A first step of selectively performing silicon epitaxial growth only on the silicon surface while suppressing the reaction of the gas in the gas phase; and an etching gas such as chlorine gas having a higher etching power than the etching gas used in the first step The second step of removing silicon atoms adhering to the silicon nitride film or silicon oxide film by introducing only Tsu carried out in order selective epitaxial growth by repeating a predetermined number of times and flop. By doing so, it is possible to grow a sufficiently thick epitaxial film while maintaining selectivity and film thickness uniformity in the substrate surface.

ここで、第1のステップである成膜ステップと第2のステップであるエッチングステッ
プでは共にエッチングガスを使用する。第1ステップではエッチング力の弱いガス、例え
ば塩化水素のようなガスを用いることを特徴としており、基板上のシリコン表面に選択的
に膜厚均一性良く成膜することが可能となる。また、塩化水素のようなエッチング力の弱
いガスを使用することによって、基板周辺部だけが極端にエッチングされることを防ぎ、
面内の膜厚均一性を向上させることができる。また、基板表面のシリコン窒化膜や酸化膜
、シリコンの多結晶膜や単結晶膜などの膜質によるエッチング力の差を小さくすることが
可能となる。
Here, an etching gas is used in both the film forming step as the first step and the etching step as the second step. The first step is characterized by using a gas having a weak etching power, for example, a gas such as hydrogen chloride, and can selectively form a film on the silicon surface on the substrate with good film thickness uniformity. In addition, by using a gas with a weak etching power such as hydrogen chloride, it is possible to prevent only the periphery of the substrate from being etched extremely,
In-plane film thickness uniformity can be improved. In addition, it becomes possible to reduce a difference in etching force due to film quality such as a silicon nitride film or an oxide film on the substrate surface, a polycrystalline silicon film or a single crystal film.

しかし、エッチング力が弱いためにシリコン窒化膜やシリコン酸化膜等の絶縁膜上にも
シリコン膜成膜の初期段階のシリコン核が発生してしまう。第2ステップでは、この絶縁
膜上に発生したシリコン核の除去を小流量、短時間で行う目的でエッチング力の強いガス
であるフッ素系ガス、例えば、フッ素ガス、フッ化水素ガス、三フッ化窒素ガスなどのフ
ッ素およびフッ素化合物ガスや塩素系ガス、例えば、塩素ガス、や三フッ化塩素などのガ
スによってシリコンの核を取り除くことが可能になる。また、短時間でのエッチングが可
能なため、不純物拡散や形状変形などデバイス特性を劣化させるような熱による基板への
ダメージの低減、スループットを向上させることなどが可能となる。
However, since the etching force is weak, silicon nuclei in the initial stage of silicon film formation are also generated on an insulating film such as a silicon nitride film or a silicon oxide film. In the second step, a fluorine-based gas, such as a fluorine gas, a hydrogen fluoride gas, or a trifluoride, which is a gas having a strong etching power for the purpose of removing silicon nuclei generated on the insulating film in a short flow rate and in a short time. Fluorine such as nitrogen gas, fluorine compound gas, and chlorine-based gas such as chlorine gas and chlorine trifluoride can be used to remove silicon nuclei. In addition, since etching can be performed in a short time, it is possible to reduce damage to the substrate due to heat, which deteriorates device characteristics such as impurity diffusion and shape deformation, and to improve throughput.

次に、図面を参照して、本発明の第1の実施形態における好ましい処理装置の構成について詳細に説明する。   Next, with reference to the drawings, a configuration of a preferable processing apparatus in the first embodiment of the present invention will be described in detail.

図1に示されているように、シリコン等からなるウエハ(基板)200を収納したウエ
ハキャリアとしてのカセット110が使用されている本発明の処理装置101は、筐体1
11を備えている。筐体111の正面壁111aの下方にはメンテナンス可能なように設
けられた開口部としての正面メンテナンス口103が開設され、この正面メンテナンス口
103を開閉する正面メンテナンス扉104が建て付けられている。メンテナンス扉10
4には、カセット搬入搬出口(基板収容器搬入搬出口)112が筐体111内外を連通す
るように開設されており、カセット搬入搬出口112はフロントシャッタ(基板収容器搬
入搬出口開閉機構)113によって開閉されるようになっている。カセット搬入搬出口1
12の筐体111内側にはカセットステージ(基板収容器受渡し台)114が設置されて
いる。カセット110はカセットステージ114上に工程内搬送装置(図示せず)によっ
て搬入され、かつまた、カセットステージ114上から搬出されるようになっている。カ
セットステージ114は、工程内搬送装置によって、カセット110内のウエハ200が
垂直姿勢となり、カセット110のウエハ出し入れ口が上方向を向くように載置されるよ
うに構成されている。
As shown in FIG. 1, a processing apparatus 101 of the present invention using a cassette 110 as a wafer carrier containing a wafer (substrate) 200 made of silicon or the like is used in a case 1.
11 is provided. Below the front wall 111a of the housing 111, a front maintenance port 103 serving as an opening provided for maintenance is opened, and a front maintenance door 104 for opening and closing the front maintenance port 103 is installed. Maintenance door 10
4, a cassette loading / unloading port (substrate container loading / unloading port) 112 is established so as to communicate between the inside and outside of the casing 111. The cassette loading / unloading port 112 is a front shutter (substrate container loading / unloading port opening / closing mechanism). 113 is opened and closed. Cassette loading / unloading exit 1
A cassette stage (substrate container delivery table) 114 is installed inside the 12 casings 111. The cassette 110 is carried onto the cassette stage 114 by an in-process carrying device (not shown), and is also carried out from the cassette stage 114. The cassette stage 114 is configured so that the wafer 200 in the cassette 110 is placed in a vertical posture and the wafer loading / unloading port of the cassette 110 is directed upward by the in-process transfer device.

筐体111内の前後方向の略中央下部には、カセット棚(基板収容器載置棚)105が
設置されており、カセット棚105は複数段複数列にて複数個のカセット110を保管し
、カセット110内のウエハ200を出し入れする可能となるように配置されている。カ
セット棚105はスライドステージ(水平移動機構)106上に横行可能に設置されてい
る。また、カセット棚105の上方にはバッファ棚(基板収容器保管棚)107が設置さ
れており、カセット110を保管するように構成されている。
A cassette shelf (substrate container mounting shelf) 105 is installed at a substantially lower center in the front-rear direction in the casing 111, and the cassette shelf 105 stores a plurality of cassettes 110 in a plurality of rows and a plurality of rows. The wafers 200 in the cassette 110 are arranged so that they can be taken in and out. The cassette shelf 105 is installed on a slide stage (horizontal movement mechanism) 106 so as to be capable of traversing. In addition, a buffer shelf (substrate container storage shelf) 107 is installed above the cassette shelf 105 and configured to store the cassette 110.

カセットステージ114とカセット棚105との間には、カセット搬送装置(基板収容
器搬送装置)118が設置されている。カセット搬送装置118は、カセット110を保
持したまま昇降可能なカセットエレベータ(基板収容器昇降機構)118aと搬送機構と
してのカセット搬送機構(基板収容器搬送機構)118bとで構成されており、カセット
エレベータ118aとカセット搬送機構118bとの連続動作により、カセットステージ
114、カセット棚105、バッファ棚107との間で、カセット110を搬送するよう
に構成されている。
A cassette carrying device (substrate container carrying device) 118 is installed between the cassette stage 114 and the cassette shelf 105. The cassette transport device 118 includes a cassette elevator (substrate container lifting mechanism) 118a that can be moved up and down while holding the cassette 110, and a cassette transport mechanism (substrate container transport mechanism) 118b as a transport mechanism. The cassette 110 is transported between the cassette stage 114, the cassette shelf 105, and the buffer shelf 107 by continuous operation of the cassette 118a and the cassette transport mechanism 118b.

カセット棚105の後方には、ウエハ移載機構(基板移載機構)125が設置されてお
り、ウエハ移載機構125は、ウエハ200を水平方向に回転ないし直動可能なウエハ移
載装置(基板移載装置)125aおよびウエハ移載装置125aを昇降させるためのウエ
ハ移載装置エレベータ(基板移載装置昇降機構)125bとで構成されている。図1に模
式的に示されているように、ウエハ移載装置エレベータ125bは、筐体111左側
端部に設置されている。これら、ウエハ移載装置エレベータ125bおよびウエハ移載装
置125aの連続動作により、ウエハ移載装置125aのツイーザ(基板保持体)125
cをウエハ200の載置部として、ボート(基板保持具)217に対してウエハ200を
装填(チャージング)および脱装(ディスチャージング)するように構成されている。
A wafer transfer mechanism (substrate transfer mechanism) 125 is installed behind the cassette shelf 105. The wafer transfer mechanism 125 can rotate or linearly move the wafer 200 in the horizontal direction (substrate). And a wafer transfer device elevator (substrate transfer device lifting mechanism) 125b for moving the wafer transfer device 125a up and down. As schematically shown in FIG. 1, the wafer transfer device elevator 125 b is installed at the left end of the housing 111. By the continuous operation of the wafer transfer device elevator 125b and the wafer transfer device 125a, the tweezer (substrate holder) 125 of the wafer transfer device 125a.
The wafer 200 is loaded (charged) and unloaded (discharged) with respect to the boat (substrate holder) 217 with c as a mounting portion for the wafer 200.

図1に示されているように、バッファ棚107の後方には、清浄化した雰囲気であるク
リーンエアを供給するよう供給ファン及び防塵フィルタで構成されたクリーンユニット1
34aが設けられておりクリーンエアを筐体111の内部に流通させるように構成されて
いる。また、ウエハ移載装置エレベータ125b側と反対側である右側端部には、クリー
ンエアを供給するよう供給フアンおよび防塵フィルタで構成された図示しないクリーンユ
ニットが設置されており、クリーンユニットから吹き出されたクリーンエアは、ウエハ移
載装置125aを流通した後に、図示しない排気装置に吸い込まれて、筐体111の外部
に排気されるようになっている。
As shown in FIG. 1, at the rear of the buffer shelf 107, a clean unit 1 comprising a supply fan and a dustproof filter so as to supply clean air that is a cleaned atmosphere.
34 a is provided so that clean air is circulated inside the casing 111. A clean unit (not shown) composed of a supply fan and a dustproof filter is installed at the right end opposite to the wafer transfer device elevator 125b side to supply clean air. After flowing through the wafer transfer device 125a, the clean air is sucked into an exhaust device (not shown) and exhausted to the outside of the casing 111.

ウエハ移載装置(基板移載装置)125aの後側には、大気圧未満の圧力(以下、負圧
という。)を維持可能な機密性能を有する筐体(以下、耐圧筐体という。)140が設置
されており、この耐圧筐体140によりボート217を収容可能な容積を有するロードロ
ック方式の待機室であるロードロック室141が形成されている。
On the rear side of the wafer transfer device (substrate transfer device) 125a, a case (hereinafter referred to as a pressure-resistant case) 140 having a confidential performance capable of maintaining a pressure lower than atmospheric pressure (hereinafter referred to as negative pressure). Is installed, and a load lock chamber 141 that is a load lock type standby chamber having a capacity capable of accommodating the boat 217 is formed by the pressure-resistant housing 140.

耐圧筐体140の正面壁140aにはウエハ搬入搬出口(基板搬入搬出口)142が開
設されており、ウエハ搬入搬出口142はゲートバルブ(基板搬入搬出口開閉機構)14
3によって開閉されるようになっている。耐圧筐体140の一対の側壁にはロードロック
室141へ窒素ガス等の不活性ガスを給気するためのガス供給管144と、ロードロック
室141を負圧に排気するための図示しない排気管とがそれぞれ接続されている。
A wafer loading / unloading port (substrate loading / unloading port) 142 is opened on the front wall 140a of the pressure-resistant housing 140, and the wafer loading / unloading port 142 is a gate valve (substrate loading / unloading opening / closing mechanism) 14.
3 is opened and closed. A gas supply pipe 144 for supplying an inert gas such as nitrogen gas to the load lock chamber 141 and an exhaust pipe (not shown) for exhausting the load lock chamber 141 to a negative pressure are provided on a pair of side walls of the pressure-resistant housing 140. And are connected to each other.

ロードロック室141上方には、処理炉202が設けられている。処理炉202の下端
部は炉口ゲートバルブ(炉口開閉機構)147により開閉されるように構成されている。
A processing furnace 202 is provided above the load lock chamber 141. The lower end portion of the processing furnace 202 is configured to be opened and closed by a furnace port gate valve (furnace port opening / closing mechanism) 147.

図1に模式的に示されているように、ロードロック室141にはボート217を昇降さ
せるためのボートエレベータ(基板保持具昇降機構)115が設置されている。ボートエ
レベータ115に連結された連結具としての図示しないアームには蓋体としてのシールキ
ャップ219が水平に据え付けられており、シールキャップ219はボート217を垂直
に支持し、処理炉202の下端部を閉塞可能なように構成されている。
As schematically shown in FIG. 1, a boat elevator (substrate holder lifting mechanism) 115 for lifting the boat 217 is installed in the load lock chamber 141. A seal cap 219 as a lid is horizontally installed on an arm (not shown) as a connecting tool connected to the boat elevator 115, and the seal cap 219 supports the boat 217 vertically, and the lower end of the processing furnace 202 is attached to the lower end of the processing furnace 202. It is configured to be occluded.

ボート217は複数本の保持部材を備えており、複数枚(例えば、50枚〜150枚程
度)のウエハ200をその中心を揃えて垂直方向に整列させた状態で、それぞれ水平に保
持するように構成されている。
The boat 217 includes a plurality of holding members so that a plurality of (for example, about 50 to 150) wafers 200 are horizontally held in a state where their centers are aligned in the vertical direction. It is configured.

次に、本発明の第1の実施形態における好ましい実施例の処理装置の動作について説明する。
図1に示されているように、カセット110がカセットステージ114に供給されるに先
立って、カセット搬入搬出口112がフロントシャッタ113によって開放される。その
後、カセット110はカセット搬入搬出口112から搬入され、カセットステージ114
の上にウエハ200が垂直姿勢であって、カセット110のウエハ出し入れ口が上方向を
向くように載置される。
Next, the operation of the processing apparatus according to the preferred example of the first embodiment of the present invention will be described.
As shown in FIG. 1, the cassette loading / unloading port 112 is opened by the front shutter 113 before the cassette 110 is supplied to the cassette stage 114. Thereafter, the cassette 110 is loaded from the cassette loading / unloading port 112, and the cassette stage 114 is loaded.
The wafer 200 is placed in a vertical posture and the wafer loading / unloading port of the cassette 110 faces upward.

次に、カセット110は、カセット搬送装置118によって、カセットステージ114
から救い上げられるとともに、カセット110内のウエハ200が水平姿勢となり、カセ
ット110のウエハ出し入れ口が筐体後方を向けるように、筐体後方に右周り縦方向90
°回転させられる。引き続いて、カセット110は、カセット搬送装置118によって、
カセット棚105ないしバッファ棚107の指定された棚位置へ自動的に搬送されて受け
渡され、一時的に保管された後、カセット搬送装置118によってカセット棚105に移
載されるか、もしくは直接カセット棚105に搬送される。
Next, the cassette 110 is transferred to the cassette stage 114 by the cassette carrying device 118.
The wafer 200 in the cassette 110 is in a horizontal posture and the wafer loading / unloading port of the cassette 110 faces the rear of the housing in the clockwise direction 90 in the clockwise direction.
° Rotated. Subsequently, the cassette 110 is moved by the cassette transfer device 118.
After being automatically transferred to the designated shelf position of the cassette shelf 105 or the buffer shelf 107 and transferred and temporarily stored, it is transferred to the cassette shelf 105 by the cassette transfer device 118 or directly cassette It is conveyed to the shelf 105.

スライドステージ106はカセット棚105を水平移動させ、移載の対象となるカセッ
ト110をウエハ移載装置125aに対峙する様に位置決めする。
The slide stage 106 moves the cassette shelf 105 horizontally and positions the cassette 110 to be transferred so as to face the wafer transfer device 125a.

予め内部が大気圧状態とされていたロードロック室141のウエハ搬入搬出口142が
ゲートバルブ143の動作により開放されると、ウエハ200はカセット110からウエ
ハ移載装置125aのツイーザ125cによってウエハ出し入れ口を通じてピックアップ
され、ウエハ搬入搬出口142を通じてロードロック室141に搬入され、ボート217
へ移載されて装填(ウエハチャージング)される。ボート217にウエハ200を受け渡
したウエハ移載装置125aはカセット110に戻り、次のウエハ110をボート217
に装填する。
When the wafer loading / unloading port 142 of the load lock chamber 141 whose interior is previously set to the atmospheric pressure state is opened by the operation of the gate valve 143, the wafer 200 is removed from the cassette 110 by the tweezer 125c of the wafer transfer device 125a. Through the wafer loading / unloading port 142 and loaded into the load lock chamber 141.
And loaded (wafer charging). The wafer transfer device 125 a that has transferred the wafer 200 to the boat 217 returns to the cassette 110 and transfers the next wafer 110 to the boat 217.
To load.

予め指定された枚数のウエハ200がボート217に装填されると、ウエハ搬入搬出口
142がゲートバルブ143によって閉じられ、ロードロック室141は排気管から真空
引きされることにより、減圧される。ロードロック室141が処理炉202内の圧力と同
圧に減圧されると、処理炉202の下端部が炉口ゲートバルブ147によって開放される
。続いて、シールキャップ219がボートエレベータ115によって上昇されて、シール
キャップ219に支持されたボート217が処理炉202内へ搬入(ローディング)され
て行く。
When a predetermined number of wafers 200 are loaded into the boat 217, the wafer loading / unloading port 142 is closed by the gate valve 143, and the load lock chamber 141 is evacuated from the exhaust pipe to be decompressed. When the load lock chamber 141 is reduced to the same pressure as that in the processing furnace 202, the lower end portion of the processing furnace 202 is opened by the furnace port gate valve 147. Subsequently, the seal cap 219 is raised by the boat elevator 115, and the boat 217 supported by the seal cap 219 is loaded into the processing furnace 202.

ローディング後は、処理炉202にてウエハ200に任意の処理が実施される。処理後
は、ボートエレベータ115によりボート217が引き出され更に、ロードロック室14
0内部を大気圧に復圧させた後にゲートバルブ143が開かれる。その後は、概上述の逆
の手順で、ウエハ200およびカセット110は筐体111の外部へ払出される。
After loading, arbitrary processing is performed on the wafer 200 in the processing furnace 202. After the processing, the boat 217 is pulled out by the boat elevator 115 and further, the load lock chamber 14
The gate valve 143 is opened after the inside of the zero is returned to the atmospheric pressure. After that, the wafer 200 and the cassette 110 are discharged out of the casing 111 in the reverse procedure described above.

次に、本発明の第1の実施形態における好ましい実施例の処理装置の処理炉202について説明する。
図2は本発明の第1の実施形態で好適に用いられる処理装置の処理炉202及び処理炉周辺の概略構成図であり、縦断面図として示されている。
Next, the processing furnace 202 of the processing apparatus of the preferable example in the 1st Embodiment of this invention is demonstrated.
FIG. 2 is a schematic configuration diagram of the processing furnace 202 and the periphery of the processing furnace of the processing apparatus preferably used in the first embodiment of the present invention, and is shown as a longitudinal sectional view.

図2に示されるように、処理炉202は加熱機構としてのヒータ206を有する。ヒー
タ206は円筒形状であり、ヒータ素線とその周囲に設けられた断熱部材より構成され、
図示しない保持体に支持されることにより垂直に据え付けられている。
As shown in FIG. 2, the processing furnace 202 has a heater 206 as a heating mechanism. The heater 206 has a cylindrical shape, and is composed of a heater wire and a heat insulating member provided around the heater wire.
It is installed vertically by being supported by a holding body (not shown).

ヒータ206の内側には、ヒータ206と同心円状に反応管としてのアウターチューブ
205が配設されている。アウターチューブ205は、石英(SiO2)または炭化シリ
コン(SiC)等の耐熱材料からなり、上端が閉塞し下端が開口した円筒形状に形成され
ている。アウターチューブ205の内側の筒中空部には、処理室201が形成されており
、基板としてのウエハ200を後述するボート217によって水平姿勢で垂直方向に多段
に整列した状態で収容可能に構成されている。
Inside the heater 206, an outer tube 205 as a reaction tube is disposed concentrically with the heater 206. The outer tube 205 is made of a heat-resistant material such as quartz (SiO2) or silicon carbide (SiC), and is formed in a cylindrical shape with the upper end closed and the lower end opened. A processing chamber 201 is formed in a cylindrical hollow portion inside the outer tube 205, and is configured to be able to accommodate wafers 200 as substrates in a state where they are aligned in multiple stages in a vertical posture in a horizontal posture by a boat 217 described later. Yes.

アウターチューブ205の下方には、アウターチューブ205と同心円状にマニホール
ド209が配設されている。マニホールド209は、例えば、ステンレス等からなり、上
端及び下端が開口した円筒形状に形成されている。このマニホールド209はアウターチ
ューブ205を支持するように設けられている。尚、マニホールド209とアウターチュ
ーブ205との間には、シール部材としてのOリングが設けられている。このマニホール
ド209が図示しない保持体に支持されることにより、アウターチューブ205は垂直に
据え付けられた状態となっている。このアウターチューブ205とマニホールド209に
より反応容器が形成される。
A manifold 209 is disposed below the outer tube 205 concentrically with the outer tube 205. The manifold 209 is made of, for example, stainless steel and has a cylindrical shape with an upper end and a lower end opened. The manifold 209 is provided to support the outer tube 205. An O-ring as a seal member is provided between the manifold 209 and the outer tube 205. Since the manifold 209 is supported by a holding body (not shown), the outer tube 205 is installed vertically. A reaction vessel is formed by the outer tube 205 and the manifold 209.

マニホールド209には、ガス排気管231が設けられると共に、ガス供給管232が
貫通するよう設けられている。ガス供給管232は、上流側で4つに分かれており、バル
ブ521、522、523、524とガス流量制御装置としてのMFC(マスフローコン
トローラ)511、512、513、514を介して第1のガス供給源501、第2のガ
ス供給源502、第3のガス供給源503、第4のガス供給源504にそれぞれ接続され
ている。MFC511、512、513、514及びバルブ521、522、523、5
24には、ガス流量制御部235が電気的に接続されており、供給するガスの流量が所望
の流量となるよう所望のタイミングにて制御するように構成されている。尚、これらガス供給管232、バルブ521、522、523、524、MFC511、512、513、514、第1のガス供給源501、第2のガス供給源502、第3のガス供給源503、第4のガス供給源504にてガス供給部2321が構成されている。尚、ガス供給部としてはこの形態に限らず、例えば、ガス供給管232を複数設けるようにしても良い。また、例えば、バルブ521、MFC511、第1のガス供給源501を他のバルブ、MFC、ガス供給源と独立させてガス供給管を設けることで、第1ガス供給部として構成しても良い。また、バルブ522、MFC512、第2のガス供給源502を他のバルブ、MFC、ガス供給源と独立させてガス供給管を設けることで、第2ガス供給部として構成しても良い。また、バルブ523、MFC513、第3のガス供給源503を他のバルブ、MFC、ガス供給源と独立させてガス供給管を設けることで、第3ガス供給部として構成しても良い。また、バルブ524、MFC514、第4のガス供給源504を他のバルブ、MFC、ガス供給源と独立させてガス供給管を設けることで、第4ガス供給部として構成しても良い。
ガス排気管231の下流側には、図示しない圧力検出器としての圧力センサ及び圧力調整器としてのAPCバルブ242を介して真空ポンプ等の真空排気装置246が接続されている。圧力センサ及びAPCバルブ242には、圧力制御部236が電気的に接続されており、圧力制御部236は、圧力センサにより検出された圧力に基づいてAPCバルブ242の開度を調節することにより、処理室201内の圧力が所望の圧力となるよう所望のタイミングにて制御するよう構成されている。尚、これらガス排気管231、圧力センサ、APCバルブ242、真空排気装置246にて処理室201内を所定の圧力とし処理室201内の雰囲気を排気するガス排気部2311が構成されている。
The manifold 209 is provided with a gas exhaust pipe 231 and a gas supply pipe 232 that passes therethrough. The gas supply pipe 232 is divided into four on the upstream side, and the first gas is supplied via valves 521, 522, 523, and 524 and MFCs (mass flow controllers) 511, 512, 513, and 514 as gas flow rate control devices. The supply source 501, the second gas supply source 502, the third gas supply source 503, and the fourth gas supply source 504 are respectively connected. MFC511, 512, 513, 514 and valves 521, 522, 523, 5
A gas flow rate control unit 235 is electrically connected to 24, and is configured to control at a desired timing so that the flow rate of the supplied gas becomes a desired flow rate. The gas supply pipe 232, valves 521, 522, 523, 524, MFCs 511, 512, 513, 514, the first gas supply source 501, the second gas supply source 502, the third gas supply source 503, the first A gas supply unit 2321 is configured by the fourth gas supply source 504. The gas supply unit is not limited to this form, and for example, a plurality of gas supply pipes 232 may be provided. In addition, for example, the valve 521, the MFC 511, and the first gas supply source 501 may be configured as the first gas supply unit by providing a gas supply pipe independently of the other valves, the MFC, and the gas supply source. Alternatively, the valve 522, the MFC 512, and the second gas supply source 502 may be configured as a second gas supply unit by providing a gas supply pipe independently of the other valves, the MFC, and the gas supply source. Alternatively, the valve 523, the MFC 513, and the third gas supply source 503 may be configured as a third gas supply unit by providing a gas supply pipe independently of the other valves, the MFC, and the gas supply source. Alternatively, the valve 524, the MFC 514, and the fourth gas supply source 504 may be configured as a fourth gas supply unit by providing a gas supply pipe independently of the other valves, the MFC, and the gas supply source.
A vacuum exhaust device 246 such as a vacuum pump is connected to the downstream side of the gas exhaust pipe 231 via a pressure sensor (not shown) as a pressure detector and an APC valve 242 as a pressure regulator. A pressure control unit 236 is electrically connected to the pressure sensor and the APC valve 242, and the pressure control unit 236 adjusts the opening degree of the APC valve 242 based on the pressure detected by the pressure sensor. Control is performed at a desired timing so that the pressure in the processing chamber 201 becomes a desired pressure. The gas exhaust pipe 231, the pressure sensor, the APC valve 242, and the vacuum exhaust device 246 constitute a gas exhaust unit 2311 that exhausts the atmosphere in the process chamber 201 with a predetermined pressure in the process chamber 201.

マニホールド209の下方には、マニホールド209の下端開口を気密に閉塞するため
の炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219は、
例えばステンレス等の金属よりなり、円盤状に形成されている。シールキャップ219の
上面には、マニホールド209の下端と当接するシール部材としてのOリングが設けられ
ている。シールキャップ219には、回転機構254が設けられている。回転機構254
の回転軸255はシールキャップ219を貫通して後述するボート217に接続されてお
り、ボート217を回転させることでウエハ200を回転させるように構成されている。
シールキャップ219は、処理炉202の外側に設けられた昇降機構としての後述する昇
降モータ248によって垂直方向に昇降されるように構成されており、これによりボート
217を処理室201に対し搬入搬出することが可能となっている。回転機構254及び
昇降モータ248には、駆動制御部237が電気的に接続されており、所望の動作をする
よう所望のタイミングにて制御するよう構成されている。
Below the manifold 209, a seal cap 219 is provided as a furnace port lid for hermetically closing the lower end opening of the manifold 209. The seal cap 219 is
For example, it is made of a metal such as stainless steel and is formed in a disk shape. On the upper surface of the seal cap 219, an O-ring as a seal member that comes into contact with the lower end of the manifold 209 is provided. The seal cap 219 is provided with a rotation mechanism 254. Rotating mechanism 254
The rotation shaft 255 passes through the seal cap 219 and is connected to a boat 217 described later, and is configured to rotate the wafer 200 by rotating the boat 217.
The seal cap 219 is configured to be moved up and down in a vertical direction by a lifting motor 248 described later as a lifting mechanism provided on the outside of the processing furnace 202, and thereby the boat 217 is carried into and out of the processing chamber 201. It is possible. A drive control unit 237 is electrically connected to the rotation mechanism 254 and the lift motor 248, and is configured to control at a desired timing so as to perform a desired operation.

基板保持具としてのボート217は、例えば石英や炭化珪素等の耐熱性材料からなり、
複数枚のウエハ200を水平姿勢でかつ互いに中心を揃えた状態で整列させて多段に保持
するように構成されている。尚、ボート217の下部には、例えば石英や炭化珪素等の耐
熱性材料からなる円板形状をした断熱部材としての断熱板216が水平姿勢で多段に複数
枚配置されており、ヒータ206からの熱がマニホールド209側に伝わりにくくなるよ
う構成されている。
The boat 217 as a substrate holder is made of a heat-resistant material such as quartz or silicon carbide,
The plurality of wafers 200 are arranged in a horizontal posture and aligned in the center with each other, and are held in multiple stages. In addition, a plurality of heat insulating plates 216 serving as a disk-shaped heat insulating member made of a heat resistant material such as quartz or silicon carbide are arranged in a plurality of stages in a horizontal posture at the lower portion of the boat 217. Heat is configured not to be transmitted to the manifold 209 side.

ヒータ206近傍には、処理室201内の温度を検出する温度検出体としての温度セン
サ(図示せず)が設けられる。ヒータ206及び温度センサには、電気的に温度制御部2
38が接続されており、温度センサにより検出された温度情報に基づきヒータ206への
通電具合を調節することにより処理室201内の温度が所望の温度分布となるよう所望の
タイミングにて制御するように構成されている。
In the vicinity of the heater 206, a temperature sensor (not shown) is provided as a temperature detector for detecting the temperature in the processing chamber 201. The heater 206 and the temperature sensor are electrically connected to the temperature controller 2.
38 is connected, and it is controlled at a desired timing so that the temperature in the processing chamber 201 becomes a desired temperature distribution by adjusting the power supply to the heater 206 based on the temperature information detected by the temperature sensor. It is configured.

この処理炉202の構成において、第1の処理ガスは、第1のガス供給源501から供
給され、MFC511でその流量が調節された後、バルブ521を介して、ガス供給管2
32により処理室201内に導入される。
第2の処理ガスは、第2のガス供給源502から供給され、MFC512でその流量が調
節された後、バルブ522を介して、ガス供給管232により処理室201内に導入され
る。
第3の処理ガスは、第3のガス供給源503から供給され、MFC513でその流量が調
節された後、バルブ523を介して、ガス供給管232により処理室201内に導入され
る。
第4の処理ガスは、第4のガス供給源504から供給され、MFC514でその流量が調
節された後、バルブ524を介して、ガス供給管232により処理室201内に導入され
る。
処理室201内のガスは、ガス排気管231に接続された排気装置としての真空ポンプ2
46により、処理室201から排気される。
In the configuration of the processing furnace 202, the first processing gas is supplied from the first gas supply source 501, and after the flow rate is adjusted by the MFC 511, the gas supply pipe 2 is connected via the valve 521.
32 is introduced into the processing chamber 201.
The second processing gas is supplied from the second gas supply source 502, the flow rate of which is adjusted by the MFC 512, and then introduced into the processing chamber 201 through the valve 522 through the gas supply pipe 232.
The third processing gas is supplied from the third gas supply source 503, the flow rate of which is adjusted by the MFC 513, and then introduced into the processing chamber 201 through the valve 523 through the gas supply pipe 232.
The fourth processing gas is supplied from the fourth gas supply source 504, the flow rate of which is adjusted by the MFC 514, and then introduced into the processing chamber 201 through the valve 524 through the gas supply pipe 232.
The gas in the processing chamber 201 is supplied from a vacuum pump 2 as an exhaust device connected to a gas exhaust pipe 231.
46 is exhausted from the processing chamber 201.

次に、本発明の第1の実施形態で用いる処理装置の処理炉周辺の構成について説明する。   Next, the configuration around the processing furnace of the processing apparatus used in the first embodiment of the present invention will be described.

予備室としてのロードロック室140の外面に下基板245が設けられる。下基板24
5には昇降台249と嵌合するガイドシャフト264及び昇降台249と螺合するボール
螺子244が設けられる。下基板245に立設したガイドシャフト264及びボール螺子
244の上端に上基板247が設けられる。ボール螺子244は上基板247に設けられ
た昇降モータ248により回転される。ボール螺子244が回転することにより昇降台2
49が昇降するように構成されている。
A lower substrate 245 is provided on the outer surface of the load lock chamber 140 as a spare chamber. Lower substrate 24
5 is provided with a guide shaft 264 fitted to the lifting platform 249 and a ball screw 244 threadedly engaged with the lifting platform 249. The upper substrate 247 is provided on the upper ends of the guide shaft 264 and the ball screw 244 that are erected on the lower substrate 245. The ball screw 244 is rotated by an elevating motor 248 provided on the upper substrate 247. As the ball screw 244 rotates, the lifting platform 2
49 is configured to move up and down.

昇降台249には中空の昇降シャフト250が垂設され、昇降台249と昇降シャフト
250の連結部は気密となっている。昇降シャフト250は昇降台249と共に昇降する
ようになっている。昇降シャフト250はロードロック室140の天板251を遊貫する
。昇降シャフト250が貫通する天板251の貫通穴は昇降シャフト250に対して接触
することがない様充分な余裕がある。ロードロック室140と昇降台249との間には昇
降シャフト250の周囲を覆うように伸縮性を有する中空伸縮体としてのベローズ265
がロードロック室140を気密に保つために設けられる。ベローズ265は昇降台249
の昇降量に対応できる充分な伸縮量を有し、ベローズ265の内径は昇降シャフト250
の外形に比べ充分に大きくベローズ265の伸縮で接触することがないように構成されて
いる。
A hollow elevating shaft 250 is vertically suspended from the elevating table 249, and a connecting portion between the elevating table 249 and the elevating shaft 250 is airtight. The elevating shaft 250 moves up and down together with the elevating table 249. The lifting shaft 250 penetrates the top plate 251 of the load lock chamber 140. The through hole of the top plate 251 through which the elevating shaft 250 penetrates has a sufficient margin so as not to contact the elevating shaft 250. Bellows 265 as a hollow stretchable body having elasticity so as to cover the periphery of the lifting shaft 250 between the load lock chamber 140 and the lifting platform 249.
Is provided to keep the load lock chamber 140 airtight. Bellows 265 is a lifting platform 249.
The bellows 265 has an inner diameter that is sufficient for the amount of lifting and lowering of the lifting shaft 250.
The bellows 265 is configured not to come into contact with the expansion / contraction of the bellows 265.

昇降シャフト250の下端には昇降基板252が水平に固着される。昇降基板252の
下面にはOリング等のシール部材を介して駆動部カバー253が気密に取付けられる。昇
降基板252と駆動部カバー253とで駆動部収納ケース256が構成されている。この
構成により、駆動部収納ケース256内部はロードロック室140内の雰囲気と隔離され
る。
A lifting substrate 252 is fixed horizontally to the lower end of the lifting shaft 250. A drive unit cover 253 is airtightly attached to the lower surface of the elevating substrate 252 via a seal member such as an O-ring. The elevating board 252 and the drive unit cover 253 constitute a drive unit storage case 256. With this configuration, the inside of the drive unit storage case 256 is isolated from the atmosphere in the load lock chamber 140.

また、駆動部収納ケース256の内部にはボート217の回転機構254が設けられ、
回転機構254の周辺は、冷却機構257により、冷却される。
In addition, a rotation mechanism 254 of the boat 217 is provided inside the drive unit storage case 256,
The periphery of the rotation mechanism 254 is cooled by the cooling mechanism 257.

電力供給ケーブル258が昇降シャフト250の上端から昇降シャフト250の中空部
を通って回転機構254に導かれて接続されている。又、冷却機構257、シールキャッ
プ219には冷却流路259が形成されており、冷却流路259には冷却水を供給する冷
却水配管260が接続され、昇降シャフト250の上端から昇降シャフト250の中空部
を通っている。
The power supply cable 258 is led from the upper end of the lifting shaft 250 through the hollow portion of the lifting shaft 250 to the rotating mechanism 254 and connected thereto. The cooling mechanism 257 and the seal cap 219 are provided with a cooling flow path 259, and a cooling water pipe 260 for supplying cooling water is connected to the cooling flow path 259. It passes through the hollow part.

昇降モータ248が駆動され、ボール螺子244が回転することで昇降台249及び昇
降シャフト250を介して駆動部収納ケース256を昇降させる。
As the elevating motor 248 is driven and the ball screw 244 rotates, the drive unit storage case 256 is raised and lowered via the elevating platform 249 and the elevating shaft 250.

駆動部収納ケース256が上昇することにより、昇降基板252に気密に設けられるシ
ールキャップ219が処理炉202の開口部である炉口161を閉塞し、ウエハ処理が可
能な状態となる。駆動部収納ケース256が下降することにより、シールキャップ219
とともにボート217が降下され、ウエハ200を外部に搬出できる状態となる。
As the drive unit storage case 256 rises, the seal cap 219 provided in an airtight manner on the elevating substrate 252 closes the furnace port 161, which is an opening of the process furnace 202, and enables wafer processing. When the drive unit storage case 256 is lowered, the seal cap 219 is moved.
At the same time, the boat 217 is lowered and the wafer 200 can be unloaded.

ガス流量制御部235、圧力制御部236、駆動制御部237、温度制御部238は、
操作部、入出力部をも構成し、基板処理装置全体を制御する主制御部239に電気的に接
続されている。これら、ガス流量制御部235、圧力制御部236、駆動制御部237、
温度制御部238、主制御部239は、コントローラ240として構成されている。
The gas flow rate control unit 235, the pressure control unit 236, the drive control unit 237, and the temperature control unit 238 are
An operation unit and an input / output unit are also configured, and are electrically connected to a main control unit 239 that controls the entire substrate processing apparatus. These gas flow control unit 235, pressure control unit 236, drive control unit 237,
The temperature control unit 238 and the main control unit 239 are configured as a controller 240.

次に、上記構成に係る処理炉202を用いて、基板の製造工程の一工程として、ウエハ
200などの基板上に、Epi−Si膜を形成する方法について説明する。尚、以下の説
明において、基板処理装置を構成する各部の動作は、コントローラ240により制御され
る。
Next, a method for forming an Epi-Si film on a substrate such as the wafer 200 using the processing furnace 202 having the above configuration as a step of the substrate manufacturing process will be described. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 240.

複数枚のウエハ200がボート217に装填されると、図2に示されるように、複数枚
のウエハ200を保持したボート217は、昇降モータ248による昇降台249及び昇
降シャフト250の昇降動作により処理室201内に搬入(ボートローディング)される
。この状態で、シールキャップ219はOリングを介してマニホールド209の下端をシ
ールした状態となる。
When a plurality of wafers 200 are loaded into the boat 217, as shown in FIG. 2, the boat 217 holding the plurality of wafers 200 is processed by the lifting and lowering operations of the lifting platform 249 and the lifting shaft 250 by the lifting motor 248. It is carried into the chamber 201 (boat loading). In this state, the seal cap 219 seals the lower end of the manifold 209 via the O-ring.

処理室201内が所望の圧力(真空度)となるように真空排気装置246によって真空
排気される。この際、処理室201内の圧力は、圧力センサで測定され、この測定された
圧力に基づき圧力調節器242がフィードバック制御される。また、処理室201内が所
望の温度となるようにヒータ206により加熱される。この際、処理室201内が所望の
温度分布となるように温度センサが検出した温度情報に基づきヒータ206への通電具合
がフィードバック制御される。続いて、回転機構254により、ボート217が回転され
ることでウエハ200が回転される。
The processing chamber 201 is evacuated by a vacuum evacuation device 246 so that a desired pressure (degree of vacuum) is obtained. At this time, the pressure in the processing chamber 201 is measured by a pressure sensor, and the pressure regulator 242 is feedback-controlled based on the measured pressure. Further, the processing chamber 201 is heated by the heater 206 so as to have a desired temperature. At this time, the power supply to the heater 206 is feedback-controlled based on the temperature information detected by the temperature sensor so that the inside of the processing chamber 201 has a desired temperature distribution. Subsequently, the wafer 200 is rotated by rotating the boat 217 by the rotation mechanism 254.

第1のガス供給源501、第2のガス供給源502、第3のガス供給源503、第4の
ガス供給源504には、処理ガスとして、それぞれ第1の処理ガスとしてのシラン系ガス
又はハロゲン含有ガスであって例えばジクロルシランガス(SiH2Cl2)、第2の処
理ガスとしての塩化水素ガス(HCl)、第3の処理ガスとしての塩素ガス(Cl2)、第4の処理ガスとしての水素ガス(H2)が封入されており、次いで、これら処理ガス供給源からそれぞれの処理ガスが供給される。所望の流量となるようにMFC511、512、513、514の開度が調節された後、バルブ521、522、523、524が開かれ、それぞれの処理ガスがガス供給管232を流通して、処理室201の上部から処理室201内に導入される。
導入されたガスは、処理室201内を通り、ガス排気管231から排気される。処理ガス
は、処理室201内を通過する際にウエハ200と接触し、ウエハ200の表面上にEp
i−Si膜が選択エピタキシャル成長される。
In the first gas supply source 501, the second gas supply source 502, the third gas supply source 503, and the fourth gas supply source 504, silane-based gas as the first process gas or Halogen-containing gas, for example, dichlorosilane gas (SiH 2 Cl 2), hydrogen chloride gas (HCl) as the second processing gas, chlorine gas (Cl 2) as the third processing gas, and hydrogen gas as the fourth processing gas (H2) is enclosed, and then each processing gas is supplied from these processing gas supply sources. After the opening degrees of the MFCs 511, 512, 513, and 514 are adjusted so as to obtain a desired flow rate, the valves 521, 522, 523, and 524 are opened, and each processing gas flows through the gas supply pipe 232, and processing It is introduced into the processing chamber 201 from the upper part of the chamber 201.
The introduced gas passes through the processing chamber 201 and is exhausted from the gas exhaust pipe 231. The processing gas comes into contact with the wafer 200 when passing through the processing chamber 201, and Ep on the surface of the wafer 200.
The i-Si film is selectively epitaxially grown.

予め設定された時間が経過すると、図示しない不活性ガス供給源から不活性ガスが供給
され、処理室201内が不活性ガスで置換されると共に、処理室201内の圧力が常圧に
復帰される。
When a preset time elapses, an inert gas is supplied from an inert gas supply source (not shown), the inside of the processing chamber 201 is replaced with the inert gas, and the pressure in the processing chamber 201 is returned to normal pressure. The

その後、昇降モータ248によりシールキャップ219が下降して、マニホールド20
9の下端が開口されると共に、処理済ウエハ200がボート217に保持された状態でマ
ニホールド209の下端からアウターチューブ205の外部に搬出(ボートアンローディ
ング)される。その後、処理済のウエハ200は、ボート217より取出される(ウエハ
ディスチャージ)。
After that, the seal cap 219 is lowered by the lifting motor 248 and the manifold 20
9 is opened, and the processed wafer 200 is carried out from the lower end of the manifold 209 to the outside of the outer tube 205 (boat unloading) while being held by the boat 217. Thereafter, the processed wafer 200 is taken out from the boat 217 (wafer discharge).

次に、本発明の第1の実施形態における好ましい実施例における基板処理について図3のプロセスシーケンス図を参照して説明する。   Next, substrate processing in a preferred example of the first embodiment of the present invention will be described with reference to the process sequence diagram of FIG.

本発明の第1の実施形態における好ましい実施例では、表面の少なくとも一部にシリコン窒化膜もしくはシリコン酸化膜を有し、かつシリコン表面も露出したシリコンウエハ200を処理室201内に挿入する(ステップ301)。   In a preferred example of the first embodiment of the present invention, a silicon wafer 200 having a silicon nitride film or a silicon oxide film on at least a part of its surface and having an exposed silicon surface is inserted into the processing chamber 201 (step). 301).

次に、ウエハ200の温度が650〜800℃(650℃以上800℃以下)の範囲内の所定の温度になるようにする
(ステップ302)。
Next, the temperature of the wafer 200 is set to a predetermined temperature within a range of 650 to 800 ° C. (650 ° C. or more and 800 ° C. or less) (step 302).

次に、第1ステップである成膜ステップでは、成膜ガスとしてのジクロルシランガスと
エッチングガスとしての塩化水素ガスを同時に処理室201内に導入してウエハ200に
照射する(ステップ303)。このとき、処理室201の圧力は100Pa以上大気圧未満、ジクロルシランガス流量は1〜300sccm(1sccm以上300sccm以下)、塩化水素ガス流量は1〜300sccm(1sccm以上300sccm以下)、水素ガス流量は1〜20000sccm(1sccm以上20000sccm以下)とすることが好ましい。成膜ステップでは、これらのガスを同一ノズルから流すことにより、成膜ガスのみ、エッチングガスのみが反応することを避け、選択成長を行うことができるようなガス供給を行う。
Next, in the film forming step as the first step, dichlorosilane gas as a film forming gas and hydrogen chloride gas as an etching gas are simultaneously introduced into the processing chamber 201 and irradiated onto the wafer 200 (step 303). At this time, the pressure in the processing chamber 201 is 100 Pa or more and less than atmospheric pressure, the dichlorosilane gas flow rate is 1 to 300 sccm (1 sccm to 300 sccm), the hydrogen chloride gas flow rate is 1 to 300 sccm (1 sccm to 300 sccm), and the hydrogen gas flow rate is 1. It is preferable to be ˜20,000 sccm (1 sccm or more and 20000 sccm or less). In the film forming step, by supplying these gases from the same nozzle, gas supply is performed so that only the film forming gas and only the etching gas are prevented from reacting and selective growth can be performed.

次に、第2ステップであるエッチングステップでは、エッチングガスとして、成膜ステ
ップで用いたエッチングガスよりもエッチング力の強い塩素ガスを処理室201内に導入
してウエハ200に照射する(ステップ304)。このとき、温度は第1のステップと同
じ温度で行うが、処理室201の圧力は1Pa以上50Pa以下程度の低圧下で行い、エッチングガスが基板周辺部のみでなく、基板中央部まで到達し反応できるようにすると好ましい。また、塩素ガス流量は1〜100sccm(1sccm以上100sccm以下)とすることが好ましい。さらに、第2ステップでは水素ガスを流量10〜5000sccm(10sccm以上5000sccm以下)、好ましくは、100
0〜3000sccm(1000sccm以上3000sccm以下)程度を流すと良い。
Next, in the etching step as the second step, a chlorine gas having an etching power stronger than the etching gas used in the film forming step is introduced into the processing chamber 201 as an etching gas, and is irradiated onto the wafer 200 (step 304). . At this time, the temperature is the same as that in the first step, but the pressure in the processing chamber 201 is under a low pressure of about 1 Pa to 50 Pa, and the etching gas reaches not only the periphery of the substrate but also the center of the substrate and reacts. It is preferable if possible. The chlorine gas flow rate is preferably 1 to 100 sccm (1 sccm to 100 sccm). Further, in the second step, hydrogen gas is supplied at a flow rate of 10 to 5000 sccm (10 sccm to 5000 sccm), preferably 100 sc
It is preferable to flow about 0 to 3000 sccm (1000 sccm to 3000 sccm).

次に、基板表面に残留した塩素を取り除くために、還元ガスとして例えば水素ガス等を
供給するパージステップを行う(ステップ305)。
Next, in order to remove chlorine remaining on the substrate surface, a purge step of supplying, for example, hydrogen gas as a reducing gas is performed (step 305).

成膜ステップ、エッチングステップ、パージステップを順に行うことにより、シリコン
膜の選択エピタキシャル成長を行う。また、成膜ステップ、エッチングステップ、パージ
ステップは順に所定回数繰り返しても良い。
A silicon film is selectively epitaxially grown by sequentially performing a film forming step, an etching step, and a purge step. Further, the film forming step, the etching step, and the purge step may be repeated a predetermined number of times in order.

ステップ303のように、ジクロルシランガスと塩化水素ガスを同時に導入することで
ジクロルシランガスが気相中で反応するのを抑制しながらシリコン表面のみに選択的にシ
リコンエピタキシャル成長を行う。
As in step 303, by introducing dichlorosilane gas and hydrogen chloride gas simultaneously, silicon epitaxial growth is selectively performed only on the silicon surface while suppressing the reaction of dichlorosilane gas in the gas phase.

また、ステップ304のように、エッチングガスのみを導入することによりシリコン窒
化膜もしくはシリコン酸化膜上に付着したシリコン原子核を除去する。
Further, as in step 304, the silicon nuclei attached on the silicon nitride film or silicon oxide film are removed by introducing only the etching gas.

さらに、ステップ305のように、還元ガスを導入することにより基板表面に残留した
塩素を除去する。
Further, as in step 305, chlorine remaining on the substrate surface is removed by introducing a reducing gas.

このように、ステップ303、ステップ304及びステップ305とを1回以上の所定
回数繰返す若しくは行うことにより、選択性及び基板面内の膜厚均一性を保ちながら十分厚いエピタキ
シャル膜を成長させることが出来る。
Thus, by repeating or performing Step 303, Step 304, and Step 305 one or more times, a sufficiently thick epitaxial film can be grown while maintaining selectivity and film thickness uniformity within the substrate surface. .

ステップ303とステップ304においては、キャリアガスとしての水素ガスは10〜
50000sccm(10sccm以上5000sccm以下)、同じくキャリアガスとしての窒素ガスは1〜30000sccm(1sccm以上30000sccm以下)流
すことが好ましい。キャリアガスとしての水素ガスおよび窒素ガスは、成膜ガスやエッチ
ングガスと同時に流す。窒素ガスを流す場合には、窒素ガス供給源、MFCおよびバルブ
を備えるガス供給ラインをもう一本追加する。
In step 303 and step 304, hydrogen gas as a carrier gas is 10 to 10.
50000 sccm (10 sccm or more and 5000 sccm or less), and nitrogen gas as a carrier gas is preferably flowed from 1 to 30000 sccm (1 sccm or more and 30000 sccm or less). Hydrogen gas and nitrogen gas as carrier gases are flowed simultaneously with the film forming gas and the etching gas. In the case of flowing nitrogen gas, another gas supply line including a nitrogen gas supply source, an MFC and a valve is added.

ステップ303とステップ304とを所定回数繰返して、所望のエピタキシャル膜を選
択成長させた後は、ガスを止め、処理室201を排気する。
After step 303 and step 304 are repeated a predetermined number of times to selectively grow a desired epitaxial film, the gas is stopped and the processing chamber 201 is exhausted.

その後、処理室201からウエハ200をアンロードする(ステップ305)。   Thereafter, the wafer 200 is unloaded from the processing chamber 201 (step 305).

本実施形態によれば、以下に示す効果のうち一つ又は複数の効果を奏する。
(1)表面の少なくとも一部にシリコン窒化膜もしくはシリコン酸化膜を有し、かつシリコン表面も露出したシリコン基板を処理室内に挿入し、処理室内にシラン系のガス(SiGeの混晶膜を成長する場合は、ゲルマン系のガスをも供給する)と同時に水素ガスおよびフッ素ガス、塩化水素ガスなどのエッチングガスを同時に導入することでシラン系もしくはゲルマン系ガスが気相中で反応するのを抑制しながらシリコン表面のみに選択的にシリコンエピタキシャル成長を行う成膜ステップと、成膜ステップで用いたエッチングガスよりエッチング力が強い塩素ガスなどのエッチングガスを導入してシリコン窒化膜もしくはシリコン酸化膜上に付着したシリコン原子を除去するエッチングステップとを順に少なくとも1回以上行うことにより選択エピタキシャル成長を行う。このようにすることで、選択性及び基板面内の膜厚均一性を保ちながら十分厚いエピタキシャル膜を成長させることが出来る。
(2)成膜ステップではエッチング力の弱いガスを用いることで、基板上のシリコン表面に選択的に膜厚均一性良く成膜することが可能となる。また、エッチング力の弱いガスを使用することによって、基板周辺部だけが極端にエッチングされることを防ぎ、面内の膜厚均一性を向上させることができる。また、基板表面のシリコン窒化膜や酸化膜、シリコンの多結晶膜や単結晶膜などの膜質によるエッチング力の差を小さくすることが可能となる。
また、成膜ステップでは、エッチング力が弱いためにシリコン窒化膜やシリコン酸化膜等の絶縁膜上にもシリコン膜成膜の初期段階のシリコン原子やシリコン原子核が発生してしまうが、エッチングステップにおいて、この絶縁膜上に発生したシリコン原子核の除去を小流量、短時間で行う目的で成膜ステップよりもエッチング力の強いガスによってシリコン原子やシリコンの原子核を取り除くことが可能になる。また、低い温度で且つ短時間でのエッチングが可能となり、不純物拡散や形状変形などデバイス特性を劣化させるような熱による基板へのダメージの低減、スループットを向上させることなどが可能となる。
According to the present embodiment, one or more of the following effects can be achieved.
(1) A silicon substrate having a silicon nitride film or a silicon oxide film on at least a part of the surface and having the silicon surface exposed is inserted into the processing chamber, and a silane-based gas (SiGe mixed crystal film is grown in the processing chamber) In this case, a germane gas is also supplied.) Simultaneously, an etching gas such as hydrogen gas, fluorine gas, or hydrogen chloride gas is simultaneously introduced to suppress the reaction of the silane or germane gas in the gas phase. A film forming step for selectively performing silicon epitaxial growth only on the silicon surface, and an etching gas such as chlorine gas having a higher etching power than the etching gas used in the film forming step is introduced on the silicon nitride film or silicon oxide film. And performing an etching step for removing attached silicon atoms at least once in order. Performing a selective epitaxial growth. By doing so, it is possible to grow a sufficiently thick epitaxial film while maintaining selectivity and film thickness uniformity in the substrate surface.
(2) By using a gas having a weak etching power in the film forming step, it becomes possible to selectively form a film on the silicon surface on the substrate with good film thickness uniformity. Further, by using a gas having a weak etching power, it is possible to prevent only the peripheral portion of the substrate from being etched extremely, and to improve the in-plane film thickness uniformity. In addition, it becomes possible to reduce a difference in etching force due to film quality such as a silicon nitride film or an oxide film on the substrate surface, a polycrystalline silicon film or a single crystal film.
In addition, since the etching power is weak in the film formation step, silicon atoms and silicon nuclei in the initial stage of silicon film formation are generated on the insulating film such as a silicon nitride film and a silicon oxide film. For the purpose of removing silicon nuclei generated on the insulating film in a small flow rate and in a short time, it becomes possible to remove silicon atoms and silicon nuclei with a gas having a stronger etching power than the film forming step. In addition, etching can be performed at a low temperature in a short time, and damage to the substrate due to heat that deteriorates device characteristics such as impurity diffusion and shape deformation can be reduced, and throughput can be improved.

〈第2の実施形態〉
第2の実施形態について説明する。
第2の実施形態で、第1の実施形態と異なる点は、基板処理におけるプロセスシーケンスである。具体的には、主に第2ステップであるエッチングステップ(ステップ304)において、エッチングガスとして、成膜ステップで用いたエッチングガスよりもエッチング力の強いガス、例えば塩素ガスを、処理室201内に導入してウエハ200に照射する際のガス供給量、処理室201内の圧力条件を第1の実施形態と異ならせている。その他のプロセスシーケンスや処理装置、処理炉の構成、動作については第1の実施形態と同様である。
<Second Embodiment>
A second embodiment will be described.
The second embodiment is different from the first embodiment in a process sequence in substrate processing. Specifically, in the etching step (step 304) which is mainly the second step, a gas having a higher etching power than the etching gas used in the film formation step, for example, chlorine gas, is used as the etching gas in the processing chamber 201. The amount of gas supplied when the wafer 200 is introduced and irradiated and the pressure condition in the processing chamber 201 are different from those in the first embodiment. Other process sequences, processing apparatuses, and processing furnace configurations and operations are the same as those in the first embodiment.

本実施形態では、第1の実施形態における第1ステップである成膜ステップ(303)において、成膜ガスとしてのジクロルシランガスとエッチングガスとしての塩化水素ガスを同時に処理室201内に導入してウエハ200に照射する。このとき、下表1に示すように処理室201の圧力は50Pa以上大気圧未満、塩化水素ガス流量は1sccm以上300sccm以下とする。また、ジクロルシランガス流量は1sccm以上500sccm以下、水素ガス流量は1sccm以上20000sccm以下とすることが好ましい。
また、第2ステップであるエッチングステップ(ステップ304)において、下表1に示すように処理室201内に導入してウエハ200に照射する際に、エッチングガスとして、第1ステップである成膜ステップで用いたエッチングガスよりもエッチング力の強いガスとして塩素ガスの供給量を1sccm以上300sccm以下とする。また、この時の処理室201内の圧力を50Pa以上大気圧未満とする。
すなわち、成膜ステップとエッチングステップとを、処理室201内の圧力条件とエッチングガスの供給量条件とを同様にして実施する。
In this embodiment, in the film forming step (303) which is the first step in the first embodiment, dichlorosilane gas as a film forming gas and hydrogen chloride gas as an etching gas are simultaneously introduced into the processing chamber 201. Irradiate the wafer 200. At this time, as shown in Table 1 below, the pressure in the processing chamber 201 is 50 Pa or more and less than atmospheric pressure, and the hydrogen chloride gas flow rate is 1 sccm or more and 300 sccm or less. The dichlorosilane gas flow rate is preferably 1 sccm to 500 sccm, and the hydrogen gas flow rate is preferably 1 sccm to 20000 sccm.
Further, in the etching step (step 304) as the second step, as shown in Table 1 below, when the wafer 200 is irradiated after being introduced into the processing chamber 201, the film forming step as the first step is used as an etching gas. The supply amount of chlorine gas as a gas having an etching power stronger than the etching gas used in 1 is set to 1 sccm or more and 300 sccm or less. Further, the pressure in the processing chamber 201 at this time is set to 50 Pa or more and less than atmospheric pressure.
That is, the film forming step and the etching step are performed in the same manner as the pressure condition in the processing chamber 201 and the etching gas supply amount condition.

本実施形態によれば、第1の実施形態に記載の効果と同様の効果に加えて、処理室201内の圧力変動を抑制することができる分、スループットが向上するとともに、より再現性の高いウエハ200への処理を実現することができるという効果を奏する。   According to this embodiment, in addition to the effect similar to the effect described in the first embodiment, the pressure fluctuation in the processing chamber 201 can be suppressed, so that the throughput is improved and the reproducibility is higher. There is an effect that processing on the wafer 200 can be realized.

〈第3の実施形態〉
第3の実施形態について説明する。
第3の実施形態で、第1の実施形態と異なる点は、基板処理におけるプロセスシーケンスである。具体的には、主に第2ステップであるエッチングステップ(ステップ304)において、エッチングガスとして、成膜ステップで用いたエッチングガスよりもエッチング力の強いガス、例えば塩素ガスを、処理室201内に導入してウエハ200に照射する際のガス供給量、処理室201内の圧力条件を第1の実施形態と異ならせている。その他のプロセスシーケンスや処理装置、処理炉の構成、動作については第1の実施形態と同様である。
<Third Embodiment>
A third embodiment will be described.
The third embodiment is different from the first embodiment in a process sequence in substrate processing. Specifically, in the etching step (step 304) which is mainly the second step, a gas having a higher etching power than the etching gas used in the film formation step, for example, chlorine gas, is used as the etching gas in the processing chamber 201. The amount of gas supplied when the wafer 200 is introduced and irradiated and the pressure condition in the processing chamber 201 are different from those in the first embodiment. Other process sequences, processing apparatuses, and processing furnace configurations and operations are the same as those in the first embodiment.

本実施形態では、第1の実施形態における第1ステップである成膜ステップ(303)において、成膜ガスとしてのジクロルシランガスとエッチングガスとしての塩化水素ガスを同時に処理室201内に導入してウエハ200に照射する。このとき、下表2に示すように処理室201の圧力は50Pa以上大気圧未満、塩化水素ガス流量は1sccm以上300sccm以下とする。また、ジクロルシランガス流量は1sccm以上500sccm以下、水素ガス流量は1sccm以上20000sccm以下とすることが好ましい。
また、第2ステップであるエッチングステップ(ステップ304)において、下表2に示すように処理室201内に導入してウエハ200に照射する際に、エッチングガスとして、第1ステップである成膜ステップで用いたエッチングガスよりもエッチング力の強いガスとして塩素ガスを供給する際の処理室201内の圧力を1Pa以上20Pa以下とする。
すなわち、成膜ステップよりもエッチングステップの処理室201内の圧力を低くする。
In this embodiment, in the film forming step (303) which is the first step in the first embodiment, dichlorosilane gas as a film forming gas and hydrogen chloride gas as an etching gas are simultaneously introduced into the processing chamber 201. Irradiate the wafer 200. At this time, as shown in Table 2 below, the pressure in the processing chamber 201 is 50 Pa or more and less than atmospheric pressure, and the hydrogen chloride gas flow rate is 1 sccm or more and 300 sccm or less. The dichlorosilane gas flow rate is preferably 1 sccm to 500 sccm, and the hydrogen gas flow rate is preferably 1 sccm to 20000 sccm.
Further, in the etching step (step 304) as the second step, as shown in Table 2 below, when the wafer 200 is irradiated after being introduced into the processing chamber 201, the film forming step as the first step is used as an etching gas. The pressure in the processing chamber 201 when supplying chlorine gas as a gas having an etching power stronger than the etching gas used in step 1 is set to 1 Pa or more and 20 Pa or less.
That is, the pressure in the processing chamber 201 in the etching step is made lower than that in the film forming step.

本実施形態によれば、第1の実施形態に記載の効果と同様の効果に加えて、エッチングガスが基板周辺部のみでなく、基板中央部まで到達し反応できるようにすることができ、基板全体で成膜ステップにて残留したシリコン原子やシリコンの原子核等をエッチングすることができ、基板面内を均一にエッチングすることができるという効果を奏する。   According to the present embodiment, in addition to the same effects as those described in the first embodiment, the etching gas can reach and react not only at the peripheral portion of the substrate but also at the central portion of the substrate. As a whole, silicon atoms remaining in the film forming step, silicon nuclei, and the like can be etched, and the substrate surface can be etched uniformly.

〈第4の実施形態〉
第4の実施形態について説明する。
第4の実施形態で、第1の実施形態と異なる点は、基板処理におけるプロセスシーケンスである。具体的には、主に第1ステップである成膜ステップ(ステップ303)において、処理室201内の温度、特にウエハ200の温度条件と、第2ステップであるエッチングステップ(ステップ304)において、エッチングガスとして、成膜ステップで用いたエッチングガスよりもエッチング力の強いガス、例えば塩素ガスを、処理室201内に導入してウエハ200に照射する際の処理室201内の温度、特にウエハ200の温度条件を、第1の実施形態と異ならせている。その他のプロセスシーケンスや処理装置、処理炉の構成、動作については第1の実施形態と同様である。
<Fourth Embodiment>
A fourth embodiment will be described.
The fourth embodiment is different from the first embodiment in a process sequence in substrate processing. Specifically, mainly in the film forming step (step 303) which is the first step, the temperature in the processing chamber 201, particularly the temperature condition of the wafer 200, and the etching step (step 304) which is the second step are etched. As the gas, a gas having a higher etching power than the etching gas used in the film formation step, for example, chlorine gas, is introduced into the processing chamber 201 and irradiated onto the wafer 200, particularly the temperature in the wafer 200. The temperature condition is different from that of the first embodiment. Other process sequences, processing apparatuses, and processing furnace configurations and operations are the same as those in the first embodiment.

本実施形態では、第1の実施形態における第1ステップである成膜ステップ(303)において、成膜ガスとしてのジクロルシランガスとエッチングガスとしての塩化水素ガスを同時に処理室201内に導入してウエハ200に照射する。このとき、下表3に示すように処理室201の圧力は50Pa以上大気圧未満、塩化水素ガス流量は1sccm以上300sccm以下とする。下表3に示すように処理室201内の温度650℃以上800℃以下とする。ジクロルシランガス流量は1sccm以上500sccm以下、水素ガス流量は1sccm以上20000sccm以下とすることが好ましい。
また、第2ステップであるエッチングステップ(ステップ304)において、下表3に示すように処理室201内に導入してウエハ200に照射する際に、エッチングガスとして、第1ステップである成膜ステップで用いたエッチングガスよりもエッチング力の強いガスとして塩素ガスを供給する際の処理室201内の温度、特にウエハ200の温度を、成膜ステップよりも高い温度であって、650℃より高く800℃以下に一時的に昇温させる。例えば、成膜ステップにおける処理室201内の温度を650℃とした場合、エッチングステップでは、800℃に一時的に昇温させる。これにより、エッチングステップにおいて、より一層エッチング力を高めることができる。また、より好ましくは、エッチングステップにおける処理室内201の温度は、成膜ステップからエッチングステップに移行する際には、成膜ステップと同じ温度とし、その後、一旦昇温させて、その後、降温させ、エッチングステップが終了する前に再び、成膜ステップと同じ温度に戻すようにすると良い。例えば、成膜ステップにおける処理室201内の温度を650℃とした場合、成膜ステップからエッチングステップに移行する際には、処理室201内の温度を650℃で維持し、その後、一旦800℃まで昇温させて、その後、降温させ、エッチングステップが終了する前に再び、成膜ステップと同じ温度である650℃に戻す。これにより、昇温・降温させる際にもエッチングすることができ、より一層エッチング時間を短縮することができる。
なお、エッチングステップ中に処理室201内の温度を一時的に昇温させることなく、すなわち、エッチングステップ中に処理室201内の温度を変化させることなく、エッチングステップ当初から成膜ステップより高温にし、一定温度でのエッチングを行う方法も有効である。
In this embodiment, in the film forming step (303) which is the first step in the first embodiment, dichlorosilane gas as a film forming gas and hydrogen chloride gas as an etching gas are simultaneously introduced into the processing chamber 201. Irradiate the wafer 200. At this time, as shown in Table 3 below, the pressure in the processing chamber 201 is 50 Pa or more and less than atmospheric pressure, and the hydrogen chloride gas flow rate is 1 sccm or more and 300 sccm or less. As shown in Table 3 below, the temperature in the processing chamber 201 is set to 650 ° C. or higher and 800 ° C. or lower. The dichlorosilane gas flow rate is preferably 1 sccm or more and 500 sccm or less, and the hydrogen gas flow rate is preferably 1 sccm or more and 20000 sccm or less.
Further, in the etching step (step 304) as the second step, as shown in Table 3 below, when the wafer 200 is irradiated by being introduced into the processing chamber 201, the film forming step as the first step is used as an etching gas. The temperature in the processing chamber 201 when supplying chlorine gas as a gas having a higher etching power than the etching gas used in the above, particularly the temperature of the wafer 200, is higher than the film forming step and higher than 650 ° C. and 800 Temporarily raise the temperature to below ℃. For example, when the temperature in the processing chamber 201 in the film formation step is 650 ° C., the temperature is temporarily raised to 800 ° C. in the etching step. Thereby, the etching power can be further increased in the etching step. More preferably, the temperature of the processing chamber 201 in the etching step is the same as that in the film forming step when the film forming step shifts to the etching step, and then the temperature is once raised and then lowered. Before the etching step is completed, the temperature may be returned again to the same temperature as the film forming step. For example, when the temperature in the processing chamber 201 in the film forming step is 650 ° C., when the process proceeds from the film forming step to the etching step, the temperature in the processing chamber 201 is maintained at 650 ° C., and then once is 800 ° C. The temperature is then lowered to 650 ° C., which is the same temperature as the film forming step, before the etching step is completed. Thus, etching can be performed even when the temperature is raised or lowered, and the etching time can be further shortened.
Note that the temperature in the processing chamber 201 is not temporarily increased during the etching step, that is, the temperature in the processing chamber 201 is not changed during the etching step, and is set higher than the film formation step from the beginning of the etching step. A method of performing etching at a constant temperature is also effective.

本実施形態によれば、第1の実施形態に記載の効果と同様の効果に加えて、さらにエッチングステップにおけるエッチング力を高めることができ、より一層スループット等が向上するという効果を奏する。特に、不純物拡散や形状変形などデバイス特性を劣化させるような熱による基板へのダメージが問題とならない半導体基板上に成膜する場合に有効となる。   According to this embodiment, in addition to the effect similar to the effect described in the first embodiment, the etching power in the etching step can be further increased, and there is an effect that the throughput and the like are further improved. This is particularly effective when a film is formed on a semiconductor substrate in which damage to the substrate due to heat that deteriorates device characteristics such as impurity diffusion and shape deformation is not a problem.

〈第5の実施形態〉
第5の実施形態について説明する。
第5の実施形態で、第1の実施形態と異なる点は、基板処理におけるプロセスシーケンスである。具体的には、主に第1ステップである成膜ステップ(ステップ303)において、処理室201内の圧力条件と、第2ステップであるエッチングステップ(ステップ304)において、エッチングガスとして、成膜ステップで用いたエッチングガス、例えば塩化水素ガスをそのまま使用して、処理室201内に導入してウエハ200に照射する際の処理室201内の圧力条件を第1の実施形態と異ならせている。その他のプロセスシーケンスや処理装置、処理炉の構成、動作については第1の実施形態と同様である。
<Fifth Embodiment>
A fifth embodiment will be described.
The fifth embodiment is different from the first embodiment in a process sequence in substrate processing. Specifically, mainly in the film forming step (step 303) which is the first step, the pressure condition in the processing chamber 201 and in the etching step (step 304) which is the second step, the film forming step is used as an etching gas. The pressure conditions in the processing chamber 201 when the wafer is irradiated with the etching gas, for example, hydrogen chloride gas as used in the above, are introduced into the processing chamber 201 to be different from those in the first embodiment. Other process sequences, processing apparatuses, and processing furnace configurations and operations are the same as those in the first embodiment.

本実施形態では、第1の実施形態における第1ステップである成膜ステップ(303)において、成膜ガスとしてのジクロルシランガスとエッチングガスとしての塩化水素ガスを同時に処理室201内に導入してウエハ200に照射する。このとき、下表4に示すように処理室201の圧力は1Pa以上100Pa以下、塩化水素ガス流量は1sccm以上300sccm以下とする。下表4に示すように処理室201内の温度650℃以上800℃以下とする。ジクロルシランガス流量は1sccm以上500sccm以下、水素ガス流量は1sccm以上20000sccm以下とすることが好ましい。
また、第2ステップであるエッチングステップ(ステップ304)において、下表4に示すように処理室201内に導入してウエハ200に照射する際に、エッチングガスとして、第1ステップである成膜ステップで用いたエッチングガス、例えば、塩化水素ガスをそのまま使用する。また、エッチングステップにおいて、エッチングガスを供給する際の処理室201内の圧力を、成膜ステップにおける処理室201内の圧力よりも一時的に高い圧力にする。例えば、エッチングステップにおける処理室201内の圧力を500Pa以上1000Pa以下に一時的に昇圧させる。これにより、エッチングステップにおいて、エッチング力を高めることができる。
また、より好ましくは、エッチングステップにおける処理室内201の圧力は、成膜ステップからエッチングステップに移行する際には、成膜ステップと同じ圧力とし、その後、一旦昇圧させて、その後、降圧させ、エッチングステップが終了する前に再び、成膜ステップと同じ圧力に戻すようにすると良い。例えば、成膜ステップにおける処理室201内の圧力を100Paとした場合、成膜ステップからエッチングステップに移行する際には、処理室201内の圧力を100Paで維持し、その後、一旦1000Paまで昇圧させて、その後、降圧させ、エッチングステップが終了する前に再び、成膜ステップと同じ圧力である100Paに戻す。これにより、昇圧・降圧させる際にもエッチングすることができ、より一層エッチング時間を短縮することができる。
なお、エッチングステップ中に処理室201内の圧力を一時的に昇圧させることなく、すなわち、エッチングステップ中に処理室201内の圧力を変化させることなく、エッチングステップ当初から成膜ステップより高圧にし、一定圧力でのエッチングを行う方法も有効である。
In this embodiment, in the film forming step (303) which is the first step in the first embodiment, dichlorosilane gas as a film forming gas and hydrogen chloride gas as an etching gas are simultaneously introduced into the processing chamber 201. Irradiate the wafer 200. At this time, as shown in Table 4 below, the pressure in the processing chamber 201 is 1 Pa to 100 Pa, and the hydrogen chloride gas flow rate is 1 sccm to 300 sccm. As shown in Table 4 below, the temperature in the processing chamber 201 is set to 650 ° C. or higher and 800 ° C. or lower. The dichlorosilane gas flow rate is preferably 1 sccm or more and 500 sccm or less, and the hydrogen gas flow rate is preferably 1 sccm or more and 20000 sccm or less.
Further, in the etching step (step 304) as the second step, as shown in Table 4 below, when the wafer 200 is introduced into the processing chamber 201 and irradiated onto the wafer 200, the film forming step as the first step is used as an etching gas. The etching gas used in step 1, for example, hydrogen chloride gas is used as it is. In the etching step, the pressure in the processing chamber 201 when supplying the etching gas is temporarily set higher than the pressure in the processing chamber 201 in the film forming step. For example, the pressure in the processing chamber 201 in the etching step is temporarily increased to 500 Pa or more and 1000 Pa or less. Thereby, the etching power can be increased in the etching step.
More preferably, the pressure in the processing chamber 201 in the etching step is the same as that in the film forming step when the film forming step is shifted to the etching step, and then is once increased and then reduced in pressure. It is preferable that the pressure is returned to the same pressure as the film forming step before the step is completed. For example, when the pressure in the processing chamber 201 in the film forming step is 100 Pa, when the process proceeds from the film forming step to the etching step, the pressure in the processing chamber 201 is maintained at 100 Pa, and then the pressure is once increased to 1000 Pa. Thereafter, the pressure is reduced, and the pressure is returned to 100 Pa, which is the same pressure as the film forming step, before the etching step is completed. As a result, etching can be performed even when the pressure is raised or lowered, and the etching time can be further shortened.
In addition, without temporarily increasing the pressure in the processing chamber 201 during the etching step, that is, without changing the pressure in the processing chamber 201 during the etching step, the pressure is higher than the film forming step from the beginning of the etching step, A method of performing etching at a constant pressure is also effective.

本実施形態によれば、以下に示す効果のうち一つ又は複数の効果を奏する。
(1)表面の少なくとも一部にシリコン窒化膜もしくはシリコン酸化膜を有し、かつシリコン表面も露出したシリコン基板を処理室内に挿入し、処理室内にシラン系のガス(SiGeの混晶膜を成長する場合は、ゲルマン系のガスをも供給する)と同時に水素ガスおよびフッ素ガス、塩化水素ガスなどのエッチングガスを同時に導入することでシラン系もしくはゲルマン系ガスが気相中で反応するのを抑制しながらシリコン表面のみに選択的にシリコンエピタキシャル成長を行う成膜ステップと、処理室内の圧力を成膜ステップよりも高い圧力とし、成膜ステップで用いたエッチングガスを導入してシリコン窒化膜もしくはシリコン酸化膜上に付着したシリコン原子を除去するエッチングステップとを順に少なくとも1回以上行うことにより選択エピタキシャル成長を行う。このようにすることで、選択性及び基板面内の膜厚均一性を保ちながら十分厚いエピタキシャル膜を成長させることが出来る。
(2)成膜ステップではエッチング力の弱いガスを用いることで、基板上のシリコン表面に選択的に膜厚均一性良く成膜することが可能となる。また、エッチング力の弱いガスを使用することによって、基板周辺部だけが極端にエッチングされることを防ぎ、面内の膜厚均一性を向上させることができる。また、基板表面のシリコン窒化膜や酸化膜、シリコンの多結晶膜や単結晶膜などの膜質によるエッチング力の差を小さくすることが可能となる。
また、成膜ステップでは、エッチング力が弱いためにシリコン窒化膜やシリコン酸化膜等の絶縁膜上にもシリコン膜成膜の初期段階のシリコン原子核が発生してしまうが、成膜ステップにおいて、この絶縁膜上に発生したシリコン原子核の除去を小流量、短時間で行う目的で成膜ステップと同じエッチングガスを用い、かつ成膜ステップよりも処理室内の圧力を高くすることでシリコン原子やシリコンの原子核を取り除くことが可能になる。また、低い温度で且つ短時間でのエッチングが可能となり、不純物拡散や形状変形などデバイス特性を劣化させるような熱による基板へのダメージの低減、スループットを向上させることなどが可能となる。
According to the present embodiment, one or more of the following effects can be achieved.
(1) A silicon substrate having a silicon nitride film or a silicon oxide film on at least a part of the surface and having the silicon surface exposed is inserted into the processing chamber, and a silane-based gas (SiGe mixed crystal film is grown in the processing chamber) In this case, a germane gas is also supplied.) Simultaneously, an etching gas such as hydrogen gas, fluorine gas, or hydrogen chloride gas is simultaneously introduced to suppress the reaction of the silane or germane gas in the gas phase. While forming the silicon epitaxial film selectively on the silicon surface, the pressure in the processing chamber is set higher than the film forming step, and the etching gas used in the film forming step is introduced to introduce a silicon nitride film or silicon oxide. An etching step for removing silicon atoms adhering to the film at least once in order. Perform-option epitaxial growth. By doing so, it is possible to grow a sufficiently thick epitaxial film while maintaining selectivity and film thickness uniformity in the substrate surface.
(2) By using a gas having a weak etching power in the film forming step, it becomes possible to selectively form a film on the silicon surface on the substrate with good film thickness uniformity. Further, by using a gas having a weak etching power, it is possible to prevent only the peripheral portion of the substrate from being etched extremely, and to improve the in-plane film thickness uniformity. In addition, it becomes possible to reduce a difference in etching force due to film quality such as a silicon nitride film or an oxide film on the substrate surface, a polycrystalline silicon film or a single crystal film.
In addition, since the etching force is weak in the film formation step, silicon nuclei in the initial stage of silicon film formation are also generated on the insulating film such as the silicon nitride film and the silicon oxide film. For the purpose of removing silicon nuclei generated on the insulating film at a low flow rate and in a short time, the same etching gas as that used in the film formation step is used, and the pressure in the processing chamber is set higher than that in the film formation step so that silicon atoms and silicon can be removed. It becomes possible to remove nuclei. In addition, etching can be performed at a low temperature in a short time, and damage to the substrate due to heat that deteriorates device characteristics such as impurity diffusion and shape deformation can be reduced, and throughput can be improved.

〈第6の実施形態〉
第6の実施形態について説明する。
第6の実施形態で、第1の実施形態と異なる点は、基板処理におけるプロセスシーケンスである。具体的には、主に第2ステップであるエッチングステップ(ステップ304)において、エッチングガスとして、成膜ステップで用いたエッチングガス、例えば塩化水素ガスをそのまま使用して、処理室201内に導入してウエハ200に照射する際の処理室201内へのエッチングガスの供給量を第1の実施形態と異ならせている。その他のプロセスシーケンスや処理装置、処理炉の構成、動作については第1の実施形態と同様である。
<Sixth Embodiment>
A sixth embodiment will be described.
The sixth embodiment is different from the first embodiment in a process sequence in substrate processing. Specifically, mainly in the etching step (step 304), which is the second step, the etching gas used in the film formation step, for example, hydrogen chloride gas, is used as it is and is introduced into the processing chamber 201. The amount of etching gas supplied into the processing chamber 201 when irradiating the wafer 200 is different from that of the first embodiment. Other process sequences, processing apparatuses, and processing furnace configurations and operations are the same as those in the first embodiment.

本実施形態では、第1の実施形態における第1ステップである成膜ステップ(303)において、成膜ガスとしてのジクロルシランガスとエッチングガスとしての塩化水素ガスを同時に処理室201内に導入してウエハ200に照射する。このとき、下表5に示すように処理室201の圧力は50Pa以上大気圧未満、塩化水素ガス流量は1sccm以上300sccm以下とする。また、ジクロルシランガス流量は1sccm以上500sccm以下、水素ガス流量は1sccm以上20000sccm以下とすることが好ましい。また、第2ステップであるエッチングステップ(ステップ304)において、下表5に示すように処理室201内に導入してウエハ200に照射する際に、エッチングガスとして、第1ステップである成膜ステップで用いたエッチングガス、例えば、塩化水素ガスをそのまま使用する。また、エッチングステップにおいて、エッチングガスを供給する際のガス供給量を、成膜ステップにおけるガス供給量よりも多くする。例えば、エッチングステップにおける処理室201内のエッチングガスの供給量を5000SCCM以上10000SCCM以下とする。これにより、エッチングステップにおいて、エッチング力を高めることができる。 In this embodiment, in the film forming step (303) which is the first step in the first embodiment, dichlorosilane gas as a film forming gas and hydrogen chloride gas as an etching gas are simultaneously introduced into the processing chamber 201. Irradiate the wafer 200. At this time, as shown in Table 5 below, the pressure in the processing chamber 201 is 50 Pa or more and less than atmospheric pressure, and the hydrogen chloride gas flow rate is 1 sccm or more and 300 sccm or less. The dichlorosilane gas flow rate is preferably 1 sccm to 500 sccm, and the hydrogen gas flow rate is preferably 1 sccm to 20000 sccm. Further, in the etching step (step 304) as the second step, when the wafer 200 is irradiated into the processing chamber 201 as shown in Table 5 below, the film forming step as the first step is used as an etching gas. The etching gas used in step 1, for example, hydrogen chloride gas is used as it is. In the etching step, the gas supply amount when supplying the etching gas is set larger than the gas supply amount in the film forming step. For example, the supply amount of the etching gas in the processing chamber 201 in the etching step is set to 5000 SCCM or more and 10,000 SCCM or less. Thereby, the etching power can be increased in the etching step.

本実施形態によれば、以下に示す効果のうち一つ又は複数の効果を奏する。
(1)表面の少なくとも一部にシリコン窒化膜もしくはシリコン酸化膜を有し、かつシリコン表面も露出したシリコン基板を処理室内に挿入し、処理室内にシラン系のガス(SiGeの混晶膜を成長する場合は、ゲルマン系のガスをも供給する)と同時に水素ガスおよびフッ素ガス、塩化水素ガスなどのエッチングガスを同時に導入することでシラン系もしくはゲルマン系ガスが気相中で反応するのを抑制しながらシリコン表面のみに選択的にシリコンエピタキシャル成長を行う成膜ステップと、成膜ステップで用いたエッチングガスを成膜ステップよりも処理室内へのエッチングガス供給量を多く導入して、シリコン窒化膜もしくはシリコン酸化膜上に付着したシリコン原子を除去するエッチングステップとを順に少なくとも1回以上行うことにより選択エピタキシャル成長を行う。このようにすることで、選択性及び基板面内の膜厚均一性を保ちながら十分厚いエピタキシャル膜を成長させることが出来る。
(2)成膜ステップではエッチング力の弱いガスを用いることで、基板上のシリコン表面に選択的に膜厚均一性良く成膜することが可能となる。また、エッチング力の弱いガスを使用することによって、基板周辺部だけが極端にエッチングされることを防ぎ、面内の膜厚均一性を向上させることができる。また、基板表面のシリコン窒化膜や酸化膜、シリコンの多結晶膜や単結晶膜などの膜質によるエッチング力の差を小さくすることが可能となる。
また、成膜ステップでは、エッチング力が弱いためにシリコン窒化膜やシリコン酸化膜等の絶縁膜上にもシリコン膜成膜の初期段階のシリコン原子核が発生してしまうが、成膜ステップにおいて、この絶縁膜上に発生したシリコン原子核の除去を小流量、短時間で行う目的で成膜ステップと同じエッチングガスを用い、かつ成膜ステップよりも処理室内へ供給するエッチングガスの供給量を多くすることでシリコン原子やシリコンの原子核を取り除くことが可能になる。また、低い温度で且つ短時間でのエッチングが可能となり、不純物拡散や形状変形などデバイス特性を劣化させるような熱による基板へのダメージの低減、スループットを向上させることなどが可能となる。
According to the present embodiment, one or more of the following effects can be achieved.
(1) A silicon substrate having a silicon nitride film or a silicon oxide film on at least a part of the surface and having the silicon surface exposed is inserted into the processing chamber, and a silane-based gas (SiGe mixed crystal film is grown in the processing chamber) In this case, a germane gas is also supplied.) Simultaneously, an etching gas such as hydrogen gas, fluorine gas, or hydrogen chloride gas is simultaneously introduced to suppress the reaction of the silane or germane gas in the gas phase. While the film formation step selectively epitaxially grows only on the silicon surface, and the etching gas used in the film formation step is introduced more into the processing chamber than the film formation step, the silicon nitride film or An etching step for removing silicon atoms adhering to the silicon oxide film is sequentially performed at least once. Performing a selective epitaxial growth by the. By doing so, it is possible to grow a sufficiently thick epitaxial film while maintaining selectivity and film thickness uniformity within the substrate surface.
(2) By using a gas with weak etching power in the film forming step, it is possible to selectively form a film on the silicon surface on the substrate with good film thickness uniformity. Further, by using a gas having a weak etching power, it is possible to prevent only the peripheral portion of the substrate from being etched extremely, and to improve the in-plane film thickness uniformity. In addition, it becomes possible to reduce a difference in etching force due to film quality such as a silicon nitride film or oxide film on the substrate surface, a polycrystalline silicon film or a single crystal film.
In addition, since the etching force is weak in the film formation step, silicon nuclei in the initial stage of silicon film formation are also generated on the insulating film such as the silicon nitride film and the silicon oxide film. To remove silicon nuclei generated on the insulating film at a low flow rate and in a short time, use the same etching gas as the film forming step, and increase the amount of etching gas supplied into the processing chamber than the film forming step. This makes it possible to remove silicon atoms and silicon nuclei. In addition, etching can be performed at a low temperature in a short time, and damage to the substrate due to heat that deteriorates device characteristics such as impurity diffusion and shape deformation can be reduced, and throughput can be improved.

〈第7の実施形態〉
第7の実施形態について説明する。
第7の実施形態で、第1の実施形態と異なる点は、基板処理におけるプロセスシーケンスである。具体的には、主に第2ステップであるエッチングステップ(ステップ304)において、第1ステップである成膜ステップで導入する成膜ガス、還元ガスを処理室201内にそのまま導入し続け、且つ、成膜ステップで用いたエッチングガスよりもエッチング力の強いガス、例えば塩素ガスを、処理室201内に導入する点において、第1の実施形態と異ならせている。その他のプロセスシーケンスや処理装置、処理炉の構成、動作については第1の実施形態と同様である。
<Seventh embodiment>
A seventh embodiment will be described.
The seventh embodiment differs from the first embodiment in a process sequence in substrate processing. Specifically, mainly in the etching step (step 304) which is the second step, the film forming gas and the reducing gas introduced in the film forming step which is the first step are continuously introduced into the processing chamber 201, and The second embodiment is different from the first embodiment in that a gas having a stronger etching power than the etching gas used in the film forming step, for example, chlorine gas, is introduced into the processing chamber 201. Other process sequences, processing apparatuses, and processing furnace configurations and operations are the same as those in the first embodiment.

本実施形態では、下表6に示すように第1ステップである成膜ステップ(303)において、成膜ガスとしてのジクロルシランガスとエッチングガスとしての塩化水素ガスを同時に処理室201内に導入してウエハ200に照射する。このとき、下表6に示すようにウエハ200温度を650℃以上800℃以下、処理室201の圧力を50Pa以上大気圧未満、塩化水素ガス流量は1sccm以上300sccm以下とする。また、ジクロルシランガス流量は1sccm以上500sccm以下、水素ガス流量は1sccm以上20000sccm以下とすることが好ましい。これらの条件にて強成膜ステップとして成膜ステップを行う。また、ステップ303の後のエッチングステップ(ステップ304)としての弱成膜ステップでは、成膜ステップで用いたエッチングガス、例えば、塩化水素ガスよりエッチング力の高いガスを用いる。例えば、塩素ガスを1sccm以上300sccm以下で処理室201内に供給し、他の処理条件は、成膜ステップと同条件(ウエハ温度650℃以上800℃以下、処理室201内の圧力50Pa以上大気圧未満、ジクロルシランガス流量1sccm以上500sccm以下、水素ガス流量1sccm以上20000sccm以下)とする。すなわち、強成膜ステップより、強力なエッチングガスを使用することによって、成膜ステップ303よりもエッチング力が強くなる弱成膜ステップを行う。 In this embodiment, as shown in Table 6 below, in the film forming step (303) as the first step, dichlorosilane gas as a film forming gas and hydrogen chloride gas as an etching gas are simultaneously introduced into the processing chamber 201. Then, the wafer 200 is irradiated. At this time, as shown in Table 6 below, the wafer 200 temperature is set to 650 ° C. to 800 ° C., the pressure in the processing chamber 201 is set to 50 Pa to less than atmospheric pressure, and the hydrogen chloride gas flow rate is set to 1 sccm to 300 sccm. The dichlorosilane gas flow rate is preferably 1 sccm to 500 sccm, and the hydrogen gas flow rate is preferably 1 sccm to 20000 sccm. Under these conditions, the film forming step is performed as the strong film forming step. Further, in the weak film formation step as the etching step (step 304) after step 303, an etching gas used in the film formation step, for example, a gas having higher etching power than hydrogen chloride gas is used. For example, chlorine gas is supplied into the processing chamber 201 at 1 sccm or more and 300 sccm or less, and other processing conditions are the same as the film forming step (wafer temperature 650 ° C. or more and 800 ° C. or less, pressure in the processing chamber 201 is 50 Pa or more and atmospheric pressure. Dichlorosilane gas flow rate of 1 sccm to 500 sccm, hydrogen gas flow rate of 1 sccm to 20000 sccm). That is, the weak film formation step in which the etching power is stronger than the film formation step 303 is performed by using a stronger etching gas than the strong film formation step.

本実施形態によれば、第1の実施形態に記載の効果と同様の効果に加えて、エッチングステップにおいても成膜ガスを処理室内に供給するため、成膜速度を向上させることができる分、スループットが向上することができるという効果を奏する。   According to the present embodiment, in addition to the same effects as those described in the first embodiment, since the film forming gas is supplied into the processing chamber also in the etching step, the film forming speed can be improved. There is an effect that the throughput can be improved.

〈第8の実施形態〉
第8の実施形態について説明する。
第8の実施形態で、第1の実施形態と異なる点は、基板処理におけるプロセスシーケンスである。具体的には、主に第2ステップであるエッチングステップ(ステップ304)において、第1ステップである成膜ステップで導入する成膜ガス、還元ガス、エッチングガスを処理室201内にそのまま導入し続け、且つ処理室201内の温度、特にウエハ200の温度を成膜ステップ時の温度より低く且つ成膜ガスの熱分解温度よりも低い温度条件で行う点において、第1の実施形態と異ならせている。その他のプロセスシーケンスや処理装置、処理炉の構成、動作については第1の実施形態と同様である。
<Eighth Embodiment>
An eighth embodiment will be described.
The eighth embodiment is different from the first embodiment in a process sequence in substrate processing. Specifically, mainly in the etching step (step 304), which is the second step, the film forming gas, the reducing gas, and the etching gas introduced in the film forming step, which is the first step, are continuously introduced into the processing chamber 201 as they are. In addition, the temperature in the processing chamber 201, particularly the temperature of the wafer 200, is different from that of the first embodiment in that the temperature is lower than the temperature at the time of the film forming step and lower than the thermal decomposition temperature of the film forming gas. Yes. Other process sequences, processing apparatuses, and processing furnace configurations and operations are the same as those in the first embodiment.

本実施形態では、下表7に示すように第1ステップである成膜ステップ(303)において、成膜ガスとしてのジクロルシランガスとエッチングガスとしての塩化水素ガスを同時に処理室201内に導入してウエハ200に照射する。このとき、下表7に示すようにウエハ200温度を650℃以上800℃以下、処理室201の圧力を50Pa以上大気圧未満、塩化水素ガス流量は1sccm以上300sccm以下とする。また、ジクロルシランガス流量は1sccm以上500sccm以下、水素ガス流量は1sccm以上20000sccm以下とすることが好ましい。これらの条件にて強成膜ステップとして成膜ステップを行う。また、ステップ303の後のエッチングステップ(ステップ304)では、処理室内201の温度を成膜ステップにおける処理室201内の温度より低い温度であって、成膜ガスの熱分解温度より低い温度とする。例えば、エッチングステップにおいて、処理室201内の温度、特にウエハ200の温度を500℃以上600℃以下程度のジクロルシランガスの熱分解が進行しにくい温度にする。また、成膜ステップで用いたエッチングガス、例えば、塩化水素ガスよりエッチング力の高いガスを用いる。例えば、塩素ガスを1sccm以上300sccm以下で処理室201内に供給し、他の処理条件は、成膜ステップと同条件(処理室201内の圧力50Pa以上大気圧未満、ジクロルシランガス流量1sccm以上500sccm以下、水素ガス流量1sccm以上20000sccm以下)とする。すなわち、強成膜ステップより、強力なエッチングガスを使用することによって、成膜ステップ303よりもエッチング力が強くなるエッチングステップを行い、かつ、エッチングステップ中に成膜ガスが流れても、ウエハ200に成膜されないようにしている。 In this embodiment, as shown in Table 7 below, in the film forming step (303) as the first step, dichlorosilane gas as a film forming gas and hydrogen chloride gas as an etching gas are simultaneously introduced into the processing chamber 201. Then, the wafer 200 is irradiated. At this time, as shown in Table 7 below, the wafer 200 temperature is set to 650 ° C. to 800 ° C., the pressure in the processing chamber 201 is set to 50 Pa to less than atmospheric pressure, and the hydrogen chloride gas flow rate is set to 1 sccm to 300 sccm. The dichlorosilane gas flow rate is preferably 1 sccm to 500 sccm, and the hydrogen gas flow rate is preferably 1 sccm to 20000 sccm. Under these conditions, the film forming step is performed as the strong film forming step. In the etching step (step 304) after step 303, the temperature in the processing chamber 201 is set to a temperature lower than the temperature in the processing chamber 201 in the film forming step and lower than the thermal decomposition temperature of the film forming gas. . For example, in the etching step, the temperature in the processing chamber 201, particularly the temperature of the wafer 200, is set to a temperature at which thermal decomposition of dichlorosilane gas of about 500 ° C. to 600 ° C. is difficult to proceed. In addition, an etching gas used in the film formation step, for example, a gas having higher etching power than hydrogen chloride gas is used. For example, chlorine gas is supplied into the processing chamber 201 at 1 sccm or more and 300 sccm or less, and other processing conditions are the same as the film forming step (pressure in the processing chamber 201 is less than atmospheric pressure and less than atmospheric pressure, dichlorosilane gas flow rate is 1 sccm or more and 500 sccm. Hereinafter, the hydrogen gas flow rate is 1 sccm or more and 20000 sccm or less). That is, even if a strong etching gas is used rather than the strong film forming step, an etching step having an etching power stronger than that of the film forming step 303 is performed, and even if the film forming gas flows during the etching step, the wafer 200 The film is prevented from being deposited.

本実施形態によれば、第1の実施形態に記載の効果と同様の効果に加えて、温度以外の条件を変更せずに成膜ステップをエッチングステップに変更することができるという効果を奏する。   According to this embodiment, in addition to the effect similar to the effect described in the first embodiment, there is an effect that the film forming step can be changed to the etching step without changing the conditions other than the temperature.

〈その他の実施形態〉
上述した第1の実施形態〜第8の実施形態の他、種々の変更が可能である。
例えば、上述した第1の実施形態〜第4の実施形態、第7の実施形態では、成膜ステップ303とエッチングステップ304とで、エッチングガスの種類が異なるため、成膜ステップ303とエッチングステップ304との間に、エッチングガス及び成膜ガスを処理室201内に供給することなく、還元ガス、例えば水素ガスなどを処理室201内に供給するステップとしてパージステップを設けるようにしても良い。さらに好ましくは、成膜ステップ303とエッチングステップ304との間に、処理室201内を真空排気し、成膜ステップにおける処理室201内の残留ガスを全て除去するステップを設けるようにすると良い。 これらパージステップを追加することによって、成膜ステップ、エッチングステップにおいてガス種が変更となっても、ガス供給管内における成膜ステップ時の残留ガスとの混入等の問題等を抑制することができ、より良い条件にて同一のガス供給口からの供給を行うことができる。
<Other embodiments>
In addition to the first to eighth embodiments described above, various modifications are possible.
For example, in the first to fourth embodiments and the seventh embodiment described above, since the type of etching gas is different between the film forming step 303 and the etching step 304, the film forming step 303 and the etching step 304 are performed. A purge step may be provided as a step of supplying a reducing gas such as hydrogen gas into the processing chamber 201 without supplying the etching gas and the film forming gas into the processing chamber 201. More preferably, a step of evacuating the processing chamber 201 between the film forming step 303 and the etching step 304 and removing all residual gas in the processing chamber 201 in the film forming step may be provided. By adding these purge steps, even if the gas type is changed in the film formation step and the etching step, problems such as contamination with residual gas during the film formation step in the gas supply pipe can be suppressed. Supply from the same gas supply port can be performed under better conditions.

また、上述した実施形態において、待機室には、上述の形態では真空置換可能なロードロック室を適用した例にて説明したが、基板への自然酸化膜の付着等がさほど問題とならない処理を行う場合には、真空置換可能なロードロック室に代えて、窒素ガス雰囲気やクリーンエア雰囲気で構成される真空置換しないで清浄化するように構成しても良い。また、その場合、耐圧筐体とせずに単に筐体としても良い。 In the above-described embodiment, the standby chamber is described as an example in which a load-lock chamber that can be vacuum-replaced is applied in the above-described embodiment. When performing, it may replace with the load lock chamber which can be vacuum-replaced, and you may comprise so that it may clean without vacuum replacement comprised by nitrogen gas atmosphere or clean air atmosphere. In that case, the housing may be simply a housing instead of a pressure housing.

また、上述した実施形態において、ガス供給部、処理室にプラズマ生成装置を設置せずにノンプラズマ状態にて実施する形態について説明したが、これに限らず、例えば、ガス供給部や処理室にプラズマ生成装置を設けてプラズマ状態にて実施するように構成しても良い。 Further, in the above-described embodiment, the embodiment in which the plasma generation apparatus is not installed in the gas supply unit and the processing chamber has been described. However, the present invention is not limited to this. For example, in the gas supply unit and the processing chamber. You may comprise so that it may implement in a plasma state by providing a plasma generator.

また、上述した実施形態において、処理室へガスを供給する方式は、ガス供給管232は、上流側で4つに分かれており、バルブ521、522、523、524とガス流量制御装置としてのMFC(マスフローコントローラ)511、512、513、514を介して第1のガス供給源501、第2のガス供給源502、第3のガス供給源503、第4のガス供給源504にそれぞれ接続されているように説明したが、ガス供給管232を成膜ガス、不活性ガス、エッチングガス、それぞれ異なるガス種それぞれに別体として独立して設けても良い。この場合、ガス供給管は、4つ設置され、処理室201内にガス供給管(ガス供給ノズル)が4つ立設される。また、これに限らず、種々の変更が可能である。
In the above-described embodiment, the gas supply pipe 232 is divided into four on the upstream side in the gas supply system to the processing chamber, and the valves 521, 522, 523, and 524 and the MFC as the gas flow rate control device. (Mass flow controllers) 511, 512, 513, and 514 are connected to the first gas supply source 501, the second gas supply source 502, the third gas supply source 503, and the fourth gas supply source 504, respectively. As described above, the gas supply pipe 232 may be provided independently as a separate body for each of different gas types, such as a film forming gas, an inert gas, and an etching gas. In this case, four gas supply pipes are installed, and four gas supply pipes (gas supply nozzles) are erected in the processing chamber 201. Further, the present invention is not limited to this, and various changes can be made.

また、上述した第7の実施形態に第3の実施形態を適用し、第7の実施形態のエッチングステップ(弱成膜ステップ)時の処理室201内の圧力を成膜ステップ(強成膜ステップ)における処理室201内の圧力より小さくしても良い。また、第7の実施形態に第4の実施形態を適用し、第7の実施形態のエッチングステップ(弱成膜ステップ)時の処理室201内の温度を成膜ステップ(強成膜ステップ)における処理室201内の温度より高くしても良い。 Further, the third embodiment is applied to the seventh embodiment described above, and the pressure in the processing chamber 201 at the time of the etching step (weak film forming step) of the seventh embodiment is changed to the film forming step (strong film forming step). ) May be smaller than the pressure in the processing chamber 201. Further, the fourth embodiment is applied to the seventh embodiment, and the temperature in the processing chamber 201 at the time of the etching step (weak film forming step) of the seventh embodiment is set in the film forming step (strong film forming step). You may make it higher than the temperature in the process chamber 201. FIG.

また、上述した実施形態では、シリコン系塩素化物であるジクロルシランを用いた選択エピタキシャルシリコン膜に関しての例を挙げてきたが、これらの実施形態は、他のシリコン系塩素化物、例えばクロロシランやトリクロロシランなどを用いた成膜、また、シリコン系水素化物、例えばモノシランやジシランなどを用いた成膜にも適用可能である。   Moreover, although the example regarding the selective epitaxial silicon film | membrane using the dichlorosilane which is a silicon-type chlorinated material has been given in embodiment mentioned above, these embodiments, other silicon-type chlorinated materials, for example, chlorosilane, trichlorosilane, etc. It can also be applied to film formation using silicon, and film formation using silicon hydride such as monosilane or disilane.

また、上述した実施形態は、選択エピタキシャルシリコンゲルマニウム膜への適用も可能である。選択エピタキシャルシリコンゲルマニウム膜の場合、例えば、成膜ステップでは、ウエハ温度400以上1000℃以下、圧力1Pa以上100Pa以下、水素ガス流量1sccm以上20000sccm以下、モノシランガス流量1sccm以上3000sccm以下、モノゲルマンガス流量1sccm以上3000sccm以下、塩化水素ガス流量1sccm以上1000sccm以下の処理条件にて実施し、上述した実施形態におけるエッチングステップ(弱成膜ステップ含む)を適宜実施すれば良い。 Further, the above-described embodiment can be applied to a selective epitaxial silicon germanium film. In the case of a selective epitaxial silicon germanium film, for example, in the film formation step, the wafer temperature is 400 to 1000 ° C., the pressure is 1 to 100 Pa, the hydrogen gas flow rate is 1 to 20000 sccm, the monosilane gas flow rate is 1 to 3000 sccm, and the monogermane gas flow rate is 1 sccm or more. The etching step (including the weak film forming step) in the above-described embodiment may be appropriately performed under the processing conditions of 3000 sccm or less and a hydrogen chloride gas flow rate of 1 sccm or more and 1000 sccm or less.

本発明の好ましい実施例の基板処理装置を説明するための概略斜視図である。It is a schematic perspective view for demonstrating the substrate processing apparatus of the preferable Example of this invention. 本発明の好ましい実施例の基板処理装置の処理炉を説明するための概略縦断面図である。It is a schematic longitudinal cross-sectional view for demonstrating the processing furnace of the substrate processing apparatus of preferable Example of this invention. 本発明の好ましい実施例の基板処理方法を説明するためのフローチャートである。3 is a flowchart for explaining a substrate processing method according to a preferred embodiment of the present invention.

Claims (15)

表面に少なくともシリコン露出面とシリコン酸化膜もしくはシリコン窒化膜の露出面と
を備える基板を処理室内に搬入する工程と、
前記処理室内の前記基板を所定の温度に加熱した状態で前記処理室内に、少なくともシリコンを含む第1の処理ガスとエッチング系の第2の処理ガスとを共に供給する第1のガス供給工程と、
前記処理室内に、前記第2の処理ガスよりエッチング力の強いエッチング系の第3の処
理ガスを供給する第2のガス供給工程と、
を少なくとも含み、前記第1のガス供給工程と前記第2のガス供給工程とを少なくとも1回以上実施し、前記基板表面のシリコン露出面に選択的にエピタキシャル膜を成長させて
なる半導体装置の製造方法。
Carrying a substrate having at least a silicon exposed surface and an exposed surface of a silicon oxide film or a silicon nitride film on a surface thereof into a processing chamber;
A first gas supply step for supplying both a first processing gas containing at least silicon and a second processing gas of an etching system into the processing chamber in a state where the substrate in the processing chamber is heated to a predetermined temperature; ,
A second gas supply step of supplying a third processing gas of an etching system having an etching power stronger than that of the second processing gas into the processing chamber;
Of the semiconductor device, wherein the first gas supply step and the second gas supply step are performed at least once, and an epitaxial film is selectively grown on the silicon exposed surface of the substrate surface. Method.
前記第1のガス供給工程では、前記基板のシリコン露出面に選択的に成膜し、前記第2のガス供給工程では、前記シリコン酸化膜もしくは前記シリコン窒化膜上のシリコン核を除去する請求項1の半導体装置の製造方法。 The first gas supply step selectively forms a film on a silicon exposed surface of the substrate, and the second gas supply step removes silicon nuclei on the silicon oxide film or the silicon nitride film. A method for manufacturing a semiconductor device according to 1. 前記第2のガス供給工程における前記処理室内の圧力は、前記第1のガス供給工程における前記処理室内の圧力より低圧である請求項2の半導体装置の製造方法。 3. The method for manufacturing a semiconductor device according to claim 2, wherein a pressure in the processing chamber in the second gas supply step is lower than a pressure in the processing chamber in the first gas supply step. 前記第2のガス供給工程における前記処理室内の圧力は、前記第1のガス供給工程における前記処理室内の圧力より一時的に低圧である請求項2の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 2, wherein the pressure in the processing chamber in the second gas supply step is temporarily lower than the pressure in the processing chamber in the first gas supply step. 前記第2のガス供給工程における前記処理室内の圧力は、前記第1のガス供給工程から前記第2のガス供給工程への移行時には、前記第1のガス供給工程における前記処理室内の第1の圧力と同等し、その後、一時的に第1の圧力より昇圧し、その後、前記第1の圧力に戻す請求項2の半導体装置の製造方法。 The pressure in the processing chamber in the second gas supply step is the first pressure in the processing chamber in the first gas supply step during the transition from the first gas supply step to the second gas supply step. 3. The method of manufacturing a semiconductor device according to claim 2, wherein the method is equal to the pressure, and thereafter the pressure is temporarily raised from the first pressure and then returned to the first pressure. 前記第2のガス供給工程における前記処理室内への前記第3の処理ガスの供給量は、前記第1のガス供給工程における前記第2の処理ガスの供給量より多量である請求項2の半導体装置の製造方法。 3. The semiconductor according to claim 2, wherein a supply amount of the third processing gas into the processing chamber in the second gas supply step is larger than a supply amount of the second processing gas in the first gas supply step. Device manufacturing method. 前記第2のガス供給工程における前記基板の温度は、前記第1のガス供給工程における前記所定の温度より高温である請求項2の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 2, wherein the temperature of the substrate in the second gas supply step is higher than the predetermined temperature in the first gas supply step. 前記第2のガス供給工程における前記基板の温度は、前記第1のガス供給工程における前記所定の温度より一時的に高温である請求項2の半導体装置の製造方法。 3. The method of manufacturing a semiconductor device according to claim 2, wherein the temperature of the substrate in the second gas supply step is temporarily higher than the predetermined temperature in the first gas supply step. 前記第1のガス供給工程から前記第2のガス供給工程への移行時には、前記所定の温度と同等し、その後、一時的に前記所定の温度より高い温度とし、その後、前記所定の温度に戻す請求項2の半導体装置の製造方法。 At the time of transition from the first gas supply step to the second gas supply step, the temperature is equal to the predetermined temperature, then temporarily set to a temperature higher than the predetermined temperature, and then returned to the predetermined temperature. A method for manufacturing a semiconductor device according to claim 2. 前記第2のガス供給工程では、さらに前記第1の処理ガスを前記処理室内に供給する請求項2の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 2, wherein in the second gas supply step, the first processing gas is further supplied into the processing chamber. 表面に少なくともシリコン露出面とシリコン酸化膜もしくはシリコン窒化膜の露出面と
を備える基板を処理室内に搬入する工程と、
前記処理室内を第1の圧力とし、前記処理室内の前記基板を第1の温度に加熱した状態で、少なくともシリコンを含む第1の処理ガスとエッチング系の第2の処理ガスとを前記処理室内に第1の供給量で供給し、前記基板のシリコン露出面に選択的に成膜する工程と、
少なくとも前記処理室内を前記第1の圧力よりも高圧にするか、若しくは前記第2の処理ガスの供給量を第1の供給量より多くするか、または前記基板の温度を前記第1の温度より低い温度であって前記第1の処理ガスの熱分解温度より低い温度にするか、いずれかを実施し前記シリコン酸化膜もしくは前記シリコン窒化膜上のシリコン核を除去する工程と、
を少なくとも含み、前記成膜工程と前記除去工程とを少なくとも1回以上実施する半導体装置の製造方法。
Carrying a substrate having at least a silicon exposed surface and an exposed surface of a silicon oxide film or a silicon nitride film on a surface thereof into a processing chamber;
A first processing gas containing at least silicon and an etching-based second processing gas are contained in the processing chamber in a state where the processing chamber is at a first pressure and the substrate in the processing chamber is heated to a first temperature. A first supply amount, and selectively forming a film on the silicon exposed surface of the substrate;
At least the processing chamber is set to a pressure higher than the first pressure, or the supply amount of the second processing gas is set higher than the first supply amount, or the temperature of the substrate is set higher than the first temperature. Removing the silicon nuclei on the silicon oxide film or the silicon nitride film by performing any one of a low temperature and a temperature lower than the thermal decomposition temperature of the first process gas;
A method of manufacturing a semiconductor device, wherein the film forming step and the removing step are performed at least once.
前記除去工程では、前記基板の温度を前記第1の温度にし、前記第2の処理ガスの供給量を第1の供給量にし、前記処理室内を前記第1の圧力よりも高圧にして実施する請求項11の半導体装置の製造方法。 In the removing step, the temperature of the substrate is set to the first temperature, the supply amount of the second processing gas is set to the first supply amount, and the processing chamber is set to a pressure higher than the first pressure. A method for manufacturing a semiconductor device according to claim 11. 前記除去工程では、前記基板の温度を前記第1の温度にし、前記処理室内を前記第1の圧力にし、前記第2の処理ガスの供給量を第1の供給量より多くして実施する請求項11の半導体装置の製造方法。 The removing step is performed by setting the temperature of the substrate to the first temperature, the processing chamber to the first pressure, and the supply amount of the second processing gas to be larger than the first supply amount. Item 12. A method for manufacturing a semiconductor device according to Item 11. 前記除去工程では、前記処理室内を前記第1の圧力にし、前記第2の処理ガスの供給量を第1の供給量にした状態で、前記処理室内にさらに前記第1処理ガスを供給し、前記基板の温度を前記第1の温度より低い温度であって前記第1の処理ガスの熱分解温度より低い温度にして実施する請求項11の半導体装置の製造方法。 In the removing step, the first processing gas is further supplied into the processing chamber in a state where the processing chamber is set to the first pressure and the supply amount of the second processing gas is set to the first supply amount. 12. The method of manufacturing a semiconductor device according to claim 11, wherein the temperature of the substrate is lower than the first temperature and lower than the thermal decomposition temperature of the first process gas. 基板を収容する処理室と、
前記基板を加熱する加熱機構と、
前記処理室内に所定の膜を形成するための処理ガスを供給するガス供給部と、
前記処理室内を所定の圧力とし前記処理室内の雰囲気を排気するガス排気部と、
少なくとも前記処理室内を第1の圧力とし、前記処理室内の前記基板を第1の温度に加熱した状態で、少なくともシリコンを含む第1の処理ガスとエッチング系の第2の処理ガスとを前記処理室内に供給し、前記基板のシリコン露出面に選択的に成膜し、
少なくとも前記処理室内を前記第1の圧力よりも高圧にし、若しくは前記処理室内の前記基板を第1の温度よりも高温にし、または前記第2の処理ガスより第2の処理ガスよりエッチング力の強いエッチング系の第3の処理ガスを供給し、前記シリコン酸化膜もしくは前記シリコン窒化膜上のシリコン核を除去するよう前記加熱機構及び前記ガス供給部、前記ガス排気部とを制御する制御部とが構成される基板処理装置。































A processing chamber for accommodating the substrate;
A heating mechanism for heating the substrate;
A gas supply unit for supplying a processing gas for forming a predetermined film in the processing chamber;
A gas exhaust unit configured to exhaust the atmosphere in the processing chamber with a predetermined pressure in the processing chamber;
At least a first pressure in the processing chamber is set to a first pressure, and the substrate in the processing chamber is heated to a first temperature, and the first processing gas containing at least silicon and a second processing gas in an etching system are processed. Supply to the room, selectively deposit on the silicon exposed surface of the substrate,
At least the processing chamber is set to a pressure higher than the first pressure, or the substrate in the processing chamber is set to a temperature higher than the first temperature, or the etching power is stronger than the second processing gas than the second processing gas. A control unit that controls the heating mechanism, the gas supply unit, and the gas exhaust unit to supply a third processing gas of an etching system and remove silicon nuclei on the silicon oxide film or the silicon nitride film; A substrate processing apparatus configured.































JP2009010610A 2008-04-16 2009-01-21 Semiconductor device manufacturing method and substrate processing apparatus Active JP5235142B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009010610A JP5235142B2 (en) 2009-01-21 2009-01-21 Semiconductor device manufacturing method and substrate processing apparatus
US12/410,836 US8293592B2 (en) 2008-04-16 2009-03-25 Method of manufacturing semiconductor device and substrate processing apparatus
KR1020090027649A KR101070668B1 (en) 2008-04-16 2009-03-31 Method of manufacturing semiconductor device and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009010610A JP5235142B2 (en) 2009-01-21 2009-01-21 Semiconductor device manufacturing method and substrate processing apparatus

Publications (2)

Publication Number Publication Date
JP2010171101A true JP2010171101A (en) 2010-08-05
JP5235142B2 JP5235142B2 (en) 2013-07-10

Family

ID=42702968

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009010610A Active JP5235142B2 (en) 2008-04-16 2009-01-21 Semiconductor device manufacturing method and substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP5235142B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012026241A1 (en) * 2010-08-26 2012-03-01 株式会社日立国際電気 Method for manufacturing semiconductor device, and substrate treatment device
JP2014175337A (en) * 2013-03-06 2014-09-22 Tokyo Electron Ltd Selective epitaxial growth method and deposition device
JP2016076518A (en) * 2014-10-02 2016-05-12 株式会社Sumco Contamination management method for vapor growth device, production method of epitaxial silicon wafer
JP2018142575A (en) * 2017-02-27 2018-09-13 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device and semiconductor device
JP2018160516A (en) * 2017-03-22 2018-10-11 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus and program
JP2019160962A (en) * 2018-03-12 2019-09-19 株式会社Kokusai Electric Manufacturing method of semiconductor device, substrate processing device and program
WO2020016915A1 (en) * 2018-07-17 2020-01-23 株式会社Kokusai Electric Semiconductor device production method, substrate treatment device, and program
JPWO2022180659A1 (en) * 2021-02-24 2022-09-01

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS587819A (en) * 1981-07-07 1983-01-17 Nec Corp Vapor growth method
JPH0497519A (en) * 1990-08-15 1992-03-30 Nec Corp Manufacture of semiconductor device
JPH04333288A (en) * 1991-05-08 1992-11-20 Canon Inc Manufacture of solar cell
JPH0513339A (en) * 1991-06-28 1993-01-22 Nec Corp Selective growth method for silicon epitaxial film and its manufacture
JPH0521357A (en) * 1991-07-10 1993-01-29 Fujitsu Ltd Manufacture of semiconductor device
JPH0992621A (en) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd Method for selective growth of semiconductor thin film
JPH10209050A (en) * 1997-01-24 1998-08-07 Nec Corp Manufacturing method for semiconductor thin film
JP2003086511A (en) * 2001-09-05 2003-03-20 Hynix Semiconductor Inc Method of selective epitaxial growth of semiconductor device
JP2006303336A (en) * 2005-04-25 2006-11-02 Elpida Memory Inc Semiconductor device and its manufacturing method
JP2008103508A (en) * 2006-10-18 2008-05-01 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device
JP2008522442A (en) * 2004-12-01 2008-06-26 アプライド マテリアルズ インコーポレイテッド Use of Cl2 and / or HCl when forming a silicon epitaxial film
JP2009004604A (en) * 2007-06-22 2009-01-08 Fujitsu Microelectronics Ltd Method for manufacturing semiconductor device, semiconductor device, and method for forming semiconductor layer

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS587819A (en) * 1981-07-07 1983-01-17 Nec Corp Vapor growth method
JPH0497519A (en) * 1990-08-15 1992-03-30 Nec Corp Manufacture of semiconductor device
JPH04333288A (en) * 1991-05-08 1992-11-20 Canon Inc Manufacture of solar cell
JPH0513339A (en) * 1991-06-28 1993-01-22 Nec Corp Selective growth method for silicon epitaxial film and its manufacture
JPH0521357A (en) * 1991-07-10 1993-01-29 Fujitsu Ltd Manufacture of semiconductor device
JPH0992621A (en) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd Method for selective growth of semiconductor thin film
JPH10209050A (en) * 1997-01-24 1998-08-07 Nec Corp Manufacturing method for semiconductor thin film
JP2003086511A (en) * 2001-09-05 2003-03-20 Hynix Semiconductor Inc Method of selective epitaxial growth of semiconductor device
JP2008522442A (en) * 2004-12-01 2008-06-26 アプライド マテリアルズ インコーポレイテッド Use of Cl2 and / or HCl when forming a silicon epitaxial film
JP2006303336A (en) * 2005-04-25 2006-11-02 Elpida Memory Inc Semiconductor device and its manufacturing method
JP2008103508A (en) * 2006-10-18 2008-05-01 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device
JP2009004604A (en) * 2007-06-22 2009-01-08 Fujitsu Microelectronics Ltd Method for manufacturing semiconductor device, semiconductor device, and method for forming semiconductor layer

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012026241A1 (en) * 2010-08-26 2012-03-01 株式会社日立国際電気 Method for manufacturing semiconductor device, and substrate treatment device
JPWO2012026241A1 (en) * 2010-08-26 2013-10-28 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP2014175337A (en) * 2013-03-06 2014-09-22 Tokyo Electron Ltd Selective epitaxial growth method and deposition device
US10379094B2 (en) 2014-10-02 2019-08-13 Sumco Corporation Contamination control method of vapor deposition apparatus and method of producing epitaxial silicon wafer
JP2016076518A (en) * 2014-10-02 2016-05-12 株式会社Sumco Contamination management method for vapor growth device, production method of epitaxial silicon wafer
JP2018142575A (en) * 2017-02-27 2018-09-13 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device and semiconductor device
JP2018160516A (en) * 2017-03-22 2018-10-11 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus and program
JP2019160962A (en) * 2018-03-12 2019-09-19 株式会社Kokusai Electric Manufacturing method of semiconductor device, substrate processing device and program
WO2020016915A1 (en) * 2018-07-17 2020-01-23 株式会社Kokusai Electric Semiconductor device production method, substrate treatment device, and program
CN112424916A (en) * 2018-07-17 2021-02-26 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
JPWO2020016915A1 (en) * 2018-07-17 2021-07-15 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
JP6995997B2 (en) 2018-07-17 2022-02-04 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing device, program and substrate processing method
JPWO2022180659A1 (en) * 2021-02-24 2022-09-01

Also Published As

Publication number Publication date
JP5235142B2 (en) 2013-07-10

Similar Documents

Publication Publication Date Title
JP5235142B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP5393895B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
KR101233031B1 (en) Semiconductor device manufacturing method, and substrate processing method and apparatus
US8791031B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
JP4809175B2 (en) Manufacturing method of semiconductor device
KR100996689B1 (en) Manufacturing method of semiconductor apparatus, film forming method and substrate processing apparatus
WO2014192870A1 (en) Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method
CN109778140B (en) Cleaning method and film forming method
KR101070668B1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR101455251B1 (en) Method of processing substrate, method of manufacturing semiconductor device and substrate processing apparatus
US8012885B2 (en) Manufacturing method of semiconductor device
KR101550590B1 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
JP4324632B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2009260015A (en) Method of manufacturing substrate, and substrate processing apparatus
JP2013045884A (en) Substrate processing apparatus
JP2012195355A (en) Substrate processing device and substrate manufacturing method
JP2008103508A (en) Manufacturing method of semiconductor device
JP5032059B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP2009289807A (en) Method of manufacturing semiconductor device
JP2012124255A (en) Manufacturing method for substrate, manufacturing method for semiconductor device, and substrate processing apparatus
JP2009117555A (en) Substrate treatment equipment
JP2007056288A (en) Semi-conductor device manufacturing method
JP2012069636A (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2009088305A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130321

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130325

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5235142

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160405

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250