JP2013168687A - Semiconductor element manufacturing method - Google Patents

Semiconductor element manufacturing method Download PDF

Info

Publication number
JP2013168687A
JP2013168687A JP2013117185A JP2013117185A JP2013168687A JP 2013168687 A JP2013168687 A JP 2013168687A JP 2013117185 A JP2013117185 A JP 2013117185A JP 2013117185 A JP2013117185 A JP 2013117185A JP 2013168687 A JP2013168687 A JP 2013168687A
Authority
JP
Japan
Prior art keywords
insulating film
etching
mask pattern
etching stop
interlayer insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013117185A
Other languages
Japanese (ja)
Other versions
JP5667240B2 (en
Inventor
Dong-Seok Lee
東 錫 李
Johitsu Tei
丞 弼 鄭
Ji Young Lee
芝 英 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2013168687A publication Critical patent/JP2013168687A/en
Application granted granted Critical
Publication of JP5667240B2 publication Critical patent/JP5667240B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor element manufacturing method which can ensure accurate alignment between a contact plug and a wiring line.SOLUTION: A semiconductor element manufacturing method comprises: forming a first etching stop insulation film 112, a first interlayer insulation film 120, a second etching stop insulation film 122 and a second interlayer insulation film 124 on a semiconductor substrate 10; forming a plurality of first mask patterns 134 and buffer insulation films 136 which cover both side walls of the first mask patterns; forming a plurality of second mask patterns 138a extending in parallel with the plurality of first mask patterns such that one second mask pattern is arranged between the two first mask patterns adjacent to each other; removing a part of the buffer insulation films and the insulation films to form a plurality of trenches 158 each extending between the first mask pattern and the second mask pattern in parallel with the first mask pattern and the second mask pattern, and a plurality of contact holes 152a which communicate with the trenches, respectively, and expose conductive regions of the semiconductor substrate; and simultaneously forming a plurality of wiring lines 168 which is integrally formed with the contact plugs 162 by filling a conductive material inside the trenches and the contact holes.

Description

本発明は、半導体素子の製造方法に係り、特に、微細なピッチで反復形成される配線ラインと前記配線ラインを各々周辺の導電領域と連結させるためのコンタクトプラグを含む微細パターンを備えた半導体素子の製造方法に関する。   The present invention relates to a method of manufacturing a semiconductor device, and in particular, a semiconductor device having a fine pattern including a wiring line repeatedly formed at a fine pitch and a contact plug for connecting the wiring line to a peripheral conductive region. It relates to the manufacturing method.

高集積化された半導体素子を製造するに当たって、パターン微細化は必須である。狭い面積に多くの素子を集積させるためには、個別素子の大きさをできるだけ小さく形成せねばならず、このためには、形成しようとするパターンそれぞれの幅と前記パターン間の間隔との和であるピッチを小さくせねばならない。   In manufacturing a highly integrated semiconductor device, pattern miniaturization is essential. In order to integrate many elements in a small area, the size of each individual element must be made as small as possible. For this purpose, the sum of the width of each pattern to be formed and the interval between the patterns is used. A certain pitch must be reduced.

最近、半導体素子のデザインルールの急減によって半導体素子の具現に必要なパターンを形成するためのフォトリソグラフィ工程において、解像限界によって微細ピッチを有するパターンの形成に限界がある。特に、基板上に形成される単位素子を電気的に連結させるコンタクトを形成するために絶縁膜にコンタクトホールを形成する時、狭い面積内に微細ピッチで密集して形成される複数のコンタクトホールを形成するためにフォトリソグラフィ工程を用いる場合には、解像限界によって具現可能な形状が制限され、各コンタクトホールパターン間に保持されねばならない間隔及びアラインマージンが減少して微細ピッチを有する所望のコンタクトホールパターンを形成するのに限界がある。特に、半導体メモリ素子の集積度の増加につれて30nm級のフィーチャーサイズ(feature size)を有する超高集積フラッシュメモリ素子でビットラインとビットラインとの間のピッチが急減した。このように微細ピッチで反復形成される複数のビットラインを形成するためにフォトリソグラフィ工程を用いる場合には、解像限界によって所望のパターンを形成するのに限界がある。   Recently, in a photolithography process for forming a pattern necessary for realizing a semiconductor element due to a rapid decrease in the design rule of the semiconductor element, there is a limit in forming a pattern having a fine pitch due to a resolution limit. In particular, when forming a contact hole in an insulating film to form a contact for electrically connecting unit elements formed on a substrate, a plurality of contact holes formed densely at a fine pitch in a narrow area are formed. When a photolithographic process is used to form the desired contact having a fine pitch by reducing the space that must be held between the contact hole patterns and the alignment margin, because the shape that can be realized is limited by the resolution limit. There is a limit to forming a hole pattern. In particular, as the degree of integration of the semiconductor memory device increases, the pitch between the bit lines of the ultra-high-integrated flash memory device having a feature size of 30 nm is rapidly reduced. When a photolithography process is used to form a plurality of bit lines that are repeatedly formed at such a fine pitch, there is a limit in forming a desired pattern due to the resolution limit.

通常、ビットラインを形成する前に、前記ビットラインを周辺の導電領域、例えば、半導体基板の活性領域に連結させるためのコンタクトプラグをまず形成する。そして、前記コンタクトプラグ上に前記コンタクトプラグに接するビットラインを形成する。このような通常の工程による場合、前記コンタクトプラグと前記ビットラインとの間に最小限のミスアラインマージンを確保する必要がある。しかし、ビットライン間のピッチが減少して相互隣接したビットライン間には、前記ミスアラインマージンを提供するほどの十分な空間を確保できなくなってきており、最小限のミスアラインマージンを確保するためのレイアウトを設計に限界がきている。   In general, before forming a bit line, a contact plug for connecting the bit line to a peripheral conductive region, for example, an active region of a semiconductor substrate, is first formed. A bit line in contact with the contact plug is formed on the contact plug. In the case of such a normal process, it is necessary to ensure a minimum misalignment margin between the contact plug and the bit line. However, since the pitch between the bit lines is reduced, it is no longer possible to secure a sufficient space between the adjacent bit lines to provide the misalign margin, and in order to secure a minimum misalign margin. There are limits to designing the layout.

本発明は、前記問題点を解決するためのものであって、フォトリソグラフィ工程での解像限界を超える微細ピッチで反復形成される複数の配線ラインと前記配線ラインを周辺の導電領域に連結させるためのコンタクトプラグとの間に別途のミスアラインマージンを確保せずとも、前記コンタクトプラグと配線ラインとの正確なアラインを確保しうる半導体素子の製造方法を提供することである。   The present invention is for solving the above-described problem, and connects a plurality of wiring lines repeatedly formed at a fine pitch exceeding a resolution limit in a photolithography process and the wiring lines to a peripheral conductive region. It is another object of the present invention to provide a method of manufacturing a semiconductor device capable of ensuring accurate alignment between the contact plug and the wiring line without securing a separate misalign margin between the contact plug and the contact plug.

前記目的を達成するための本発明による半導体素子の製造方法は、半導体基板上に前記半導体基板上の導電領域を覆う絶縁膜を形成する。前記絶縁膜上に第1ピッチで第1方向に相互平行に延びる複数の第1マスクパターンを形成する。前記複数の第1マスクパターンの両側壁を覆うバッファ絶縁膜を形成する。前記複数の第1マスクパターンのうち、相互隣接した2個の第1マスクパターン間の空間に1個の第2マスクパターンが形成されるように前記複数の第1マスクパターン及び前記バッファ絶縁膜により各々自己整列されつつ、前記複数の第1マスクパターンと各々平行に延びる複数の第2マスクパターンを形成する。前記バッファ絶縁膜の一部及び前記絶縁膜の一部を除去し、前記第1マスクパターンと前記第2マスクパターンとの間でこれらと平行に延びる複数のトレンチと前記トレンチに各々連通され、前記半導体基板の導電領域を露出させる複数のコンタクトホールを形成する。前記コンタクトホール及びトレンチ内部に導電物質を充填して前記コンタクトホール内に形成されるコンタクトプラグと、前記コンタクトプラグと一体に前記トレンチ内に形成される複数の配線ラインを同時に形成する。そして、前記絶縁膜を形成する段階は、前記半導体基板上の導電領域を覆う第1エッチング停止用絶縁膜を形成する段階と、前記第1エッチング停止用絶縁膜上に第1層間絶縁膜を形成する段階と、前記第1層間絶縁膜上に第2エッチング停止用絶縁膜を形成する段階と、前記第2エッチング停止用絶縁膜上に第2層間絶縁膜を形成する段階と、を含む。   In order to achieve the above object, a method of manufacturing a semiconductor device according to the present invention forms an insulating film on a semiconductor substrate to cover a conductive region on the semiconductor substrate. A plurality of first mask patterns extending in parallel with each other in the first direction at a first pitch are formed on the insulating film. A buffer insulating film is formed to cover both side walls of the plurality of first mask patterns. Among the plurality of first mask patterns, the plurality of first mask patterns and the buffer insulating film are formed so that one second mask pattern is formed in a space between two adjacent first mask patterns. A plurality of second mask patterns each extending in parallel with the plurality of first mask patterns are formed while being self-aligned. Removing a part of the buffer insulating film and a part of the insulating film, and communicating with each of a plurality of trenches extending in parallel with the first mask pattern and the second mask pattern, and the trenches; A plurality of contact holes are formed to expose the conductive region of the semiconductor substrate. A contact plug formed in the contact hole by filling the contact hole and the trench with a conductive material, and a plurality of wiring lines formed in the trench are formed simultaneously with the contact plug. The step of forming the insulating film includes forming a first etching stop insulating film covering the conductive region on the semiconductor substrate, and forming a first interlayer insulating film on the first etching stop insulating film. Forming a second etching stop insulating film on the first interlayer insulating film, and forming a second interlayer insulating film on the second etching stop insulating film.

また、前記複数のトレンチ及び複数のコンタクトホールを形成する段階は、前記第1マスクパターン及び第2マスクパターンをエッチングマスクとして前記バッファ絶縁膜及び絶縁膜をエッチングして前記第1マスクパターン及び第2マスクパターンと平行に延びる複数のライン形態のトレンチを形成する段階と、前記複数の第1マスクパターン及び前記複数の第2マスクパターンの一部と前記トレンチ底面の一部とを露出させる開口が形成された第3マスクパターンを形成する段階と、前記第1マスクパターン、第2マスクパターン及び第3マスクパターンを各々エッチングマスクとして用いて前記絶縁膜をエッチングして前記導電領域を露出させる前記コンタクトホールを形成する段階と、を含むことができる。   The forming the plurality of trenches and the plurality of contact holes may include etching the buffer insulating film and the insulating film using the first mask pattern and the second mask pattern as an etching mask to etch the first mask pattern and the second mask hole. Forming a plurality of line-shaped trenches extending in parallel with the mask pattern, and forming an opening exposing the plurality of first mask patterns, a portion of the plurality of second mask patterns, and a portion of the bottom surface of the trench; Forming a third mask pattern, and the contact hole exposing the conductive region by etching the insulating film using the first mask pattern, the second mask pattern, and the third mask pattern as an etching mask, respectively. Forming a step.

本発明による半導体素子は、本発明による半導体素子の製造方法では、ダブルパターニング工程を用いてフォトリソグラフィ工程での解像限界を超える微細ピッチで反復形成されるコンタクトパターンを優秀なCD(critical dimension)均一度で形成しうる。特に、微細ピッチで反復形成される複数のコンタクトプラグ及び配線ラインを一体に形成するために前記コンタクトプラグ形成のためのコンタクトホールと前記配線ラインを形成するためのトレンチが相互連通されるように形成した後、前記コンタクトホール及びトレンチ内に導電物質を同時に満たす工程を用いる。この際、ダブルパターニング工程により微細ピッチで反復形成されるハードマスクパターンと、その上に形成される第3マスクパターンの位置により最終的に具現しようとするコンタクトホールの位置が決定されるので、コンタクトホールの形成のためのレイアウト設計が容易であり、コンタクトホールの形成位置の所望の位置へのアラインが容易になり、十分なエッチングマージンを確保することができる。また、エッチングマスクとして使われる前記ハードマスクパターン及び第3マスクパターンの形状及び配置を自由に決定することによって形成しようとするコンタクトホール及び配線ラインを、その形状に関係なく容易に形成しうる。   In the semiconductor device manufacturing method according to the present invention, an excellent CD (Critical Dimension) is used to form a contact pattern repeatedly formed at a fine pitch exceeding a resolution limit in a photolithography process using a double patterning process. It can be formed with a uniform degree. Particularly, in order to integrally form a plurality of contact plugs and wiring lines that are repeatedly formed at a fine pitch, the contact holes for forming the contact plugs and the trenches for forming the wiring lines are formed to communicate with each other. Thereafter, a process of simultaneously filling the contact hole and the trench with a conductive material is used. At this time, the position of the contact hole to be finally realized is determined by the position of the hard mask pattern repeatedly formed at a fine pitch by the double patterning process and the position of the third mask pattern formed thereon. The layout design for forming the hole is easy, the alignment of the contact hole formation position to a desired position is facilitated, and a sufficient etching margin can be secured. Also, contact holes and wiring lines to be formed can be easily formed regardless of their shapes by freely determining the shapes and arrangement of the hard mask pattern and the third mask pattern used as an etching mask.

本発明の参考例による半導体素子の例示的な配線パターンのレイアウトである。3 is an exemplary wiring pattern layout of a semiconductor device according to a reference example of the present invention; 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a first reference example of the present invention according to a process order. 本発明の第2参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 10 is a partially cutaway perspective view illustrating a method of manufacturing a semiconductor device according to a second reference example of the present invention, according to a process order. 本発明の第2参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 10 is a partially cutaway perspective view illustrating a method of manufacturing a semiconductor device according to a second reference example of the present invention, according to a process order. 本発明の第1実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to the first embodiment of the present invention in the order of processes. 本発明の第1実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to the first embodiment of the present invention in the order of processes. 本発明の第1実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to the first embodiment of the present invention in the order of processes. 本発明の第1実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to the first embodiment of the present invention in the order of processes. 本発明の第1実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 5 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to the first embodiment of the present invention in the order of processes. 本発明の第2実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 6 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a second embodiment of the present invention according to a process order. 本発明の第2実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 6 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a second embodiment of the present invention according to a process order. 本発明の第2実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 6 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a second embodiment of the present invention according to a process order. 本発明の第2実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 6 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a second embodiment of the present invention according to a process order. 本発明の第2実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 6 is a partially cutaway perspective view illustrating a method for manufacturing a semiconductor device according to a second embodiment of the present invention according to a process order. 本発明の第3実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 10 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to a third embodiment of the present invention according to a process order. 本発明の第3実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 10 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to a third embodiment of the present invention according to a process order. 本発明の第3実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 10 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to a third embodiment of the present invention according to a process order. 本発明の第3実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 10 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to a third embodiment of the present invention according to a process order. 本発明の第3実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。FIG. 10 is a partially cutaway perspective view illustrating a semiconductor device manufacturing method according to a third embodiment of the present invention according to a process order.

以下、本発明の望ましい実施形態について添付図面を参照して詳細に説明する。   Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

本発明の実施形態は、多様な形に変形でき、本発明の範囲が後述する実施形態に限定されると解釈されてはならない。本発明の実施形態は、本発明をさらに完全に説明するために提供されるものである。図面で、層及び領域の厚さは、明細書の明確性のために誇張されたものである。図面上で同じ符号は、同じ要素を示す。   The embodiments of the present invention can be modified in various forms, and the scope of the present invention should not be construed to be limited to the embodiments described below. Rather, these embodiments are provided so that this disclosure will be thorough and complete. In the drawings, the thickness of layers and regions are exaggerated for clarity. Like reference numerals in the drawings denote like elements.

図1は、本発明の参考例となる半導体素子の例示的な配線パターンのレイアウトである。図1には、フラッシュメモリ素子の一部を構成する複数のビットライン30のレイアウトが例示されている。   FIG. 1 is an exemplary wiring pattern layout of a semiconductor device as a reference example of the present invention. FIG. 1 illustrates a layout of a plurality of bit lines 30 that constitute a part of the flash memory device.

図1で、前記複数のビットライン30は、導電領域である各々の活性領域12上で前記活性領域12とほぼ同じライン幅を有し、前記活性領域12と平行した第1方向(y方向)に沿って延びている。前記ビットライン30は、複数のダイレクトコンタクト20のうち、何れか1つを通じて前記活性領域12に電気的に連結されている。前記ビットライン30は、各々所定のピッチPBで反復配置されている。導電領域である活性領域12は、例えば基板10に形成された不純物拡散領域であって、例えばトランジスタのソース/ドレインである。   In FIG. 1, the plurality of bit lines 30 have substantially the same line width as the active region 12 on each active region 12 which is a conductive region, and are parallel to the active region 12 in a first direction (y direction). It extends along. The bit line 30 is electrically connected to the active region 12 through any one of the plurality of direct contacts 20. The bit lines 30 are repeatedly arranged at a predetermined pitch PB. The active region 12 which is a conductive region is, for example, an impurity diffusion region formed in the substrate 10 and is, for example, a source / drain of a transistor.

また、図1には、前記複数のダイレクトコンタクト20が前記複数のビットライン30のピッチと同じピッチで反復形成されている場合が例示されている。前記複数のダイレクトコンタクト20は、前記第1方向に直交する第2方向(x方向)に沿って一列に配列されている。   FIG. 1 illustrates a case where the plurality of direct contacts 20 are repeatedly formed at the same pitch as the pitch of the plurality of bit lines 30. The plurality of direct contacts 20 are arranged in a line along a second direction (x direction) orthogonal to the first direction.

図2Aないし図2Iは、本発明の第1参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。図2Aないし図2Iには、図1のレイアウトによるダイレクトコンタクト20及びビットライン30を一体構造で形成するための工程が例示されている。図2Aないし図2Fは、図1で「A」領域に対応する部分の一部切欠き斜視図であり、図2Gないし図2Iは、図1で「B」領域に対応する部分の一部切欠き斜視図である。   2A to 2I are partially cutaway perspective views illustrating a semiconductor device manufacturing method according to a first reference example of the present invention in order of processes. 2A to 2I illustrate a process for forming the direct contact 20 and the bit line 30 according to the layout of FIG. 1 in an integrated structure. 2A to 2F are partially cutaway perspective views of a portion corresponding to the “A” region in FIG. 1, and FIGS. 2G to 2I are partially cutaway portions of the portion corresponding to the “B” region in FIG. FIG.

図2Aを参照すれば、図1に例示されたレイアウトによる活性領域12と同じレイアウトを有する活性領域(図示せず)が定義されている半導体基板10上に第1エッチング停止用絶縁膜112及び第1層間絶縁膜120を順次に形成する。   Referring to FIG. 2A, the first etching stop insulating film 112 and the first etching stop layer 112 are formed on the semiconductor substrate 10 in which an active region (not shown) having the same layout as the active region 12 according to the layout illustrated in FIG. One interlayer insulating film 120 is sequentially formed.

前記半導体基板10上には、例えば、複数のワードラインと同じ半導体素子形成に必要な単位素子(図示せず)が形成されており、前記第1層間絶縁膜120は、前記単位素子を覆っている複数の絶縁膜からなりうる。また、前記半導体基板10の上面には、前記単位素子に電気的に連結可能な導電領域(図示せず)が露出されている。   On the semiconductor substrate 10, for example, unit elements (not shown) necessary for forming the same semiconductor elements as a plurality of word lines are formed, and the first interlayer insulating film 120 covers the unit elements. It can consist of a plurality of insulating films. A conductive region (not shown) that can be electrically connected to the unit element is exposed on the upper surface of the semiconductor substrate 10.

前記第1エッチング停止用絶縁膜112は、前記第1層間絶縁膜120のエッチング時に、エッチング停止層の役割をするように形成することである。前記第1エッチング停止用絶縁膜112は、前記第1層間絶縁膜120とは異なるエッチング選択比を提供する物質からなりうる。前記第1層間絶縁膜120の構成材料によって常に第1エッチング停止用絶縁膜112は、例えば、シリコン窒化膜、シリコン酸化膜、シリコン酸化窒化膜、またはシリコンカーバイド膜からなりうる。例えば、前記第1エッチング停止用絶縁膜112は、約500Åの厚さで形成されうる。   The first etching stop insulating film 112 is formed to serve as an etching stop layer when the first interlayer insulating film 120 is etched. The first etch stop insulating layer 112 may be made of a material that provides an etch selectivity different from that of the first interlayer insulating layer 120. Depending on the constituent material of the first interlayer insulating film 120, the first etching stop insulating film 112 may be formed of, for example, a silicon nitride film, a silicon oxide film, a silicon oxynitride film, or a silicon carbide film. For example, the first etch stop insulating layer 112 may be formed to a thickness of about 500 mm.

前記第1層間絶縁膜120は、ビットライン間のスペース幅の減少によって発生するカップリングキャパシタによるRC遅延(resistance capacitance delay)を減少させるように比較的低い誘電定数を有する絶縁物質からなることが望ましい。例えば、前記第1層間絶縁膜120は、TEOS(テトラエトキシシラン(Tetraethoxysilane(tetraethylorthosilicate)))、FSG(フッ化珪酸塩ガラス(fluorine silicate glass))、SiOCまたはSiLKからなりうる。または、前記第1層間絶縁膜120は、熱酸化膜、CVD法により形成した酸化膜、USG膜(undoped silicate glass film)及びHDP酸化膜(highdensity plasma oxide film)よりなる群から選択される少なくとも1つの酸化膜を備えることができる。また、第1層間絶縁膜120は、窒化膜、例えばSiON、SiN、SiBN及びBNよりなる群から選択される少なくとも1つの膜を備えることができる。また、前記第1層間絶縁膜120は、前記で例示された窒化膜のうちから選択される1つの窒化膜と前記で例示された酸化膜のうちから選択される1つの酸化膜の積層構造からなりうる。   The first interlayer insulating layer 120 may be formed of an insulating material having a relatively low dielectric constant so as to reduce RC delay caused by a coupling capacitor caused by a reduction in the space width between bit lines. . For example, the first interlayer insulating layer 120 may be made of TEOS (tetraethoxysilane (tetraethylsilane)), FSG (fluorinated silicate glass), SiOC, or SiLK. Alternatively, the first interlayer insulating film 120 may be at least one selected from the group consisting of a thermal oxide film, an oxide film formed by a CVD method, a USG film (undoped silicate glass film), and an HDP oxide film (high density plasma oxide film). One oxide film can be provided. Further, the first interlayer insulating film 120 may include a nitride film, for example, at least one film selected from the group consisting of SiON, SiN, SiBN, and BN. Further, the first interlayer insulating film 120 has a laminated structure of one nitride film selected from the nitride films exemplified above and one oxide film selected from the oxide films exemplified above. Can be.

前記第1エッチング停止用絶縁膜112が窒化膜からなる場合、前記第1層間絶縁膜120は、酸化膜からなることが望ましい。   When the first etching stop insulating film 112 is made of a nitride film, the first interlayer insulating film 120 is preferably made of an oxide film.

通常のフォトリソグラフィ工程を用いて前記第1層間絶縁膜120上に複数の第1マスクパターン134を形成する。   A plurality of first mask patterns 134 are formed on the first interlayer insulating layer 120 using a normal photolithography process.

前記第1マスクパターン134は、最終的に形成しようとするハードマスクパターン130(図2Eを参照)のピッチPより2倍大きい第1ピッチ2Pを有するように形成される。ここで、前記ハードマスクパターン130のピッチPは、図1に例示されたビットライン30のピッチPBと同一である。例えば、前記第1マスクパターン134の第1幅W1は、前記第1ピッチ2Pの1/4の値を有するように設計されうる。   The first mask pattern 134 is formed to have a first pitch 2P that is twice as large as the pitch P of the hard mask pattern 130 (see FIG. 2E) to be finally formed. Here, the pitch P of the hard mask pattern 130 is the same as the pitch PB of the bit lines 30 illustrated in FIG. For example, the first width W1 of the first mask pattern 134 may be designed to have a value that is ¼ of the first pitch 2P.

前記第1マスクパターン134は、前記第1層間絶縁膜120とはエッチング特性の異なる物質、すなわち、所定のエッチング条件に対して相異なるエッチング選択比を有する物質からなる。例えば、前記第1マスクパターン134は、酸化膜、窒化膜、ポリシリコン膜及び金属膜よりなる群から選択される何れか1つの物質からなる。前記第1層間絶縁膜120が酸化膜または窒化膜からなる場合、前記第1マスクパターン134は、ポリシリコン膜からなりうる。または、前記第1層間絶縁膜120が窒化膜からなる場合、前記第1マスクパターン134は酸化膜からなりうる。   The first mask pattern 134 is made of a material having an etching characteristic different from that of the first interlayer insulating layer 120, that is, a material having a different etching selectivity with respect to a predetermined etching condition. For example, the first mask pattern 134 is made of any one material selected from the group consisting of an oxide film, a nitride film, a polysilicon film, and a metal film. When the first interlayer insulating layer 120 is formed of an oxide layer or a nitride layer, the first mask pattern 134 may be formed of a polysilicon layer. Alternatively, when the first interlayer insulating layer 120 is formed of a nitride layer, the first mask pattern 134 may be formed of an oxide layer.

図2Bを参照すれば、前記複数の第1マスクパターン134間で露出される前記第1層間絶縁膜120をその上面から第1厚さdだけ除去して前記第1層間絶縁膜120の上面に溝形態となる低い表面部120aを形成する。   Referring to FIG. 2B, the first interlayer insulating layer 120 exposed between the plurality of first mask patterns 134 is removed from the upper surface by a first thickness d to form an upper surface of the first interlayer insulating layer 120. A low surface portion 120a having a groove shape is formed.

望ましくは、前記第1厚さdは、前記第1マスクパターン134の第1幅W1と同じ寸法を有させる。   Preferably, the first thickness d has the same dimension as the first width W1 of the first mask pattern 134.

前記第1層間絶縁膜120の上面に前記低い表面部120aを形成するためにドライエッチング工程を行える。例えば、図2Aを参照して説明した前記第1マスクパターン134の形成工程で、前記第1マスクパターン134の形成のためのドライエッチング工程時、前記第1マスクパターン134が形成された後、前記第1層間絶縁膜120に対して連続的に過度エッチングを行い、前記低い表面部120aを形成させうる。他の方法として、前記低い表面部120aを形成するための別途のドライエッチング工程を行える。   A dry etching process may be performed to form the low surface portion 120a on the top surface of the first interlayer insulating layer 120. For example, in the step of forming the first mask pattern 134 described with reference to FIG. 2A, after the first mask pattern 134 is formed during the dry etching process for forming the first mask pattern 134, The first interlayer insulating film 120 may be continuously excessively etched to form the low surface portion 120a. As another method, a separate dry etching process for forming the low surface portion 120a can be performed.

前記低い表面部120aの形成工程は、本発明による半導体素子の製造方法を実施するための必須工程ではなく、場合によって省略可能である。   The step of forming the low surface portion 120a is not an essential step for carrying out the method for manufacturing a semiconductor device according to the present invention, and may be omitted in some cases.

図2Cを参照すれば、前記複数の第1マスクパターン134のうち、相互隣接した2個の第1マスクパターン134間で所定幅のリセス領域136aが残るように前記第1マスクパターン134のそれぞれの両側壁を覆うバッファ絶縁膜136を形成する。   Referring to FIG. 2C, each of the first mask patterns 134 may have a recess region 136 a having a predetermined width between two adjacent first mask patterns 134 among the plurality of first mask patterns 134. A buffer insulating film 136 covering both side walls is formed.

前記バッファ絶縁膜136は、前記第1マスクパターン134の上面及び側壁と、前記第1層間絶縁膜120の低い表面部120aとを各々均一な厚さで覆う膜で形成されうる。前記バッファ絶縁膜136は、前記第1厚さdと同じ厚さを有するように形成されうる。また、前記バッファ絶縁膜136の上面によって限定される前記リセス領域136aが前記第1幅W1と同じ大きさの幅W2を有するように前記バッファ絶縁膜136の厚さを決定しうる。   The buffer insulating layer 136 may be formed of a film covering the upper surface and sidewalls of the first mask pattern 134 and the lower surface portion 120a of the first interlayer insulating layer 120 with a uniform thickness. The buffer insulating layer 136 may be formed to have the same thickness as the first thickness d. In addition, the thickness of the buffer insulating film 136 may be determined such that the recess region 136a limited by the upper surface of the buffer insulating film 136 has a width W2 that is the same as the first width W1.

前記バッファ絶縁膜136は、前記第1層間絶縁膜120と同一か、または類似したエッチング特性を有する物質からなりうる。例えば、前記バッファ絶縁膜136は、前記第1層間絶縁膜120の構成物質と同じ物質からなりうる。または、前記バッファ絶縁膜136は、前記第1層間絶縁膜120とエッチング特性は類似しているが、相異なる物質からなりうる。例えば、前記バッファ絶縁膜136は、酸化膜からなりうる。望ましくは、前記バッファ絶縁膜136は、ALD(アトミックレイヤーデポジション:atomic layer deposition)法によって形成された酸化膜からなりうる。   The buffer insulating layer 136 may be made of a material having the same or similar etching characteristics as the first interlayer insulating layer 120. For example, the buffer insulating layer 136 may be made of the same material as the constituent material of the first interlayer insulating layer 120. Alternatively, the buffer insulating layer 136 may be formed of a different material from the first interlayer insulating layer 120, although the etching characteristics are similar. For example, the buffer insulating layer 136 may be an oxide film. The buffer insulating layer 136 may be formed of an oxide layer formed by an ALD (Atomic Layer Deposition) method.

図2Dを参照すれば、前記バッファ絶縁膜136上に第2マスク層138を形成する。前記第2マスク層138は、前記第1マスクパターン134とエッチング特性が同一か、または類似した物質からなりうる。例えば、前記第2マスク層138は、酸化膜、窒化膜、ポリシリコン膜及び金属膜よりなる群から選択される何れか1つの物質からなる。前記第1層間絶縁膜120及びバッファ絶縁膜136が酸化膜または窒化膜からなる場合、前記第2マスク層138はポリシリコン膜からなりうる。または、前記第1層間絶縁膜120及びバッファ絶縁膜136が窒化膜からなる場合、前記第2マスク層138は酸化膜からなりうる。または、前記第1層間絶縁膜120及びバッファ絶縁膜136が各々酸化膜からなる場合、前記第2マスク層138はポリシリコン膜または窒化膜からなりうる。   Referring to FIG. 2D, a second mask layer 138 is formed on the buffer insulating layer 136. The second mask layer 138 may be made of a material having the same or similar etching characteristics as the first mask pattern 134. For example, the second mask layer 138 is made of any one material selected from the group consisting of an oxide film, a nitride film, a polysilicon film, and a metal film. When the first interlayer insulating layer 120 and the buffer insulating layer 136 are formed of an oxide layer or a nitride layer, the second mask layer 138 may be formed of a polysilicon layer. Alternatively, when the first interlayer insulating layer 120 and the buffer insulating layer 136 are formed of a nitride layer, the second mask layer 138 may be formed of an oxide layer. Alternatively, when the first interlayer insulating layer 120 and the buffer insulating layer 136 are each formed of an oxide layer, the second mask layer 138 may be formed of a polysilicon layer or a nitride layer.

前記第2マスク層138を形成することによって前記リセス領域136aは、前記第2マスク層138で充填される。前記バッファ絶縁膜136の厚さが前記第1ピッチ2Pの1/4の値を有する場合、前記第2マスク層138のうち、前記リセス領域136a内に充填された部分の幅、すなわち、前記リセス領域136aの幅W2は、前記第1ピッチ2Pの1/4の値、すなわち、前記第1マスクパターン134の幅W1と同じ値になりうる。   By forming the second mask layer 138, the recess region 136 a is filled with the second mask layer 138. When the thickness of the buffer insulating film 136 has a value that is ¼ of the first pitch 2P, the width of the portion of the second mask layer 138 filled in the recess region 136a, that is, the recess. The width W2 of the region 136a may be a quarter value of the first pitch 2P, that is, the same value as the width W1 of the first mask pattern 134.

図2Eを参照すれば、前記第1マスクパターン134の上面が露出されるまで前記第2マスク層138の一部及び前記バッファ絶縁膜136の一部を除去して前記リセス136a内に複数の第2マスクパターン138aを形成する。   Referring to FIG. 2E, a portion of the second mask layer 138 and a portion of the buffer insulating layer 136 are removed until a top surface of the first mask pattern 134 is exposed, and a plurality of second mask layers 136a are formed in the recess 136a. A two-mask pattern 138a is formed.

前記複数の第2マスクパターン138aは、前記第1マスクパターン134及びバッファ絶縁膜136により自己整列される構造を有し、前記複数の第1マスクパターン134の延長方向と同じ方向に相互平行に延びるラインパターンからなる。前記第1マスクパターン134及び第2マスクパターン138aは、ハードマスクパターン130を構成する。前記ハードマスクパターン130は、後続工程で前記第1層間絶縁膜120のドライエッチング時にエッチングマスクとして用いられる。前記第1マスクパターン134及び第2マスクパターン138aで構成される前記ハードマスクパターン130は、前記第1ピッチ2Pの1/4の幅W1またはW2を有し、前記第1ピッチ2Pの1/2のピッチPで反復形成されるラインパターンの形状を有する。   The plurality of second mask patterns 138a have a structure that is self-aligned by the first mask pattern 134 and the buffer insulating layer 136, and extend in the same direction as the extending direction of the plurality of first mask patterns 134. It consists of a line pattern. The first mask pattern 134 and the second mask pattern 138 a constitute a hard mask pattern 130. The hard mask pattern 130 is used as an etching mask during dry etching of the first interlayer insulating layer 120 in a subsequent process. The hard mask pattern 130 including the first mask pattern 134 and the second mask pattern 138a has a width W1 or W2 that is ¼ of the first pitch 2P, and ½ of the first pitch 2P. The line pattern has a shape that is repeatedly formed at a pitch P.

例えば、前記第2マスク層138の一部及び前記バッファ絶縁膜136の一部を除去するためにCMP(化学機械的研磨:chemical mechanical polishing)工程を利用しうる。または、前記第2マスク層138の一部及び前記バッファ絶縁膜136の一部を除去するために、まず前記リセス領域136a内にのみ第2マスクパターン138aが残るように前記第2マスク層138の一部を除去した後、複数の第2マスクパターン138aの間で露出されるバッファ絶縁膜136の一部を除去して、前記第1マスクパターン134の上面を露出させることによって、図2Eの結果物を得る。   For example, a CMP (Chemical Mechanical Polishing) process may be used to remove a part of the second mask layer 138 and a part of the buffer insulating film 136. Alternatively, in order to remove a part of the second mask layer 138 and a part of the buffer insulating film 136, first, the second mask layer 138 is formed such that the second mask pattern 138a remains only in the recess region 136a. After removing a part, a part of the buffer insulating film 136 exposed between the plurality of second mask patterns 138a is removed to expose the upper surface of the first mask pattern 134, thereby obtaining the result of FIG. 2E. Get things.

なお、図示では、一部を除去して上面が露出したバッファ絶縁膜部分をバッファ絶縁膜136bとし、後述する図2G以降において第2マスクパターン138aが乗っているバッファ絶縁膜部分をバッファ絶縁膜136cとして示した。   In the figure, the buffer insulating film portion whose upper surface is exposed by removing a part thereof is referred to as a buffer insulating film 136b, and the buffer insulating film portion on which the second mask pattern 138a is mounted in FIG. As shown.

ここで、前記第2マスク層138の一部及び前記バッファ絶縁膜136の一部を除去するためには、各々湿式エッチング工程を利用してもよい。   Here, in order to remove a part of the second mask layer 138 and a part of the buffer insulating film 136, a wet etching process may be used.

図2Fを参照すれば、前記ハードマスクパターン130が形成された結果物上に前記バッファ絶縁膜136bの上面及び前記ハードマスクパターン130の上面を一部露出させる開口140aが形成された第3マスクパターン140を形成する。   Referring to FIG. 2F, a third mask pattern in which an opening 140a exposing the upper surface of the buffer insulating layer 136b and the upper surface of the hard mask pattern 130 is formed on the resultant structure on which the hard mask pattern 130 is formed. 140 is formed.

図2Fには、前記第3マスクパターン140に形成された開口140aが前記ハードマスクパターン130の延長方向と直交する方向に沿って延びるライン形態を有する場合が例示されている。これは、ライン形態を有する開口140aは、図1に例示されているダイレクトコンタクト20の配列形態に対応して形成されたものである。しかし、本発明はこれに限定されるものではない。第3マスクパターン140には、前記ダイレクトコンタクト20の配置形態によって多様な平面形状及び多様な配置を有する開口140aが形成されうる。または、前記第3マスクパターン140は、前記ハードマスクパターン130の延長方向とは異なる方向に延びるラインパターンからなりうる。所望通り、前記第3マスクパターン140は、その延長方向が前記ハードマスクパターン130の延長方向に対して所定の傾斜角、例えば、約5〜90゜の角をなすように前記ハードマスクパターン130と相互交差して延びるパターン形状を有することができる。または、前記複数のダイレクトコンタクト20が各々特定の配列規則に制限されずに、任意の位置に形成される場合、それに対応する位置に複数の島状の開口が形成された第3マスクパターンを形成することも可能である。   FIG. 2F illustrates a case where the opening 140 a formed in the third mask pattern 140 has a line shape extending along a direction orthogonal to the extending direction of the hard mask pattern 130. This is because the openings 140a having a line form are formed corresponding to the arrangement form of the direct contacts 20 illustrated in FIG. However, the present invention is not limited to this. The third mask pattern 140 may have openings 140a having various planar shapes and various arrangements according to the arrangement form of the direct contact 20. Alternatively, the third mask pattern 140 may be a line pattern extending in a direction different from the extending direction of the hard mask pattern 130. As desired, the third mask pattern 140 and the hard mask pattern 130 may have an extending direction that forms a predetermined inclination angle with respect to the extending direction of the hard mask pattern 130, for example, an angle of about 5 to 90 degrees. It may have a pattern shape extending across each other. Alternatively, when each of the plurality of direct contacts 20 is formed at an arbitrary position without being limited to a specific arrangement rule, a third mask pattern in which a plurality of island-shaped openings are formed at the corresponding position is formed. It is also possible to do.

前記第3マスクパターン140及び前記ハードマスクパターン130を通じて前記バッファ絶縁膜136bの上面のうち、所定領域が露出される。ここで、前記バッファ絶縁膜136bの露出された領域は、後続工程で前記第1層間絶縁膜120に形成されるコンタクトホール領域に対応する。   A predetermined region of the upper surface of the buffer insulating layer 136b is exposed through the third mask pattern 140 and the hard mask pattern 130. Here, the exposed region of the buffer insulating layer 136b corresponds to a contact hole region formed in the first interlayer insulating layer 120 in a subsequent process.

前記第3マスクパターン140は、例えば、フォトレジスト膜からなりうる。または、前記第3マスクパターン140は、ポリシリコン膜、窒化膜、ACL(アモルファスカーボン層:amorphous carbon layer)のようなハードマスク層と、SiON、TEOS、ALD−酸化膜のようなキャッピング層と、ARC膜(反射防止膜:anti−reflective coating film)と、フォトレジスト膜よりなる群から選択される少なくとも2層の積層構造からなりうる。例えば、前記第3マスクパターン160は、SOC膜(スピノンカーボン膜:spinoncarbon film)、SiARC膜、及びフォトレジスト層が順次に積層された3層構造の積層膜、またはSOC膜、SiARC膜、有機ARC膜、及びフォトレジスト層が順次に積層された4層構造の積層膜からなりうる。   For example, the third mask pattern 140 may be formed of a photoresist film. Alternatively, the third mask pattern 140 may be a polysilicon film, a nitride film, a hard mask layer such as ACL (amorphous carbon layer), a capping layer such as SiON, TEOS, or ALD-oxide film, It may have a laminated structure of at least two layers selected from the group consisting of an ARC film (anti-reflective coating film) and a photoresist film. For example, the third mask pattern 160 may be a three-layer stacked film in which an SOC film (spinon carbon film), a SiARC film, and a photoresist layer are sequentially stacked, or an SOC film, a SiARC film, and an organic film. An ARC film and a multilayer film having a four-layer structure in which a photoresist layer is sequentially stacked may be used.

図2Gを参照すれば、前記ハードマスクパターン130及び第3マスクパターン140を各々エッチングマスクとして用いて、前記バッファ絶縁膜136b及び第1層間絶縁膜120を異方性ドライエッチングして上部コンタクトホール152を形成する。この際、前記上部コンタクトホール152の底面で前記第1エッチング停止用絶縁膜112上に所定厚さD1の前記第1層間絶縁膜120が残留するように、前記第1層間絶縁膜120の総厚さのうち、一部のみをエッチングして前記上部コンタクトホール152を形成する。前記上部コンタクトホール152の下に残留する前記第1層間絶縁膜120の厚さD1は、後続工程で形成される配線ラインの厚さに対応するように設定しうる。   Referring to FIG. 2G, the buffer insulating layer 136b and the first interlayer insulating layer 120 are anisotropically dry etched using the hard mask pattern 130 and the third mask pattern 140 as etching masks, respectively, to form an upper contact hole 152. Form. At this time, the total thickness of the first interlayer insulating film 120 is such that the first interlayer insulating film 120 having a predetermined thickness D1 remains on the first etching stop insulating film 112 at the bottom surface of the upper contact hole 152. Of these, only a part is etched to form the upper contact hole 152. A thickness D1 of the first interlayer insulating layer 120 remaining under the upper contact hole 152 may be set to correspond to a thickness of a wiring line formed in a subsequent process.

図2Hを参照すれば、前記第3マスクパターン140を除去する。   Referring to FIG. 2H, the third mask pattern 140 is removed.

図2Iを参照すれば、前記ハードマスクパターン130をエッチングマスクとして前記バッファ絶縁膜136b及び第1層間絶縁膜120をエッチングして前記ハードマスクパターン130と平行に延びる複数のライン形態のトレンチ158を形成する。前記トレンチ158は、前記上部コンタクトホール152と相互連通されるように形成される。前記トレンチ158が形成される間に前記上部コンタクトホール152の入口近傍では、前記トレンチ158と前記上部コンタクトホール152とが相互連通される部分で前記第1層間絶縁膜120cのコーナー部分(角部分)が消耗して、前記第1層間絶縁膜120cにはラウンド(round)形態のプロファイルを有するラウンドコーナー部Aが形成される。図示、ラウンドコーナー部Aが形成された第1層間絶縁膜を第1層間絶縁膜120c、そのほかの第1層間絶縁膜部分を第1層間絶縁膜120bとして示した。   Referring to FIG. 2I, the buffer insulating layer 136b and the first interlayer insulating layer 120 are etched using the hard mask pattern 130 as an etching mask to form a plurality of line-shaped trenches 158 extending in parallel with the hard mask pattern 130. To do. The trench 158 is formed to communicate with the upper contact hole 152. While the trench 158 is formed, in the vicinity of the entrance of the upper contact hole 152, a corner portion (corner portion) of the first interlayer insulating film 120c is a portion where the trench 158 and the upper contact hole 152 communicate with each other. As a result, a round corner portion A having a round profile is formed in the first interlayer insulating layer 120c. In the figure, the first interlayer insulating film in which the round corner portion A is formed is shown as the first interlayer insulating film 120c, and the other first interlayer insulating film portion is shown as the first interlayer insulating film 120b.

そして、前記トレンチ158が形成される間に前記ハードマスクパターン130の間で前記上部コンタクトホール152を通じて露出されていた前記第1層間絶縁膜120の残留厚さD1部分も共にエッチングされて、前記上部コンタクトホール152の深さが下部に延びて前記第1エッチング停止用絶縁膜112を露出させるコンタクトホール152aが形成される。   The remaining thickness D1 of the first interlayer insulating layer 120 exposed through the upper contact hole 152 between the hard mask patterns 130 during the formation of the trench 158 is also etched to form the upper portion. A depth of the contact hole 152 extends downward to form a contact hole 152a exposing the first etching stop insulating film 112.

必要に応じて、前記第1層間絶縁膜120bの上面から前記トレンチ158の底面(第1層間絶縁膜120cの上面)までの深さD2は、前記上部コンタクトホール152を通じて露出された前記第1層間絶縁膜120の残留厚さD1(図2G及び図2Hを参照)と同一に設定されうる。しかし、これに制限されるものではなく、場合によっては、前記トレンチ158の深さD2は、前記第1層間絶縁膜120の残留厚さD1より小さくてもよいしか、大きくくてもよい。   If necessary, the depth D2 from the upper surface of the first interlayer insulating film 120b to the bottom surface of the trench 158 (the upper surface of the first interlayer insulating film 120c) is the first interlayer exposed through the upper contact hole 152. The remaining thickness D1 of the insulating film 120 (see FIGS. 2G and 2H) can be set to be the same. However, the present invention is not limited to this. In some cases, the depth D2 of the trench 158 may be smaller or larger than the residual thickness D1 of the first interlayer insulating film 120.

図2Jを参照すれば、前記ハードマスクパターン130を除去する。前記ハードマスクパターン130を除去するために、例えば、湿式エッチング工程を利用しうる。   Referring to FIG. 2J, the hard mask pattern 130 is removed. In order to remove the hard mask pattern 130, for example, a wet etching process may be used.

図2Kを参照すれば、前記第1層間絶縁膜120及びバッファ絶縁膜136bをエッチングマスクとして用いて前記第1層間絶縁膜120に形成されたコンタクトホール152aの底面で露出される第1エッチング停止用絶縁膜112を異方性ドライエッチングし、前記半導体基板10の導電領域(図示せず)を露出させる。図1のレイアウトを有する半導体素子を製造する場合には、前記コンタクトホール152aの底面で活性領域12が露出される。   Referring to FIG. 2K, the first etching stop is exposed at the bottom surface of the contact hole 152a formed in the first interlayer insulating film 120 using the first interlayer insulating film 120 and the buffer insulating film 136b as an etching mask. The insulating film 112 is anisotropically etched to expose a conductive region (not shown) of the semiconductor substrate 10. When the semiconductor device having the layout of FIG. 1 is manufactured, the active region 12 is exposed at the bottom surface of the contact hole 152a.

図2Kの結果物で、前記第1層間絶縁膜120cには、前記半導体基板10の導電領域を露出させる複数のコンタクトホール152aが形成されており、前記コンタクトホール152aの上部で前記ハードマスクパターン130の延長方向と平行の第1方向(図1のy方向)に沿う前記コンタクトホール152aの幅は、前記第1方向で前記コンタクトホール152aの両側壁をなす前記第1層間絶縁膜120cに形成されたラウンドコーナー部Aにより限定されるので、前記半導体基板10からの距離によってその幅が可変的になる。また、前記コンタクトホール152aの上部で前記第1方向に直交する第2方向(図1のx方向)に沿う前記コンタクトホール152aの幅は前記第2方向で前記コンタクトホール152aの上部の両側壁をなす前記第1層間絶縁膜120bの垂直側壁により限定されるので、前記基板からの距離によってその幅が一定になる。   2K, a plurality of contact holes 152a exposing the conductive regions of the semiconductor substrate 10 are formed in the first interlayer insulating layer 120c, and the hard mask pattern 130 is formed above the contact holes 152a. The width of the contact hole 152a along the first direction (y direction in FIG. 1) parallel to the extending direction is formed in the first interlayer insulating film 120c forming both side walls of the contact hole 152a in the first direction. Since the width is limited by the round corner portion A, the width thereof is variable depending on the distance from the semiconductor substrate 10. Further, the width of the contact hole 152a along the second direction (x direction in FIG. 1) perpendicular to the first direction at the upper part of the contact hole 152a is equal to the both side walls above the contact hole 152a in the second direction. Since the width is limited by the vertical sidewall of the first interlayer insulating film 120b, the width is constant depending on the distance from the substrate.

図2Lを参照すれば、前記コンタクトホール152a及びトレンチ158内に導電物質を充填して前記コンタクトホール152a内に形成されるコンタクトプラグ162と、前記トレンチ158内に形成される配線ライン168を形成する。   Referring to FIG. 2L, the contact hole 152a and the trench 158 are filled with a conductive material to form a contact plug 162 formed in the contact hole 152a and a wiring line 168 formed in the trench 158. .

前記配線ライン168を形成するために前記コンタクトホール152a及びトレンチ158の形成された結果物上に前記導電物質を蒸着した後、前記層間絶縁膜120b及びバッファ絶縁膜136cの上面が露出されるまで、CMP(chemical mechanical polishing)またはエッチバック工程を行える。   After depositing the conductive material on the resultant structure in which the contact hole 152a and the trench 158 are formed to form the wiring line 168, the upper surfaces of the interlayer insulating layer 120b and the buffer insulating layer 136c are exposed. A CMP (chemical mechanical polishing) or etchback process can be performed.

前記導電物質は、W、Cu、Ti、Taのような金属、WN、TiN、TaNのような金属窒化物、またはドーピングされたポリシリコンからなりうる。   The conductive material may be a metal such as W, Cu, Ti, or Ta, a metal nitride such as WN, TiN, or TaN, or doped polysilicon.

前記コンタクトホール152aの入口近傍で前記コンタクトホール152aと前記トレンチ158とが相互連通される部分には、前記第1層間絶縁膜120cにラウンドコーナー部Aが形成されているので、前記コンタクトホール152a及びトレンチ158内に導電物質を各々充填して前記コンタクトプラグ162及び前記配線ライン168を相互一体型に形成した時、前記コンタクトプラグ162と前記配線ライン168とが一体に連結される接触領域164では、前記ラウンドコーナー部Aにより前記コンタクトプラグ162の幅が限定され、前記配線ライン168の底面からその下部に位置する前記コンタクトプラグ162の上部には、前記コンタクトプラグ162の中間部及び下部より大きい断面積を有する。   Since a round corner portion A is formed in the first interlayer insulating film 120c at a portion where the contact hole 152a and the trench 158 communicate with each other in the vicinity of the entrance of the contact hole 152a, the contact hole 152a and the contact hole 152a In the contact region 164 where the contact plug 162 and the wiring line 168 are integrally connected when the contact plug 162 and the wiring line 168 are integrally formed by filling the trench 158 with a conductive material, The width of the contact plug 162 is limited by the round corner portion A, and a cross-sectional area larger than the middle portion and the lower portion of the contact plug 162 is formed on the upper portion of the contact plug 162 positioned below the wiring line 168 from the bottom. Have

さらに具体的に説明すれば、前記コンタクトプラグ162で前記配線ライン168の延長方向と同じ第1方向(図1及び図2Lのy方向)に沿うコンタクトプラグ162の幅Wyは、前記第1層間絶縁膜120cに形成されたラウンドコーナー部Aにより限定されて前記幅Wy(図1を参照)は、前記半導体基板10の上面からの距離によって可変的になる。すなわち、前記第1方向によるコンタクトプラグ162の幅Wyは、前記接触領域164に近接した前記コンタクトプラグ162の上部での幅(図2Lでは、前記幅Wyの一部がWy1で表される)が前記コンタクトプラグ162の中間部及び下部での幅(図2Lでは、前記幅Wyの一部がWy2で表される)より大きい。   More specifically, the width Wy of the contact plug 162 along the same first direction (y direction in FIGS. 1 and 2L) as the extension direction of the wiring line 168 in the contact plug 162 is defined as the first interlayer insulation. The width Wy (see FIG. 1) limited by the round corner portion A formed in the film 120c is variable depending on the distance from the upper surface of the semiconductor substrate 10. That is, the width Wy of the contact plug 162 in the first direction is the width at the top of the contact plug 162 adjacent to the contact region 164 (a part of the width Wy is represented by Wy1 in FIG. 2L). The contact plug 162 is larger than the width at the middle portion and the lower portion thereof (in FIG. 2L, a part of the width Wy is represented by Wy2).

一方、前記コンタクトプラグ162で前記配線ライン168の延長方向に直交する第2方向(図1及び図2Lでx方向)に沿うコンタクトプラグ162の幅Wxは、前記第1層間絶縁膜120bからなる垂直側壁により限定され、前記幅Wxは前記半導体基板10の上面からの距離によって一定している。前記第2方向に沿うコンタクトプラグ162の幅Wxは前記配線ライン168の第2方向に沿う幅WBとほぼ同一である。   On the other hand, the width Wx of the contact plug 162 along the second direction (the x direction in FIGS. 1 and 2L) perpendicular to the extending direction of the wiring line 168 in the contact plug 162 is vertical to the first interlayer insulating film 120b. The width Wx is limited by the side wall, and the width Wx is constant depending on the distance from the upper surface of the semiconductor substrate 10. The width Wx of the contact plug 162 along the second direction is substantially the same as the width WB along the second direction of the wiring line 168.

前述したように、前記コンタクトプラグ162と前記配線ライン168との間で前記ラウンドコーナー部Aによりその断面積が広くなった接触領域164が確保されることによって、前記コンタクトプラグ162及び前記配線ライン168間のコンタクト抵抗が減少して電気的特性が向上しうる。   As described above, the contact region 164 whose cross-sectional area is widened by the round corner portion A is secured between the contact plug 162 and the wiring line 168, so that the contact plug 162 and the wiring line 168 are secured. The contact resistance between them can be reduced and the electrical characteristics can be improved.

前記コンタクトプラグ162及び配線ライン168は、図1のレイアウトによるダイレクトコンタクト20及びビットライン30を各々構成する。前記ダイレクトコンタクト20及びビットライン30は、前記ラウンドコーナー部Aにより広くなった接触領域164で相互連通される構造を有する。したがって、前記ダイレクトコンタクト20及びビットライン30間のコンタクト抵抗が減少して半導体素子の電気的特性を向上させうる。   The contact plug 162 and the wiring line 168 constitute the direct contact 20 and the bit line 30 according to the layout of FIG. The direct contact 20 and the bit line 30 have a structure in which the direct contact 20 and the bit line 30 are communicated with each other through a contact region 164 widened by the round corner portion A. Accordingly, the contact resistance between the direct contact 20 and the bit line 30 can be reduced, and the electrical characteristics of the semiconductor device can be improved.

図3A及び図3Bは、本発明の第2参考例による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。図3A及び図3Bには、図1のレイアウトによるダイレクトコンタクト20及びビットライン30を一体に形成するための工程が例示されている。図3A及び図3Bは、図1で「B」領域に対応する部分の一部切欠き斜視図である。   3A and 3B are partially cutaway perspective views illustrating a method of manufacturing a semiconductor device according to a second reference example of the present invention in order of processes. 3A and 3B illustrate a process for integrally forming the direct contact 20 and the bit line 30 according to the layout of FIG. 3A and 3B are partially cutaway perspective views of a portion corresponding to the “B” region in FIG.

本発明の第2参考例による半導体素子の製造方法は、図2Aないし図2Lを参照して説明した第1参考例による半導体素子の製造方法と類似している。但し、第2参考例では、図2Kを参照して説明したように、半導体基板10の導電領域を露出させるために前記第1エッチング停止用絶縁膜112を除去する時、前記第1層間絶縁膜120b上に前記ハードマスクパターン130が残っている状態で第1エッチング停止用絶縁膜112を除去する。図3A及び図3Bで、図2Aないし図2Lと同じ参照符号は同じ部材を表す。したがって、本例ではこれらについての詳細な説明は省略する。   The semiconductor device manufacturing method according to the second reference example of the present invention is similar to the semiconductor device manufacturing method according to the first reference example described with reference to FIGS. 2A to 2L. However, in the second reference example, as described with reference to FIG. 2K, when the first etching stop insulating film 112 is removed to expose the conductive region of the semiconductor substrate 10, the first interlayer insulating film is used. The first etching stop insulating film 112 is removed in a state where the hard mask pattern 130 remains on 120b. 3A and 3B, the same reference numerals as those in FIGS. 2A to 2L denote the same members. Therefore, detailed description thereof will be omitted in this example.

図3Aを参照すれば、図2Aないし図2Iを参照して説明したように、半導体基板10上に前記第1エッチング停止用絶縁膜112を露出させるコンタクトホール152aと前記コンタクトホール152aに連通されるトレンチ158を形成する工程まで進行した後、前記ハードマスクパターン130、第1層間絶縁膜120b及び12c、バッファ絶縁膜136bをエッチングマスクとして前記第1エッチング停止用絶縁膜112の露出部分を除去して、前記コンタクトホール152aの底面で前記半導体基板10の導電領域(図示せず)を露出させる。   Referring to FIG. 3A, as described with reference to FIGS. 2A to 2I, the contact hole 152a exposing the first etching stop insulating film 112 on the semiconductor substrate 10 is communicated with the contact hole 152a. After the process to form the trench 158, the exposed portion of the first etching stop insulating film 112 is removed using the hard mask pattern 130, the first interlayer insulating films 120b and 12c, and the buffer insulating film 136b as an etching mask. Then, a conductive region (not shown) of the semiconductor substrate 10 is exposed at the bottom surface of the contact hole 152a.

図3Bを参照すれば、前記層間絶縁膜120b上に前記ハードマスクパターン130が残っている状態で導電物質を蒸着して前記コンタクトホール152a及びトレンチ158の内部を満たす導電層160を形成する。前記導電物質についてのさらに詳細な事項は、図2Lを参照して説明した通りである。   Referring to FIG. 3B, a conductive layer 160 is formed to fill the contact hole 152a and the trench 158 by depositing a conductive material with the hard mask pattern 130 remaining on the interlayer insulating layer 120b. Further details regarding the conductive material are as described with reference to FIG. 2L.

次いで、CMPまたはエッチバック工程により前記層間絶縁膜120b及びバッファ絶縁膜136bの上面が露出されるまで前記導電層160の一部と前記ハードマスクパターン130を除去して、図2Lに示したような結果物を得る。   Next, a part of the conductive layer 160 and the hard mask pattern 130 are removed until the upper surfaces of the interlayer insulating film 120b and the buffer insulating film 136b are exposed by a CMP or etch back process, as shown in FIG. 2L. Obtain the result.

図4Aないし図4Eは、本発明の第1実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。図4Aないし図4Eには、図1のレイアウトによるダイレクトコンタクト20及びビットライン30を一体に形成するための工程が例示されている。図4A及び図4Bは、図1での「A」領域に対応する部分の一部切欠き斜視図で、図4Cないし図4Eは、図1での「B」領域に対応する部分の一部切欠き斜視図である。   4A to 4E are partially cutaway perspective views illustrating a method of manufacturing a semiconductor device according to the first embodiment of the present invention, according to a process order. 4A to 4E illustrate a process for integrally forming the direct contact 20 and the bit line 30 according to the layout of FIG. 4A and 4B are partially cutaway perspective views of a portion corresponding to the “A” region in FIG. 1, and FIGS. 4C to 4E are portions of the portion corresponding to the “B” region in FIG. It is a notch perspective view.

本発明の第1実施形態による半導体素子の製造方法は、図2Aないし図2Lを参照して説明した第1参考例による半導体素子の製造方法と類似している。但し、第1実施形態では、トレンチ158(図2Iを参照)を形成する時、第2エッチング停止用絶縁膜122をエッチング停止層として用いるということである。図4Aないし図4Eで、図2Aないし図2Lと同じ参照符号は同じ部材を示す。したがって、本例ではこれらについての詳細な説明は省略する。   The semiconductor device manufacturing method according to the first embodiment of the present invention is similar to the semiconductor device manufacturing method according to the first reference example described with reference to FIGS. 2A to 2L. However, in the first embodiment, when the trench 158 (see FIG. 2I) is formed, the second etching stop insulating film 122 is used as an etching stop layer. 4A to 4E, the same reference numerals as those in FIGS. 2A to 2L denote the same members. Therefore, detailed description thereof will be omitted in this example.

図4Aを参照すれば、半導体基板10上に第1エッチング停止用絶縁膜112、第1層間絶縁膜120、第2エッチング停止用絶縁膜122、及び第2層間絶縁膜124を順次に形成する。   Referring to FIG. 4A, a first etching stop insulating film 112, a first interlayer insulating film 120, a second etching stop insulating film 122, and a second interlayer insulating film 124 are sequentially formed on the semiconductor substrate 10.

前記第1エッチング停止用絶縁膜112は、前記第1層間絶縁膜120のエッチング時にエッチング停止層の役割を果たし、前記第2エッチング停止用絶縁膜122は、前記第2層間絶縁膜120のエッチング時にエッチング停止層の役割を果たすように形成することである。前記第1エッチング停止用絶縁膜112及び第2エッチング停止用絶縁膜122は、前記第1層間絶縁膜120及び第2層間絶縁膜124とは異なるエッチング選択比を提供する物質からなりうる。前記第1層間絶縁膜120及び第2層間絶縁膜124の構成材料によって前記第1エッチング停止用絶縁膜112及び第2エッチング停止用絶縁膜122は、例えば、シリコン窒化膜、シリコン酸化膜、シリコン酸化窒化膜、またはシリコンカーバイド膜からなりうる。前記第1エッチング停止用絶縁膜112及び第2エッチング停止用絶縁膜122は、相互同じ物質からなっても、相異なる物質からなっても良い。例えば、前記第1エッチング停止用絶縁膜112及び第2エッチング停止用絶縁膜122は、各々約500Åの厚さに形成されうる。   The first etching stop insulating film 112 serves as an etching stop layer when the first interlayer insulating film 120 is etched, and the second etching stop insulating film 122 is used when the second interlayer insulating film 120 is etched. It is to be formed so as to serve as an etching stop layer. The first etch stop insulating film 112 and the second etch stop insulating film 122 may be made of a material that provides an etch selectivity different from that of the first interlayer insulating film 120 and the second interlayer insulating film 124. Depending on the material of the first interlayer insulating film 120 and the second interlayer insulating film 124, the first etching stop insulating film 112 and the second etching stop insulating film 122 may be, for example, a silicon nitride film, a silicon oxide film, or a silicon oxide film. It can be made of a nitride film or a silicon carbide film. The first etching stop insulating film 112 and the second etching stop insulating film 122 may be made of the same material or different materials. For example, the first etch stop insulating film 112 and the second etch stop insulating film 122 may each be formed to a thickness of about 500 mm.

前記第1層間絶縁膜120及び第2層間絶縁膜124の構成材料は、図2Aを参照して第1層間絶縁膜120について説明した通りである。前記第1層間絶縁膜120及び第2層間絶縁膜124は、相互同じ物質からなっても、相異なる物質からなっても良い。   The constituent materials of the first interlayer insulating film 120 and the second interlayer insulating film 124 are as described for the first interlayer insulating film 120 with reference to FIG. 2A. The first interlayer insulating layer 120 and the second interlayer insulating layer 124 may be made of the same material or different materials.

図2Aの説明と同じ方法で前記第2層間絶縁膜124上に複数の第1マスクパターン134を形成する。   A plurality of first mask patterns 134 are formed on the second interlayer insulating film 124 by the same method as described in FIG. 2A.

図4Bを参照すれば、図2Bないし図2Eの説明と同じ方法で前記第1マスクパターン134上にバッファ絶縁膜136及び複数の第2マスクパターン138aを形成し、前記第1マスクパターン134及び第2マスクパターン138aで構成されるハードマスクパターン130を形成する。   Referring to FIG. 4B, a buffer insulating layer 136 and a plurality of second mask patterns 138a are formed on the first mask pattern 134 by the same method as described with reference to FIGS. 2B to 2E. A hard mask pattern 130 composed of two mask patterns 138a is formed.

図4Cを参照すれば、図2Fの説明と同じ方法で、前記ハードマスクパターン130が形成された結果物上に前記バッファ絶縁膜136bの上面及び前記ハードマスクパターン130の上面を一部露出させる開口140aが形成された第3マスクパターン140を形成する。   Referring to FIG. 4C, an opening for partially exposing the upper surface of the buffer insulating layer 136b and the upper surface of the hard mask pattern 130 on the resultant structure on which the hard mask pattern 130 is formed in the same manner as described with reference to FIG. 2F. A third mask pattern 140 formed with 140a is formed.

次いで、前記ハードマスクパターン130及び第3マスクパターン140を各々エッチングマスクとして用いて前記バッファ絶縁膜136b、第2層間絶縁膜124、第2エッチング停止用絶縁膜122、及び第1層間絶縁膜120を順次に異方性ドライエッチングして上部コンタクトホール152を形成する。この際、図2Gを参照して説明したように、前記上部コンタクトホール152の底面で前記第1エッチング停止用絶縁膜112上に所定厚さD1の前記第1層間絶縁膜120が残留するように前記第1層間絶縁膜120の総厚さのうち、一部のみをエッチングして前記上部コンタクトホール152を形成する。   Next, the buffer insulating film 136b, the second interlayer insulating film 124, the second etching stop insulating film 122, and the first interlayer insulating film 120 are formed using the hard mask pattern 130 and the third mask pattern 140 as etching masks, respectively. The upper contact hole 152 is formed by sequentially performing anisotropic dry etching. At this time, as described with reference to FIG. 2G, the first interlayer insulating film 120 having a predetermined thickness D1 remains on the first etching stop insulating film 112 on the bottom surface of the upper contact hole 152. The upper contact hole 152 is formed by etching only a part of the total thickness of the first interlayer insulating layer 120.

図4Dを参照すれば、前記第3マスクパターン140を除去した後、図2Iの説明と同じ方法で前記ハードマスクパターン130をエッチングマスクとして前記バッファ絶縁膜136b及び第2層間絶縁膜124をエッチングして、前記ハードマスクパターン130と平行に延びる複数のライン形態のトレンチ158を形成する。但し、本実施形態では、前記トレンチ158の形成のための第2層間絶縁膜124のエッチング時に前記第2エッチング停止用絶縁膜122をエッチング停止層として用いる。   Referring to FIG. 4D, after removing the third mask pattern 140, the buffer insulating layer 136b and the second interlayer insulating layer 124 are etched using the hard mask pattern 130 as an etching mask in the same manner as described with reference to FIG. 2I. A plurality of line-shaped trenches 158 extending in parallel with the hard mask pattern 130 are formed. However, in the present embodiment, the second etching stop insulating film 122 is used as an etching stop layer when etching the second interlayer insulating film 124 for forming the trench 158.

前記トレンチ158は、前記上部コンタクトホール152と相互連通されるように形成される。前記トレンチ158が形成される間に前記ハードマスクパターン130の間で前記上部コンタクトホール152を通じて露出されている前記第1層間絶縁膜120の残留厚さD1部分も共にエッチングされて前記上部コンタクトホール152の深さが下部に延びて前記第1エッチング停止用絶縁膜112を露出させるコンタクトホール152aが形成される。   The trench 158 is formed to communicate with the upper contact hole 152. While the trench 158 is formed, the remaining thickness D1 of the first interlayer insulating layer 120 exposed through the upper contact hole 152 between the hard mask patterns 130 is also etched to form the upper contact hole 152. A contact hole 152a is formed to extend downward and expose the first etching stop insulating film 112.

図4Eを参照すれば、図2Jないし図2Lを参照して説明したような一連の工程、または図3A及び図3Bを参照して説明したような一連の工程によって前記コンタクトホール152aの底面で露出される第1エッチング停止用絶縁膜112を除去した後、前記コンタクトホール152a及びトレンチ158内に導電物質を充填して一体型構造を有するコンタクトプラグ162及び配線ライン168を形成する。   Referring to FIG. 4E, the bottom surface of the contact hole 152a is exposed through a series of processes as described with reference to FIGS. 2J to 2L or a series of processes as described with reference to FIGS. 3A and 3B. After removing the first etching stop insulating film 112, the contact hole 152a and the trench 158 are filled with a conductive material to form a contact plug 162 and a wiring line 168 having an integral structure.

前記第1エッチング停止用絶縁膜112及び第2エッチング停止用絶縁膜122が相互同じ物質または類似したエッチング特性を有する物質からなる場合、前記コンタクトホール152aの底面で露出される第1エッチング停止用絶縁膜112が除去される間に前記第2エッチング停止用絶縁膜122のうち、前記トレンチ158の底面に露出されていた部分が共に除去されて、前記トレンチ158の底面で前記第1層間絶縁膜120が露出されうる。この場合、図4Eに例示されたように、前記配線ライン168の底面は、前記第1層間絶縁膜120の上面に直接接する。   When the first etching stop insulating film 112 and the second etching stop insulating film 122 are made of the same material or a material having similar etching characteristics, the first etching stop insulation exposed at the bottom surface of the contact hole 152a. While the film 112 is removed, a portion of the second etching stop insulating film 122 exposed at the bottom surface of the trench 158 is removed together, and the first interlayer insulating film 120 is removed at the bottom surface of the trench 158. Can be exposed. In this case, as illustrated in FIG. 4E, the bottom surface of the wiring line 168 is in direct contact with the top surface of the first interlayer insulating film 120.

しかし、本発明はこれに限定されるものではない。図示しないが、場合によって前記トレンチ158の底面で前記第1層間絶縁膜120上に前記第2エッチング停止用絶縁膜122が残ることもある。この場合には、前記第1層間絶縁膜120と前記配線ライン168との間に第2エッチング停止用絶縁膜122が介在されている構造が得られる。   However, the present invention is not limited to this. Although not shown, the second etching stop insulating film 122 may remain on the first interlayer insulating film 120 on the bottom surface of the trench 158 in some cases. In this case, a structure in which a second etching stop insulating film 122 is interposed between the first interlayer insulating film 120 and the wiring line 168 is obtained.

図5Aないし図5Eは、本発明の第2実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。図5Aないし図5Eには、図1のレイアウトによるダイレクトコンタクト20及びビットライン30を一体に形成するための工程が例示されている。図5A及び図5Bは、図1で「A」領域に対応する部分の一部切欠き斜視図であり、図5Cないし図5Eは、図1で「B」領域に対応する部分の一部切欠き斜視図である。   FIGS. 5A to 5E are partially cutaway perspective views illustrating a method of manufacturing a semiconductor device according to a second embodiment of the present invention, according to a process sequence. FIGS. 5A to 5E illustrate a process for integrally forming the direct contact 20 and the bit line 30 according to the layout of FIG. 5A and 5B are partially cutaway perspective views of a portion corresponding to the “A” region in FIG. 1, and FIGS. 5C to 5E are partially cutaway portions of the portion corresponding to the “B” region in FIG. FIG.

本発明の第2実施形態による半導体素子の製造方法は、図2Aないし図2Lを参照して説明した第1参考例による半導体素子の製造方法と類似している。但し、第1参考例では、上部コンタクトホール152を先に形成した後、トレンチ158を形成したが、第2実施形態ではトレンチ158を先に形成した後、コンタクトホール152aを形成する。図5Aないし図5Fで、図2Aないし図2Lと同じ参照符号は同じ部材を示す。したがって、本例では、これらについての詳細な説明は省略する。   A method of manufacturing a semiconductor device according to the second embodiment of the present invention is similar to the method of manufacturing a semiconductor device according to the first reference example described with reference to FIGS. 2A to 2L. However, in the first reference example, the trench 158 is formed after the upper contact hole 152 is formed first, but in the second embodiment, the contact hole 152a is formed after the trench 158 is formed first. 5A to 5F, the same reference numerals as those in FIGS. 2A to 2L denote the same members. Therefore, in this example, detailed description thereof is omitted.

図5Aを参照すれば、図2Aないし図2Eの説明と同じ方法で半導体基板10上に第1エッチング停止用絶縁膜112及び第1層間絶縁膜120を形成した後、第1マスクパターン134及び第2マスクパターン138aで構成されるハードマスクパターン130を形成する。   Referring to FIG. 5A, after the first etching stop insulating film 112 and the first interlayer insulating film 120 are formed on the semiconductor substrate 10 by the same method as described with reference to FIGS. 2A to 2E, the first mask pattern 134 and the first mask pattern 134 are formed. A hard mask pattern 130 composed of two mask patterns 138a is formed.

次いで、前記ハードマスクパターン130をエッチングマスクとして前記バッファ絶縁膜136と第1層間絶縁膜120の一部をエッチングして、前記ハードマスクパターン130と平行に延びる複数のライン形態のトレンチ558を形成する(なお、図5A、5Bではこのエッチング後のバッファ絶縁膜136cを示している)。   Next, the buffer insulating film 136 and a part of the first interlayer insulating film 120 are etched using the hard mask pattern 130 as an etching mask to form a plurality of line-shaped trenches 558 extending in parallel with the hard mask pattern 130. (Note that FIGS. 5A and 5B show the buffer insulating film 136c after this etching).

図5Bを参照すれば、前記ハードマスクパターン130の一部と前記トレンチ558の底面の一部とを露出させる開口540aの形成された第3マスクパターン540を形成する。   Referring to FIG. 5B, a third mask pattern 540 having an opening 540a exposing a part of the hard mask pattern 130 and a part of the bottom surface of the trench 558 is formed.

前記第3マスクパターン540の構成材料は、図2Fを参照して第3マスクパターン140について説明した通りである。   The constituent material of the third mask pattern 540 is as described for the third mask pattern 140 with reference to FIG. 2F.

図5Cを参照すれば、前記ハードマスクパターン130及び第3マスクパターン540をエッチングマスクとして用いて、前記第1エッチング停止用絶縁膜112をエッチング停止層として用いて前記第1層間絶縁膜120をエッチングしてコンタクトホール552を形成する。前記コンタクトホール552を通じて前記第1エッチング停止用絶縁膜112の一部が露出される。   Referring to FIG. 5C, the first interlayer insulating layer 120 is etched using the hard mask pattern 130 and the third mask pattern 540 as an etching mask and the first etching stop insulating layer 112 as an etching stop layer. Thus, a contact hole 552 is formed. A portion of the first etch stop insulating layer 112 is exposed through the contact hole 552.

図5Dを参照すれば、前記第1エッチング停止用絶縁膜112のうち、前記コンタクトホール552を通じて露出される部分を除去する工程と、前記第3マスクパターン540及び前記ハードマスクパターン130を除去する工程を各々行う。その結果、前記コンタクトホール552の内部と前記トレンチ558の内部とが完全に露出される。   Referring to FIG. 5D, a step of removing a portion of the first etching stop insulating film 112 exposed through the contact hole 552 and a step of removing the third mask pattern 540 and the hard mask pattern 130. Each. As a result, the inside of the contact hole 552 and the inside of the trench 558 are completely exposed.

ここで、前記第1エッチング停止用絶縁膜112の除去工程と前記第3マスクパターン540及び前記ハードマスクパターン130の除去工程のうち、いかなる工程が先に行なわれるかは、特に制限されず、工程便宜上所望の工程を先に行える。   Here, of the first etching stop insulating film 112 removal process and the third mask pattern 540 and hard mask pattern 130 removal process, which process is performed first is not particularly limited. For convenience, a desired process can be performed first.

図5Eを参照すれば、図2Lの説明と同じ方法で、前記コンタクトホール552及びトレンチ558内に導電物質を充填して前記コンタクトホール552及びトレンチ558内に各々相互一体型構造を有するコンタクトプラグ162及び配線ライン168を形成する。   Referring to FIG. 5E, in the same manner as described with reference to FIG. 2L, the contact holes 552 and the trenches 558 are filled with a conductive material, and the contact holes 552 and the trenches 558 each have an integral structure. And a wiring line 168 is formed.

図6Aないし図6Eは、本発明の第3実施形態による半導体素子の製造方法を説明するために工程順序によって示す一部切欠き斜視図である。図6Aないし図6Eには、図1のレイアウトによるダイレクトコンタクト20及びビットライン30を一体に形成するための工程が例示されている。図6A及び図5Bは、図1で「A」領域に対応する部分の一部切欠き斜視図であり、図6Cないし図6Eは、図1で「B」領域に対応する部分の一部切欠き斜視図である。   6A to 6E are partially cutaway perspective views illustrating a method of manufacturing a semiconductor device according to a third embodiment of the present invention according to a process order. 6A to 6E illustrate a process for integrally forming the direct contact 20 and the bit line 30 according to the layout of FIG. 6A and 5B are partially cutaway perspective views of a portion corresponding to the “A” region in FIG. 1, and FIGS. 6C to 6E are partially cutaway portions of the portion corresponding to the “B” region in FIG. FIG.

本発明の第3実施形態による半導体素子の製造方法は、図5Aないし図5Eを参照して説明した第2実施形態による半導体素子の製造方法と類似している。但し、第3実施形態では、トレンチ558(図5Aを参照)を形成する時、第2エッチング停止用絶縁膜122をエッチング停止層と用いるということである。図6Aないし図6Eで、図2Aないし図2L、図4Aないし図4E、そして図5Aないし図5Eと同じ参照符号は同じ部材を示す。したがって、本例では、これらについての詳細な説明は省略する。   A method of manufacturing a semiconductor device according to the third embodiment of the present invention is similar to the method of manufacturing a semiconductor device according to the second embodiment described with reference to FIGS. 5A to 5E. However, in the third embodiment, when the trench 558 (see FIG. 5A) is formed, the second etching stop insulating film 122 is used as an etching stop layer. 6A to 6E, the same reference numerals as those in FIGS. 2A to 2L, 4A to 4E, and 5A to 5E denote the same members. Therefore, in this example, detailed description thereof is omitted.

図6Aを参照すれば、図4A及び図4Bの説明と同じ方法で半導体基板10上に第1エッチング停止用絶縁膜112、第1層間絶縁膜120、第2エッチング停止用絶縁膜122、及び第2層間絶縁膜124を順次に形成した後、その上に複数の第1マスクパターン134及びバッファ絶縁膜136を形成し、これらを用いて前記バッファ絶縁膜136c上に複数の第2マスクパターン138aを形成し、前記第1マスクパターン134及び第2マスクパターン138aで構成されるハードマスクパターン130を形成する。   Referring to FIG. 6A, the first etching stop insulating film 112, the first interlayer insulating film 120, the second etching stop insulating film 122, and the second etching stop are formed on the semiconductor substrate 10 in the same manner as described with reference to FIGS. 4A and 4B. After sequentially forming the two interlayer insulating film 124, a plurality of first mask patterns 134 and a buffer insulating film 136 are formed thereon, and a plurality of second mask patterns 138a are formed on the buffer insulating film 136c using these. Then, a hard mask pattern 130 including the first mask pattern 134 and the second mask pattern 138a is formed.

次いで、前記ハードマスクパターン130をエッチングマスクとして用いて前記第2エッチング停止用絶縁膜122をエッチング停止層として用いて前記バッファ絶縁膜136及び第2層間絶縁膜124をエッチングして、前記ハードマスクパターン130と平行に延びる複数のライン形態のトレンチ558を形成する。   Next, the hard mask pattern is etched using the hard mask pattern 130 as an etching mask and the second etching stop insulating film 122 as an etching stop layer to etch the buffer insulating film 136 and the second interlayer insulating film 124, and the hard mask pattern A plurality of line-shaped trenches 558 extending in parallel with 130 are formed.

図6Bを参照すれば、図5Bの説明と同じ方法で、前記ハードマスクパターン130の一部と前記トレンチ558の底面の一部を露出させる開口540aの形成された第3マスクパターン540を形成する。   Referring to FIG. 6B, a third mask pattern 540 having an opening 540a for exposing a part of the hard mask pattern 130 and a part of the bottom surface of the trench 558 is formed by the same method as described with reference to FIG. 5B. .

図6Cを参照すれば、前記ハードマスクパターン130及び第3マスクパターン540をエッチングマスクとして用いて第2エッチング停止用絶縁膜122をエッチングした後、次いで前記ハードマスクパターン130及び第3マスクパターン540をエッチングマスクとして用いて、前記第1エッチング停止用絶縁膜112をエッチング停止層として用いて前記第1層間絶縁膜120をエッチングしてコンタクトホール552を形成する。前記コンタクトホール552を通じて前記第1エッチング停止用絶縁膜112の一部が露出される。   Referring to FIG. 6C, after the second etch stop insulating film 122 is etched using the hard mask pattern 130 and the third mask pattern 540 as an etching mask, the hard mask pattern 130 and the third mask pattern 540 are then formed. Using the first etching stop insulating film 112 as an etching stop layer using the etching mask as an etching mask, the first interlayer insulating film 120 is etched to form a contact hole 552. A portion of the first etch stop insulating layer 112 is exposed through the contact hole 552.

図6Dを参照すれば、前記第1エッチング停止用絶縁膜112のうち、前記コンタクトホール552を通じて露出される部分を除去する工程と、前記第3マスクパターン540及び前記ハードマスクパターン130を除去する工程とを各々行う。その結果、前記コンタクトホール552の内部と前記トレンチ558の内部とが完全に露出される。   Referring to FIG. 6D, a step of removing a portion of the first etch stop insulating film 112 exposed through the contact hole 552 and a step of removing the third mask pattern 540 and the hard mask pattern 130. And each. As a result, the inside of the contact hole 552 and the inside of the trench 558 are completely exposed.

ここで、前記第1エッチング停止用絶縁膜112の除去工程と前記第3マスクパターン540及び前記ハードマスクパターン130の除去工程のうち、いずれの工程が先に行なわれるかは、特に制限されず、工程の便宜上、所望の工程を先に行える。また、図4Eを参照して説明したように、前記第2エッチング停止用絶縁膜122が露出された状態で前記コンタクトホール552の底面で露出された第1エッチング停止用絶縁膜112を除去する場合、前記第1エッチング停止用絶縁膜112及び第2エッチング停止用絶縁膜122が相互同じ物質または類似したエッチング特性を有する物質からなるとすれば、前記コンタクトホール552の底面で露出された第1エッチング停止用絶縁膜112が除去される間、前記第2エッチング停止用絶縁膜122のうち、前記トレンチ558の底面に露出されていた部分が共に除去されて、図6Dに例示されたように前記トレンチ558の底面で前記第1層間絶縁膜120が露出されうる。   Here, it is not particularly limited which of the first etching stop insulating film 112 and the third mask pattern 540 and the hard mask pattern 130 is removed first. For the convenience of the process, a desired process can be performed first. In addition, as described with reference to FIG. 4E, the first etching stop insulating film 112 exposed at the bottom surface of the contact hole 552 is removed in a state where the second etching stop insulating film 122 is exposed. If the first etch stop insulating film 112 and the second etch stop insulating film 122 are made of the same material or materials having similar etching characteristics, the first etch stop exposed at the bottom surface of the contact hole 552 is used. While the insulating film 112 is removed, the portion of the second etching stop insulating film 122 exposed on the bottom surface of the trench 558 is removed together, and the trench 558 as illustrated in FIG. 6D. The first interlayer insulating layer 120 may be exposed at the bottom surface.

図6Eを参照すれば、図2Lの説明と同じ方法で、前記コンタクトホール552及びトレンチ558内に導電物質を充填して前記コンタクトホール552及びトレンチ558内に各々相互一体型構造を有するコンタクトプラグ162及び配線ライン168を形成する。   Referring to FIG. 6E, in the same manner as described with reference to FIG. 2L, the contact hole 552 and the trench 558 are filled with a conductive material, and the contact hole 552 and the trench 558 each have an integral structure. And a wiring line 168 is formed.

図6Dを参照して説明したように、前記コンタクトホール552の底面で露出された第1エッチング停止用絶縁膜112が除去される間、前記第2エッチング停止用絶縁膜122のうち、前記トレンチ558の底面に露出されていた部分が共に除去されたとすれば、図6Eに例示されたように前記配線ライン168の底面は、前記第1層間絶縁膜120の上面に直接接する。しかし、本発明はこれに限定されるものではない。図示していないが、場合によって前記トレンチ558の底面で前記第1層間絶縁膜120上に前記第2エッチング停止用絶縁膜122が残ることがある。この場合には、前記第1層間絶縁膜120と前記配線ライン168との間に第2エッチング停止用絶縁膜122が介在されている構造が得られる。   As described with reference to FIG. 6D, while the first etching stop insulating film 112 exposed at the bottom surface of the contact hole 552 is removed, the trench 558 of the second etching stop insulating film 122 is removed. If the portions exposed on the bottom surface of the wiring line 168 are removed together, the bottom surface of the wiring line 168 is in direct contact with the top surface of the first interlayer insulating film 120 as illustrated in FIG. 6E. However, the present invention is not limited to this. Although not shown, the second etching stop insulating film 122 may remain on the first interlayer insulating film 120 on the bottom surface of the trench 558 in some cases. In this case, a structure in which a second etching stop insulating film 122 is interposed between the first interlayer insulating film 120 and the wiring line 168 is obtained.

以上、本発明を望ましい実施形態を挙げて説明したが、本発明は前記実施形態に限定されず、本発明の技術的思想及び範囲内で当業者によって多様な変形及び変更が可能である。   The present invention has been described with reference to the preferred embodiments. However, the present invention is not limited to the above-described embodiments, and various modifications and changes can be made by those skilled in the art within the technical idea and scope of the present invention.

本発明によれば、半導体素子及びその製造方法に関連した技術分野に好適に適用されうる。   The present invention can be suitably applied to technical fields related to semiconductor devices and manufacturing methods thereof.

10 半導体基板、
12 活性領域、
20 ダイレクトコンタクト、
30 ビットライン、
112 第1エッチング停止用絶縁膜、
120、120b、120c 第1層間絶縁膜、
120a 低い表面部、
122 第2エッチング停止用絶縁膜、
124 第2層間絶縁膜、
130 ハードマスクパターン、
134 第1マスクパターン、
136、136b、136c バッファ絶縁膜、
136a リセス領域、
138 第2マスク層、
138a 第2マスクパターン、
140 第3マスクパターン、
140a 開口、
152 上部コンタクトホール、
152a コンタクトホール、
158 トレンチ、
160 導電層、
162 コンタクトプラグ、
164 接触領域、
168 配線ライン、
558 トレンチ、
540 第3マスクパターン、
540a 開口、
552 コンタクトホール。
10 Semiconductor substrate,
12 active region,
20 Direct contact,
30 bit lines,
112 first insulating film for stopping etching,
120, 120b, 120c first interlayer insulating film,
120a low surface area,
122 second etching stop insulating film,
124 second interlayer insulating film,
130 hard mask pattern,
134 a first mask pattern;
136, 136b, 136c buffer insulating film,
136a recess area,
138 second mask layer,
138a second mask pattern,
140 third mask pattern,
140a opening,
152 upper contact hole,
152a contact hole,
158 trench,
160 conductive layer,
162 contact plug,
164 contact area,
168 Wiring line,
558 trench,
540 third mask pattern,
540a opening,
552 Contact hole.

Claims (16)

半導体基板上に前記半導体基板上の導電領域を覆う絶縁膜を形成する段階と、
前記絶縁膜上に第1ピッチで第1方向に相互平行に延びる複数の第1マスクパターンを形成する段階と、
前記複数の第1マスクパターンの両側壁を覆うバッファ絶縁膜を形成する段階と、
前記複数の第1マスクパターンのうち、相互隣接した2個の第1マスクパターン間の空間に1個の第2マスクパターンが形成されるように前記複数の第1マスクパターン及び前記バッファ絶縁膜により各々自己整列されつつ、前記複数の第1マスクパターンと各々平行に延びる複数の第2マスクパターンを形成する段階と、
前記バッファ絶縁膜の一部及び前記絶縁膜の一部を除去し、前記第1マスクパターンと前記第2マスクパターンとの間でこれらと平行に延びる複数のトレンチと前記トレンチに各々連通されて、前記半導体基板の導電領域を露出させる複数のコンタクトホールを形成する段階と、
前記コンタクトホール及びトレンチ内部に導電物質を充填して前記コンタクトホール内に形成されるコンタクトプラグと、前記コンタクトプラグと一体に前記トレンチ内に形成される複数の配線ラインを同時に形成する段階と、を含み、
前記絶縁膜を形成する段階は、
前記半導体基板上の導電領域を覆う第1エッチング停止用絶縁膜を形成する段階と、
前記第1エッチング停止用絶縁膜上に第1層間絶縁膜を形成する段階と、
前記第1層間絶縁膜上に第2エッチング停止用絶縁膜を形成する段階と、
前記第2エッチング停止用絶縁膜上に第2層間絶縁膜を形成する段階と、を含むことを特徴とする半導体素子の製造方法。
Forming an insulating film covering a conductive region on the semiconductor substrate on the semiconductor substrate;
Forming a plurality of first mask patterns extending in parallel with each other in a first direction at a first pitch on the insulating film;
Forming a buffer insulating film covering both side walls of the plurality of first mask patterns;
Among the plurality of first mask patterns, the plurality of first mask patterns and the buffer insulating film are formed so that one second mask pattern is formed in a space between two adjacent first mask patterns. Forming a plurality of second mask patterns each extending in parallel with each of the plurality of first mask patterns, each being self-aligned;
A part of the buffer insulating film and a part of the insulating film are removed, and a plurality of trenches extending in parallel with the first mask pattern and the second mask pattern are communicated with the trenches, respectively. Forming a plurality of contact holes exposing the conductive regions of the semiconductor substrate;
Simultaneously forming a contact plug formed in the contact hole by filling a conductive material in the contact hole and the trench, and a plurality of wiring lines formed in the trench integrally with the contact plug; Including
The step of forming the insulating film includes:
Forming a first etching stop insulating film covering a conductive region on the semiconductor substrate;
Forming a first interlayer insulating film on the first etching stop insulating film;
Forming a second etching stop insulating film on the first interlayer insulating film;
Forming a second interlayer insulating film on the second etching stop insulating film. A method of manufacturing a semiconductor device, comprising:
前記第1エッチング停止用絶縁膜及び第2エッチング停止用絶縁膜は、各々前記第1層間絶縁膜及び第2層間絶縁膜とはエッチング特性の異なる絶縁膜からなり、
前記第1エッチング停止用絶縁膜及び第2エッチング停止用絶縁膜と前記第1層間絶縁膜及び第2層間絶縁膜は、各々酸化膜、窒化膜、酸化窒化膜及びシリコンカーバイド膜よりなる群から選択される何れか1つの膜からなることを特徴とする請求項1に記載の半導体素子の製造方法。
The first etching stop insulating film and the second etching stop insulating film are made of insulating films having different etching characteristics from the first interlayer insulating film and the second interlayer insulating film, respectively.
The first etching stop insulating film and the second etching stop insulating film, and the first interlayer insulating film and the second interlayer insulating film are selected from the group consisting of an oxide film, a nitride film, an oxynitride film, and a silicon carbide film, respectively. The method for manufacturing a semiconductor device according to claim 1, comprising any one of the films described above.
前記コンタクトホールを形成する段階は、
前記第1エッチング停止用絶縁膜をエッチング停止層として用いて前記第1層間絶縁膜をエッチングする段階と、
前記第1マスクパターン及び第2マスクパターンをエッチングマスクとして用いて前記第1層間絶縁膜を通じて露出される前記第1エッチング停止用絶縁膜をエッチングして前記導電領域を露出させる段階と、を含み、
前記トレンチを形成する段階は、
前記導電領域が露出される前に前記第2エッチング停止用絶縁膜をエッチング停止層として用いて前記第2層間絶縁膜及びバッファ絶縁膜をエッチングする段階を含むことを特徴とする請求項1または2に記載の半導体素子の製造方法。
Forming the contact hole comprises:
Etching the first interlayer insulating film using the first etching stop insulating film as an etching stop layer;
Etching the first etching stop insulating film exposed through the first interlayer insulating film using the first mask pattern and the second mask pattern as an etching mask to expose the conductive region, and
Forming the trench comprises:
3. The method of claim 1, further comprising: etching the second interlayer insulating film and the buffer insulating film using the second etching stop insulating film as an etching stop layer before the conductive region is exposed. The manufacturing method of the semiconductor element of description.
前記コンタクトホールを形成する段階は、
前記導電領域を覆っている前記第1エッチング停止用絶縁膜が露出されるまで前記第1層間絶縁膜をエッチングする段階と、
前記導電領域が前記第1エッチング停止用絶縁膜で覆われている状態で前記第1マスクパターン及び第2マスクパターンを除去する段階と、
前記第1層間絶縁膜及びバッファ絶縁膜をエッチングマスクとして用いて前記第1層間絶縁膜を通じて露出される前記第1エッチング停止用絶縁膜を除去して前記導電領域を露出させる段階と、を含むことを特徴とする請求項1または2に記載の半導体素子の製造方法。
Forming the contact hole comprises:
Etching the first interlayer insulating film until the first etching stop insulating film covering the conductive region is exposed;
Removing the first mask pattern and the second mask pattern in a state where the conductive region is covered with the first etching stop insulating film;
Removing the first etching stop insulating film exposed through the first interlayer insulating film using the first interlayer insulating film and the buffer insulating film as an etching mask to expose the conductive region. The method for manufacturing a semiconductor device according to claim 1, wherein:
前記第1マスクパターン及び第2マスクパターンを除去するために湿式エッチング工程を用いることを特徴とする請求項4に記載の半導体素子の製造方法。   The method of claim 4, wherein a wet etching process is used to remove the first mask pattern and the second mask pattern. 前記複数のトレンチ及び複数のコンタクトホールを形成する段階は、
前記第1マスクパターン及び第2マスクパターンをエッチングマスクとして前記バッファ絶縁膜及び絶縁膜をエッチングして前記第1マスクパターン及び第2マスクパターンと平行に延びる複数のライン形態のトレンチを形成する段階と、
前記複数の第1マスクパターン及び前記複数の第2マスクパターンの一部と前記トレンチ底面の一部を露出させる開口が形成された第3マスクパターンを形成する段階と、
前記第1マスクパターン、第2マスクパターン及び第3マスクパターンを各々エッチングマスクとして用いて前記絶縁膜をエッチングして前記導電領域を露出させる前記コンタクトホールを形成する段階と、を含むことを特徴とする請求項1に記載の半導体素子の製造方法。
Forming the plurality of trenches and the plurality of contact holes;
Etching the buffer insulating film and the insulating film using the first mask pattern and the second mask pattern as an etching mask to form a plurality of line-shaped trenches extending in parallel with the first mask pattern and the second mask pattern; ,
Forming a third mask pattern in which an opening exposing a part of the plurality of first mask patterns and the plurality of second mask patterns and a part of the bottom surface of the trench is formed;
Etching the insulating film using the first mask pattern, the second mask pattern, and the third mask pattern as an etching mask to form the contact hole exposing the conductive region, respectively. A method for manufacturing a semiconductor device according to claim 1.
前記絶縁膜を形成する段階は、
前記半導体基板上の導電領域を覆う第1エッチング停止用絶縁膜を形成する段階と、
前記第1エッチング停止用絶縁膜上に第1層間絶縁膜を形成する段階と、を含むことを特徴とする請求項6に記載の半導体素子の製造方法。
The step of forming the insulating film includes:
Forming a first etching stop insulating film covering a conductive region on the semiconductor substrate;
The method according to claim 6, further comprising: forming a first interlayer insulating film on the first etching stop insulating film.
前記第1エッチング停止用絶縁膜及び第1層間絶縁膜はエッチング特性の異なる絶縁膜からなり、
前記第1エッチング停止用絶縁膜及び第1層間絶縁膜は、各々酸化膜、窒化膜、酸化窒化膜及びシリコンカーバイド膜よりなる群から選択される何れか1つの膜からなることを特徴とする請求項7に記載の半導体素子の製造方法。
The first etching stop insulating film and the first interlayer insulating film are made of insulating films having different etching characteristics,
The first etching stop insulating film and the first interlayer insulating film are each composed of any one film selected from the group consisting of an oxide film, a nitride film, an oxynitride film, and a silicon carbide film. Item 8. A method for manufacturing a semiconductor element according to Item 7.
前記コンタクトホールを形成する段階は、
前記第1エッチング停止用絶縁膜をエッチング停止層として用いて前記第1層間絶縁膜をエッチングする段階と、
前記第1マスクパターン及び第2マスクパターンをエッチングマスクとして用いて前記第1層間絶縁膜を通じて露出される前記第1エッチング停止用絶縁膜をエッチングして前記導電領域を露出させる段階と、を含むことを特徴とする請求項7に記載の半導体素子の製造方法。
Forming the contact hole comprises:
Etching the first interlayer insulating film using the first etching stop insulating film as an etching stop layer;
Etching the first etching stop insulating film exposed through the first interlayer insulating film using the first mask pattern and the second mask pattern as an etching mask to expose the conductive region. The method of manufacturing a semiconductor element according to claim 7.
前記コンタクトホールを形成する段階は、
前記導電領域を覆っている前記第1エッチング停止用絶縁膜が露出されるまで前記第1層間絶縁膜をエッチングする段階と、
前記導電領域が前記第1エッチング停止用絶縁膜で覆われている状態で前記第1マスクパターン及び第2マスクパターンを除去する段階と、
前記第1層間絶縁膜及びバッファ絶縁膜をエッチングマスクとして用いて前記第1層間絶縁膜を通じて露出される前記第1エッチング停止用絶縁膜を除去して前記導電領域を露出させる段階と、を含むことを特徴とする請求項7に記載の半導体素子の製造方法。
Forming the contact hole comprises:
Etching the first interlayer insulating film until the first etching stop insulating film covering the conductive region is exposed;
Removing the first mask pattern and the second mask pattern in a state where the conductive region is covered with the first etching stop insulating film;
Removing the first etching stop insulating film exposed through the first interlayer insulating film using the first interlayer insulating film and the buffer insulating film as an etching mask to expose the conductive region. The method of manufacturing a semiconductor element according to claim 7.
前記第1マスクパターン及び第2マスクパターンを除去するために湿式エッチング工程を用いることを特徴とする請求項10に記載の半導体素子の製造方法。   The method of claim 10, wherein a wet etching process is used to remove the first mask pattern and the second mask pattern. 前記絶縁膜を形成する段階は、
前記半導体基板上の導電領域を覆う第1エッチング停止用絶縁膜を形成する段階と、
前記第1エッチング停止用絶縁膜上に第1層間絶縁膜を形成する段階と、
前記第1層間絶縁膜上に第2エッチング停止用絶縁膜を形成する段階と、
前記第2エッチング停止用絶縁膜上に第2層間絶縁膜を形成する段階と、を含むことを特徴とする請求項6に記載の半導体素子の製造方法。
The step of forming the insulating film includes:
Forming a first etching stop insulating film covering a conductive region on the semiconductor substrate;
Forming a first interlayer insulating film on the first etching stop insulating film;
Forming a second etching stop insulating film on the first interlayer insulating film;
The method according to claim 6, further comprising: forming a second interlayer insulating film on the second etching stop insulating film.
前記第1エッチング停止用絶縁膜及び第2エッチング停止用絶縁膜は、各々前記第1層間絶縁膜及び第2層間絶縁膜とはエッチング特性の異なる絶縁膜からなり、
前記第1エッチング停止用絶縁膜及び第2エッチング停止用絶縁膜と前記第1層間絶縁膜及び第2層間絶縁膜は、各々酸化膜、窒化膜、酸化窒化膜及びシリコンカーバイド膜からなることを特徴とする請求項12に記載の半導体素子の製造方法。
The first etching stop insulating film and the second etching stop insulating film are made of insulating films having different etching characteristics from the first interlayer insulating film and the second interlayer insulating film, respectively.
The first etching stop insulating film, the second etching stop insulating film, the first interlayer insulating film, and the second interlayer insulating film are each formed of an oxide film, a nitride film, an oxynitride film, and a silicon carbide film. A method for manufacturing a semiconductor element according to claim 12.
前記トレンチを形成する段階は、
前記第1マスクパターン及び第2マスクパターンをエッチングマスクとして用いて、前記第2エッチング停止用絶縁膜をエッチング停止層として用いて前記バッファ絶縁膜及び前記第2層間絶縁膜をエッチングする段階を含み、
前記コンタクトホールを形成する段階は、
前記第1エッチング停止用絶縁膜をエッチング停止層として用いて前記第1層間絶縁膜をエッチングする段階と、
前記第1マスクパターン及び第2マスクパターンをエッチングマスクとして用いて前記第1層間絶縁膜を通じて露出される前記第1エッチング停止用絶縁膜をエッチングして前記導電領域を露出させる段階と、を含むことを特徴とする請求項12に記載の半導体素子の製造方法。
Forming the trench comprises:
Etching the buffer insulating film and the second interlayer insulating film using the first mask pattern and the second mask pattern as an etching mask, and using the second etching stop insulating film as an etching stop layer,
Forming the contact hole comprises:
Etching the first interlayer insulating film using the first etching stop insulating film as an etching stop layer;
Etching the first etching stop insulating film exposed through the first interlayer insulating film using the first mask pattern and the second mask pattern as an etching mask to expose the conductive region. The method of manufacturing a semiconductor element according to claim 12.
前記トレンチを形成する段階は、
前記第1マスクパターン及び第2マスクパターンをエッチングマスクとして用いて、前記第2エッチング停止用絶縁膜をエッチング停止層として用いて前記バッファ絶縁膜及び前記第2層間絶縁膜をエッチングする段階を含み、
前記コンタクトホールを形成する段階は、
前記導電領域を覆っている前記第1エッチング停止用絶縁膜が露出されるまで前記第1層間絶縁膜をエッチングする段階と、
前記導電領域が前記第1エッチング停止用絶縁膜で覆われている状態で前記第1マスクパターン及び第2マスクパターンを除去する段階と、
前記第1層間絶縁膜及びバッファ絶縁膜をエッチングマスクとして用いて前記第1層間絶縁膜を通じて露出される前記第1エッチング停止用絶縁膜を除去して前記導電領域を露出させる段階と、を含むことを特徴とする請求項12に記載の半導体素子の製造方法。
Forming the trench comprises:
Etching the buffer insulating film and the second interlayer insulating film using the first mask pattern and the second mask pattern as an etching mask, and using the second etching stop insulating film as an etching stop layer,
Forming the contact hole comprises:
Etching the first interlayer insulating film until the first etching stop insulating film covering the conductive region is exposed;
Removing the first mask pattern and the second mask pattern in a state where the conductive region is covered with the first etching stop insulating film;
Removing the first etching stop insulating film exposed through the first interlayer insulating film using the first interlayer insulating film and the buffer insulating film as an etching mask to expose the conductive region. The method of manufacturing a semiconductor element according to claim 12.
前記第1マスクパターン及び第2マスクパターンを除去するために湿式エッチング工程を用いることを特徴とする請求項15に記載の半導体素子の製造方法。   The method of claim 15, wherein a wet etching process is used to remove the first mask pattern and the second mask pattern.
JP2013117185A 2007-06-15 2013-06-03 Manufacturing method of semiconductor device Active JP5667240B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2007-0059103 2007-06-15
KR1020070059103A KR100833201B1 (en) 2007-06-15 2007-06-15 Semiconductor device having fine patterns of wiring line integrated with contact plug and method of manufacturing the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008104941A Division JP5492384B2 (en) 2007-06-15 2008-04-14 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2013168687A true JP2013168687A (en) 2013-08-29
JP5667240B2 JP5667240B2 (en) 2015-02-12

Family

ID=39665505

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008104941A Active JP5492384B2 (en) 2007-06-15 2008-04-14 Semiconductor device and manufacturing method thereof
JP2013117185A Active JP5667240B2 (en) 2007-06-15 2013-06-03 Manufacturing method of semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008104941A Active JP5492384B2 (en) 2007-06-15 2008-04-14 Semiconductor device and manufacturing method thereof

Country Status (3)

Country Link
JP (2) JP5492384B2 (en)
KR (1) KR100833201B1 (en)
TW (1) TW200901370A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180113200A (en) * 2016-02-02 2018-10-15 도쿄엘렉트론가부시키가이샤 Self-alignment of metals and vias using selective deposition
JP2021520637A (en) * 2018-04-03 2021-08-19 東京エレクトロン株式会社 Subtractive interconnect formation using a fully self-aligned method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100833201B1 (en) * 2007-06-15 2008-05-28 삼성전자주식회사 Semiconductor device having fine patterns of wiring line integrated with contact plug and method of manufacturing the same
KR101648128B1 (en) * 2009-12-28 2016-08-24 삼성전자주식회사 Method for forming fine pattern having variable width and method for manufacturing semiconductor device using the same
FR2960700B1 (en) * 2010-06-01 2012-05-18 Commissariat Energie Atomique LITHOGRAPHY METHOD FOR REALIZING VIAS-CONNECTED CONDUCTOR NETWORKS
US9633907B2 (en) * 2014-05-28 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned nanowire formation using double patterning
CN112838070B (en) * 2016-01-05 2023-09-26 联华电子股份有限公司 Interconnect structure, interconnect layout structure and method for fabricating the same
US10727056B2 (en) 2017-11-23 2020-07-28 Yangtze Memory Technologies Co., Ltd. Method and structure for cutting dense line patterns using self-aligned double patterning
CN107968047A (en) 2017-11-23 2018-04-27 长江存储科技有限责任公司 A kind of SADP page buffers cutting-off method and structure

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0685070A (en) * 1992-09-07 1994-03-25 Mitsubishi Electric Corp Formation of multilayer interconnection
JPH0969561A (en) * 1995-08-30 1997-03-11 Nec Corp Fabrication of semiconductor device
JPH09153545A (en) * 1995-09-29 1997-06-10 Toshiba Corp Semiconductor device and is manufacture
JP2000150519A (en) * 1998-08-31 2000-05-30 Fujitsu Ltd Manufacture of semiconductor device
JP2001093910A (en) * 1999-09-27 2001-04-06 Toshiba Corp Method for manufacturing semiconductor device
JP2002203897A (en) * 2000-12-27 2002-07-19 Nec Corp Method for manufacturing semiconductor device
JP2003188252A (en) * 2001-12-13 2003-07-04 Toshiba Corp Semiconductor device and manufacturing method thereof
JP2006261307A (en) * 2005-03-16 2006-09-28 Toshiba Corp Pattern forming method
JP2006303500A (en) * 2005-04-19 2006-11-02 Samsung Electronics Co Ltd Fine pattern formation method of semiconductor device using fine-pitch hard mask
JP2008311623A (en) * 2007-06-15 2008-12-25 Samsung Electronics Co Ltd Semiconductor device and its manufacturing method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3445495B2 (en) * 1997-07-23 2003-09-08 株式会社東芝 Semiconductor device
JP4074014B2 (en) * 1998-10-27 2008-04-09 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2002280388A (en) * 2001-03-15 2002-09-27 Toshiba Corp Manufacturing method of semiconductor device
KR20050103689A (en) 2004-04-27 2005-11-01 삼성전자주식회사 Method for manufacturing semiconductor device
KR100602086B1 (en) 2004-07-13 2006-07-19 동부일렉트로닉스 주식회사 Method of forming interconnection line in semiconductor device
JP4247198B2 (en) * 2005-03-31 2009-04-02 株式会社東芝 Manufacturing method of semiconductor device
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7960797B2 (en) * 2006-08-29 2011-06-14 Micron Technology, Inc. Semiconductor devices including fine pitch arrays with staggered contacts

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0685070A (en) * 1992-09-07 1994-03-25 Mitsubishi Electric Corp Formation of multilayer interconnection
JPH0969561A (en) * 1995-08-30 1997-03-11 Nec Corp Fabrication of semiconductor device
JPH09153545A (en) * 1995-09-29 1997-06-10 Toshiba Corp Semiconductor device and is manufacture
JP2000150519A (en) * 1998-08-31 2000-05-30 Fujitsu Ltd Manufacture of semiconductor device
JP2001093910A (en) * 1999-09-27 2001-04-06 Toshiba Corp Method for manufacturing semiconductor device
JP2002203897A (en) * 2000-12-27 2002-07-19 Nec Corp Method for manufacturing semiconductor device
JP2003188252A (en) * 2001-12-13 2003-07-04 Toshiba Corp Semiconductor device and manufacturing method thereof
JP2006261307A (en) * 2005-03-16 2006-09-28 Toshiba Corp Pattern forming method
JP2006303500A (en) * 2005-04-19 2006-11-02 Samsung Electronics Co Ltd Fine pattern formation method of semiconductor device using fine-pitch hard mask
JP2008311623A (en) * 2007-06-15 2008-12-25 Samsung Electronics Co Ltd Semiconductor device and its manufacturing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180113200A (en) * 2016-02-02 2018-10-15 도쿄엘렉트론가부시키가이샤 Self-alignment of metals and vias using selective deposition
KR102142795B1 (en) 2016-02-02 2020-09-14 도쿄엘렉트론가부시키가이샤 Self-alignment of metals and vias using selective deposition
JP2021520637A (en) * 2018-04-03 2021-08-19 東京エレクトロン株式会社 Subtractive interconnect formation using a fully self-aligned method
JP7348441B2 (en) 2018-04-03 2023-09-21 東京エレクトロン株式会社 Subtractive interconnect formation using fully self-aligned method

Also Published As

Publication number Publication date
JP5667240B2 (en) 2015-02-12
KR100833201B1 (en) 2008-05-28
JP5492384B2 (en) 2014-05-14
TW200901370A (en) 2009-01-01
JP2008311623A (en) 2008-12-25

Similar Documents

Publication Publication Date Title
JP5667240B2 (en) Manufacturing method of semiconductor device
US8247291B2 (en) Methods of forming fine patterns in integrated circuit devices and methods of manufacturing integrated circuit devices including the same
KR100817088B1 (en) Method of forming fine damascene metal pattern for semiconductor device
KR100503519B1 (en) Semiconductor device and Method of manufacturing the same
US8361904B2 (en) Semiconductor device having fine pattern wiring lines integrally formed with contact plug and method of manufacturing same
US7833902B2 (en) Semiconductor device and method of fabricating the same
KR100675303B1 (en) Semiconductor device having self-aligned contact and method of forming the same
US7511328B2 (en) Semiconductor device having raised cell landing pad and method of fabricating the same
US8513809B2 (en) Semiconductor device
US7547938B2 (en) Semiconductor devices having elongated contact plugs
US10475661B2 (en) Semiconductor device including a capacitor structure and method for manufacturing the same
US20060030091A1 (en) Word line structure with single-sided partially recessed gate structure
US11251188B2 (en) Semiconductor memory device and a method of fabricating the same
US8026604B2 (en) Semiconductor devices having contact holes including protrusions exposing contact pads
US6897145B2 (en) Method for fabricating semiconductor device by forming damascene interconnections
US7205232B2 (en) Method of forming a self-aligned contact structure using a sacrificial mask layer
US20090321931A1 (en) Semiconductor device and method of manufacturing the same
US8598677B2 (en) Semiconductor device including metal lines
US7999294B2 (en) Semiconductor device which may prevent electrical failures of contacts
US20080067692A1 (en) Semiconductor devices having contact pad protection for reduced electrical failures and methods of fabricating the same
US20060081909A1 (en) Semiconductor device and manufacturing method therefor
US9287161B2 (en) Method of forming wirings
KR100525088B1 (en) Method of forming interconnection with dual damascene process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141111

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141211

R150 Certificate of patent or registration of utility model

Ref document number: 5667240

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250