JP2012504871A - Use of surfactant / antifoam mixtures for high metal loading and surface passivation of silicon substrates - Google Patents

Use of surfactant / antifoam mixtures for high metal loading and surface passivation of silicon substrates Download PDF

Info

Publication number
JP2012504871A
JP2012504871A JP2011530216A JP2011530216A JP2012504871A JP 2012504871 A JP2012504871 A JP 2012504871A JP 2011530216 A JP2011530216 A JP 2011530216A JP 2011530216 A JP2011530216 A JP 2011530216A JP 2012504871 A JP2012504871 A JP 2012504871A
Authority
JP
Japan
Prior art keywords
acid
removal composition
polymer
microelectronic device
surfactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011530216A
Other languages
Japanese (ja)
Inventor
ビー. コルゼンスキー,マイケル
ジアン,ピン
ビーオール,チャールズ
ジェロパブリク,ミック
Original Assignee
アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド テクノロジー マテリアルズ,インコーポレイテッド filed Critical アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Publication of JP2012504871A publication Critical patent/JP2012504871A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/008Polymeric surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/78Neutral esters of acids of phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0026Low foaming or foam regulating compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3707Polyethers, e.g. polyalkyleneoxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02032Preparing bulk and homogeneous wafers by reclaiming or re-processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

【課題】マイクロエレクトロニクスデバイス構造から少なくとも1種の材料を除去するための改善された組成物及びプロセスを提供する。
【解決手段】
少なくとも1つの材料層を、表面にそれを有する拒絶されたマイクロエレクトロニクスデバイス構造から除去するための、除去組成物及びプロセス。除去組成物は、フッ酸を含む。この組成物は、前記構造を再生し、再加工し、リサイクルし、及び/又は再使用するために、保持される層に損傷を及ぼすことなく、除去される(1種又は複数の)材料の実質的な除去を実現する。
【選択図】図1A
An improved composition and process for removing at least one material from a microelectronic device structure.
[Solution]
Removal compositions and processes for removing at least one material layer from a rejected microelectronic device structure having it on the surface. The removal composition includes hydrofluoric acid. This composition is made of material (s) that is removed without damaging the retained layer to regenerate, rework, recycle and / or reuse the structure. Realize substantial removal.
[Selection] Figure 1A

Description

[0001] 本発明は、一般に、材料層、例えば低k誘電体を、前記材料を表面に有する基板又は物品から除去し、それによって前記基板又は物品を再生し、再加工し、リサイクルし、及び/又は再使用するのに有用なプロセスと、それを使用して製造された製品とに関する。 [0001] The present invention generally removes a material layer, eg, a low-k dielectric, from a substrate or article having the material on its surface, thereby reclaiming, reworking, recycling, and It relates to a process useful for reuse and / or products made using it.

[0002] デバイスサイズが縮小するにつれて、高密度超大規模集積回路(ULSI)半導体配線に関連した性能への高まる要求が、シグナル伝達速度の上昇のため、低誘電率(低k)絶縁層の使用を益々必要としてきている。 [0002] As device size shrinks, the growing demand for performance associated with high density ultra-large scale integrated circuit (ULSI) semiconductor interconnects is the use of low dielectric constant (low k) insulation layers due to increased signal transmission speeds. Need more and more.

[0003] 典型的な低k材料には、SiLK(商標)、AURORA(商標)、CORAL(商標)、又はBLACK DIAMOND(商標)などの市販の前駆体を使用して、例えば独自のBLACK DIAMOND(商標)プロセスを使用して堆積された、炭素ドープ酸化物(CDP)が含まれる。そのようなCDOは、オルガノシラン及びオルガノシロキサン前駆体から、化学気相成長(CVD)法を使用して典型的には形成される。CVD炭素ドープ酸化物低k誘電体は、典型的には、全誘電率が約3.2未満である多孔質低密度材料からなり、金属相互接続線やビアなどのその他の半導体構造が内部に形成されるCDOの多層を形成することによって、様々な半導体構造で使用される。例えばCDOは、ある構造の誘電体絶縁層(金属間誘電体(IMD)層)、キャッピング層、及び/又はギャップ充填材料として使用してもよい。 [0003] Typical low-k materials include commercially available precursors such as SiLK ™, AURORA ™, CORAL ™, or BLACK DIAMOND ™, for example, the proprietary BLACK DIAMOND ( Carbon doped oxide (CDP), deposited using a trademark process. Such CDOs are typically formed from organosilane and organosiloxane precursors using chemical vapor deposition (CVD) methods. CVD carbon-doped oxide low-k dielectrics typically consist of a porous low-density material with a total dielectric constant of less than about 3.2, with other semiconductor structures such as metal interconnect lines and vias inside. Used in various semiconductor structures by forming multiple layers of CDO to be formed. For example, CDO may be used as a dielectric dielectric layer (intermetal dielectric (IMD) layer), capping layer, and / or gap-filling material.

[0004] しばしば、マイクロエレクトロニクスデバイスウェハ、例えばシリコン半導体ウェハは、多層デバイス製造プロセス又は品質認定プロセス中、許容できない層の処理の後、廃棄しなければならない。多数の処理問題、例えば層の不均一堆積又は後続のエッチングの誤差が生じる可能性がある。いくつかの品質管理試験法が、選択された処理工程後に行われ、様々な理由で半導体ウェハの受入れ可能性が拒絶され「廃棄」され、その結果、著しい非生産的コストが生じる。拒絶されたウェハに加え、ある被膜タイプを再生又はリサイクルすることができないという理由で、試験ウェハもしばしば廃棄される。試験ウェハの出費は、工場の上位3位までの材料出費に入る。 [0004] Often, microelectronic device wafers, such as silicon semiconductor wafers, must be discarded after unacceptable layer processing during a multilayer device manufacturing process or qualification process. A number of processing problems can occur, such as non-uniform deposition of layers or subsequent etching errors. Several quality control test methods are performed after selected processing steps, rejecting and “discarding” the acceptability of semiconductor wafers for various reasons, resulting in significant non-productive costs. In addition to rejected wafers, test wafers are also often discarded because certain coating types cannot be reclaimed or recycled. Expenses for test wafers are included in the material expenses for the top three places in the factory.

[0005] 従来技術の手法では、拒絶され又は廃棄されたプロセスウェハを処理するためにウェハ供給元に送らなければならず、材料層、例えばCDO層などの誘電体層は、前記ウェハの再使用のために、化学的及び機械的方法を使用して半導体ウェハから除去される。ウェハ上に重なる誘電体層及びその他のフィーチャを首尾良く除去した後、ウェハを新たな多層半導体デバイス製造プロセスでリサイクルし又は再使用する。半導体ウェハ製造がより大きな直径のウェハ、例えば12インチのウェハに移行するにつれ、現場から離れてのウェハの廃棄及びリサイクルは、高い非生産的コストにより益々魅力ないものになりつつある。 [0005] In prior art approaches, a rejected or discarded process wafer must be sent to a wafer source, and a dielectric layer such as a material layer, eg, a CDO layer, is reused of the wafer. Are removed from the semiconductor wafer using chemical and mechanical methods. After successfully removing the dielectric layer and other features overlying the wafer, the wafer is recycled or reused in a new multilayer semiconductor device manufacturing process. As semiconductor wafer manufacturing moves to larger diameter wafers, such as 12 inch wafers, the disposal and recycling of wafers off-site is becoming increasingly unattractive due to high non-productive costs.

[0006] 改善された組成物及びプロセスは、本明細書に開示されており、少なくとも1種の材料、例えば金属積層材料、エッチング停止層、フォトレジスト、障壁層、及び/又は高k及び低k層を含めた誘電体層を、マイクロエレクトロニクスデバイス構造から除去してもよく、それによって、前記構造の再生、再加工、リサイクル、及び/又は再使用が行われ、組成物及びプロセスは、既存の製造プロセス及び成分に適合性がある。下に在るデバイス基板、例えばシリコンは、好ましくは、前記除去組成物による損傷を受けない。好ましくは、マイクロエレクトロニクスデバイスから材料を、例えば低k誘電体層を除去するのに前記組成物を使用するプロセスは、単一工程で行うことができ、したがって、高エネルギー消費酸化工程を必要としない。 [0006] Improved compositions and processes are disclosed herein and include at least one material, such as a metal stack, an etch stop layer, a photoresist, a barrier layer, and / or a high-k and low-k. Dielectric layers, including layers, may be removed from the microelectronic device structure, thereby regenerating, reworking, recycling, and / or reusing the structure, and the compositions and processes may be Compatible with manufacturing process and ingredients. The underlying device substrate, such as silicon, is preferably not damaged by the removal composition. Preferably, the process of using the composition to remove material from a microelectronic device, eg, a low-k dielectric layer, can be performed in a single step and therefore does not require a high energy consumption oxidation step .

[0007] 下に在る基板材料への損傷を最小限に抑えるのと並行して材料層を除去するのに加え、本発明の組成物は、局所環境要件に順応するよう配合してもよい。例えば、高フッ化物濃度及び高有機溶媒濃度は、廃水処理の問題により、大量生産での組成物の使用を困難にする可能性がある。配合物の化学的酸素要求量(COD)のレベルに応じて、但し溶液のCODは、酸性条件下で強力な酸化剤の存在下で二酸化炭素へと完全に酸化することができる有機化合物の量の尺度であるが、この配合物は、環境に直接戻すことを目的に設備の廃水中に進入することができない。例えばスイスでは、廃水又は工業用水を環境に戻すことができる前に、廃水サンプルのCODを200〜1000mg/Lの間まで低下させなければならない(Pupunat, L., Sollberger, F., Rychen, P., "Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters," http://www.csem.ch/corporate/Report2002/pdf/p56.pdf)。 [0007] In addition to removing the material layer in parallel with minimizing damage to the underlying substrate material, the compositions of the present invention may be formulated to accommodate local environmental requirements. . For example, high fluoride concentrations and high organic solvent concentrations can make it difficult to use the composition in mass production due to wastewater treatment problems. Depending on the level of chemical oxygen demand (COD) of the formulation, however, the COD of the solution is the amount of organic compound that can be fully oxidized to carbon dioxide in the presence of a strong oxidant under acidic conditions. This formulation, however, cannot enter the wastewater of the facility for the purpose of returning directly to the environment. For example, in Switzerland, the COD of wastewater samples must be reduced to between 200 and 1000 mg / L before wastewater or industrial water can be returned to the environment (Pupunat, L., Sollberger, F., Rychen, P , "Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters," http://www.csem.ch/corporate/Report2002/pdf/p56.pdf).

[0008] 廃水がフッ化物源のみ含有する場合(有機溶媒なし)、フッ化物処理システムを用いて、まず廃水からフッ化物を除去し、次いで水を環境に放出してもよい。廃水が(1種又は複数の)有機溶媒のみ含有する場合(フッ化物源なし)、焼却炉などの有機物処分システムを用いてもよい。不都合なことに、焼却システムは、フッ化物源が構造の焼却炉材料に損傷を与える可能性があるので、高フッ化物濃度を含有する廃水サンプルを受け入れることができない。 [0008] If the wastewater contains only a fluoride source (no organic solvent), a fluoride treatment system may be used to first remove fluoride from the wastewater and then release the water to the environment. If the wastewater contains only one or more organic solvents (no fluoride source), an organic matter disposal system such as an incinerator may be used. Unfortunately, the incineration system cannot accept wastewater samples containing high fluoride concentrations because the fluoride source can damage the incinerator material of the structure.

[0009] したがって、前記構造の再生、再加工、リサイクル、及び/又は再使用を目的としてマイクロエレクトロニクスデバイス構造から少なくとも1種の材料を除去するための改善された組成物及びプロセスを提供するのに加え、組成物及び/又は前記組成物を使用するプロセスは、好ましくは、前記組成物の処分に関連した地域規制基準に従う。 [0009] Accordingly, to provide an improved composition and process for removing at least one material from a microelectronic device structure for the purpose of regeneration, rework, recycling, and / or reuse of the structure. In addition, the composition and / or the process of using the composition are preferably in accordance with local regulatory standards related to the disposal of the composition.

[0010] 少なくとも1種の材料、例えば誘電体及び/又はその他の材料層を、前記材料を表面に有するマイクロエレクトロニクスデバイス構造から除去するのに有用な、前記マイクロエレクトロニクスデバイス構造を再生し、再加工し、リサイクルし、及び/又は再使用するための組成物及びプロセスが、本明細書に開示されており、また、これらを使用して製造された除去組成物及び生成物又は中間生成物を使用する方法も開示される。 [0010] Regenerating and reworking the microelectronic device structure, useful for removing at least one material, such as dielectric and / or other material layers, from the microelectronic device structure having the material on the surface , Recycle and / or reuse compositions and processes are disclosed herein, and use removal compositions and products or intermediates produced using them. A method is also disclosed.

[0011] 一態様では、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤/ポリマー源、任意選択により少なくとも1種の有機溶媒、任意選択により少なくとも1種のキレート剤、任意選択により少なくとも1種の酸化剤、任意選択により少なくとも1種の塩化物源、任意選択により少なくとも1種の消泡剤、及び任意選択により水を含む、除去組成物が記述される。 [0011] In one aspect, at least one etchant, at least one surfactant / polymer source, optionally at least one organic solvent, optionally at least one chelator, optionally at least one. A removal composition is described that includes a species of oxidant, optionally at least one chloride source, optionally at least one antifoam agent, and optionally water.

[0012] 別の態様では、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤/ポリマー源、水、及び任意選択により少なくとも1種の消泡剤を含む、除去組成物が記述される。 [0012] In another aspect, a removal composition is described that includes at least one etchant, at least one surfactant / polymer source, water, and optionally at least one antifoam.

[0013] さらに別の態様では、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤/ポリマー源、水、及び少なくとも1種の消泡剤を含む、除去組成物であって、消泡剤が、エチレンオキシド/プロピレンオキシドブロックコポリマー、アルコールアルコキシレート、脂肪アルコールアルコキシレート、非イオン性乳化剤とのリン酸エステルブレンド、及びこれら組合せからなる群から選択された化学種を含んでいる除去組成物が記述される。 [0013] In yet another aspect, a defoaming composition comprising at least one etchant, at least one surfactant / polymer source, water, and at least one antifoaming agent. Describes a removal composition comprising a chemical species selected from the group consisting of ethylene oxide / propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphate ester blends with nonionic emulsifiers, and combinations thereof. Is done.

[0014] さらに別の態様では、マイクロエレクトロニクスデバイス基板と、ポストエッチング残渣、低k誘電体、高k誘電体、エッチング停止材料、金属積層材料、障壁層材料、強誘電材料、ケイ化物材料、窒化物材料、酸化物材料、フォトレジスト、底面反射防止膜(BARC)、犠牲反射防止膜(SARC)、ポリマー含有蓄積体、種々雑多な材料、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の除去可能な材料とを含むマイクロエレクトロニクスデバイス構造と、除去組成物とを、マイクロエレクトロニクスデバイス構造から少なくとも1種の材料を実質的に除去するのに十分な時間及び十分な条件下で接触させて、リサイクル可能な又は再使用可能なマイクロエレクトロニクスデバイス構造を得る工程を含む、マイクロエレクトロニクスデバイス構造をリサイクルする方法であって、除去組成物が、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤/ポリマー源、任意選択により少なくとも1種の有機溶媒、任意選択により少なくとも1種のキレート剤、任意選択により少なくとも1種の酸化剤、任意選択により少なくとも1種の塩化物源、任意選択により少なくとも1種の消泡剤、及び任意選択により水を含んでいる方法が記述されている。好ましい実施形態では、除去組成物は、少なくとも1種の消泡剤を含み、この消泡剤は、エチレンオキシド/プロピレンオキシドブロックコポリマー、アルコールアルコキシレート、脂肪アルコールアルコキシレート、非イオン性乳化剤とのリン酸エステルブレンド、及びこれらの組合せからなる群から選択された化学種を含んでいる。 [0014] In yet another aspect, a microelectronic device substrate and post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal laminate material, barrier layer material, ferroelectric material, silicide material, nitriding Selected from the group consisting of physical materials, oxide materials, photoresists, bottom anti-reflection coatings (BARC), sacrificial anti-reflection coatings (SARC), polymer-containing accumulators, miscellaneous materials, doped regions, and combinations thereof A microelectronic device structure comprising at least one removable material and a removal composition for a time and under conditions sufficient to substantially remove at least one material from the microelectronic device structure. A microphone comprising the step of contacting to obtain a recyclable or reusable microelectronic device structure A method of recycling a roelectronic device structure, wherein the removal composition comprises at least one etchant, at least one surfactant / polymer source, optionally at least one organic solvent, optionally at least one. Described is a process comprising a species chelating agent, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one antifoaming agent, and optionally water. ing. In a preferred embodiment, the removal composition comprises at least one antifoaming agent, the antifoaming agent comprising ethylene oxide / propylene oxide block copolymer, alcohol alkoxylate, fatty alcohol alkoxylate, phosphoric acid with a nonionic emulsifier It includes a chemical species selected from the group consisting of ester blends, and combinations thereof.

[0015] さらに別の態様では、1個又は複数の容器、除去組成物を形成するための下記の試薬の1種又は複数を含んでいる、キットについて記述されており、前記除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤/ポリマー源、任意選択により少なくとも1種の有機溶媒、任意選択により少なくとも1種のキレート剤、任意選択により少なくとも1種の酸化剤、任意選択により少なくとも1種の塩化物源、任意選択により少なくとも1種の消泡剤、及び任意選択により水を含んでおり、このキットは、ポストエッチング残渣、低k誘電体、高k誘電体、エッチング停止材料、金属積層材料、障壁層材料、強誘電材料、ケイ化物材料、窒化物材料、酸化物材料、フォトレジスト、底面反射防止膜(BARC)、犠牲反射防止膜(SARC)、ポリマー含有蓄積体、種々雑多な材料、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の除去可能な材料からなる群から選択された材料を、表面に前記材料を有するマイクロエレクトロニクスデバイス構造から除去するのに適した除去組成物を形成するように適合されている。 [0015] In yet another aspect, a kit is described that includes one or more containers, one or more of the following reagents for forming a removal composition, the removal composition comprising: At least one etchant, at least one surfactant / polymer source, optionally at least one organic solvent, optionally at least one chelating agent, optionally at least one oxidizing agent, optionally Includes at least one chloride source, optionally at least one defoamer, and optionally water, the kit includes post-etch residue, low-k dielectric, high-k dielectric, etch stop Materials, metal laminate materials, barrier layer materials, ferroelectric materials, silicide materials, nitride materials, oxide materials, photoresist, bottom anti-reflection coating (BARC), sacrificial anti-reflection A material selected from the group consisting of at least one removable material selected from the group consisting of (SARC), polymer-containing accumulators, miscellaneous materials, doped regions, and combinations thereof on the surface Is adapted to form a removal composition suitable for removal from a microelectronic device structure having:

[0016] 本発明のその他の態様、特徴、及び実施形態は、この後の開示及び添付される特許請求の範囲から、より完全に明らかにされよう。 [0016] Other aspects, features, and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.

[0017]配合物Eに浸漬した後の、タングステンウェハの電子顕微鏡写真である。[0017] FIG. 4 is an electron micrograph of a tungsten wafer after immersion in Formulation E. [0018]配合物ABに浸漬した後の、タングステンウェハの電子顕微鏡写真である。[0018] FIG. 4 is an electron micrograph of a tungsten wafer after immersion in Formulation AB. [0019]配合物ACに浸漬した後の、タングステンウェハの電子顕微鏡写真である。[0019] FIG. 3 is an electron micrograph of a tungsten wafer after immersion in Formulation AC. [0020]配合物ADに浸漬した後の、タングステンウェハの電子顕微鏡写真である。[0020] FIG. 3 is an electron micrograph of a tungsten wafer after immersion in Formulation AD. [0021]配合物AEに浸漬した後の、タングステンウェハの電子顕微鏡写真である。[0021] FIG. 5 is an electron micrograph of a tungsten wafer after immersion in Formulation AE.

[0022] 本発明は、一般に、少なくとも1つの材料層(例えば、誘電体材料(高k及び/又は低k)、金属積層材料、エッチング停止層、障壁層材料、ケイ化物、強誘電体、フォトレジスト、反射防止膜、ポストエッチング残渣など)を、表面に前記材料を有するマイクロエレクトロニクスデバイス構造から除去し、それによって前記マイクロエレクトロニクスデバイス構造を再生し、再加工し、リサイクルし、及び/又は再使用するのに有用な、除去組成物及びプロセスに関する。前記再生、再加工、リサイクル及び/又は再使用は現場から離れて、あるいは内部でよい。 [0022] The present invention generally includes at least one material layer (eg, dielectric material (high-k and / or low-k), metal laminate material, etch stop layer, barrier layer material, silicide, ferroelectric, photo Resist, anti-reflective coating, post-etch residue, etc.) from the microelectronic device structure having the material on its surface, thereby reclaiming, reworking, recycling and / or reusing the microelectronic device structure It relates to removal compositions and processes useful for Said regeneration, rework, recycling and / or reuse may be remote from the site or internal.

[0023] 「マイクロエレクトロニクスデバイス」は、半導体基板、フラットパネルディスプレィ、相変化メモリーデバイス、ソーラーパネルと、ソーラー基板、光起電力電池、及び微小電気機械システム(MEMS)を含めたその他の製品であって、マイクロエレクトロニクス、集積回路、又はコンピュータチップの適用例で使用するために製造されたものに相当する。「マイクロエレクトロニクスデバイス」、「マイクロエレクトロニクス基板」、及び「マイクロエレクトロニクスデバイス構造」という用語は、いかなる方法によっても限定を意味せず、最終的にはマイクロエレクトロニクスデバイス又はマイクロエレクトロニクスアセンブリになる任意の基板又は構造を含むことが理解されよう。マイクロエレクトロニクスデバイスは、パターニングすることができ、ブランケット処理することができ、制御及び/又は試験デバイスにすることができる。「拒絶されたマイクロエレクトロニクスデバイス」構造は、本発明の方法により再生し、再加工し、及び/又は清浄化することができるすべてのマイクロエレクトロニクスデバイスを取り込むものである。 [0023] "Microelectronic devices" are semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaic cells, and microelectromechanical systems (MEMS). Corresponding to those manufactured for use in microelectronics, integrated circuits, or computer chip applications. The terms “microelectronic device”, “microelectronic substrate”, and “microelectronic device structure” are not meant to be limiting in any way, and any substrate or a microelectronic device or microelectronic assembly that will eventually become It will be understood that it includes a structure. Microelectronic devices can be patterned, blanketed, and can be control and / or test devices. The “rejected microelectronic device” structure incorporates all microelectronic devices that can be regenerated, reworked and / or cleaned by the method of the present invention.

[0024] 「マイクロエレクトロニクスデバイス構造」は、表面に少なくとも1種の材料を有する「マイクロエレクトロニクスデバイス基板」を含み、この少なくとも1種の材料は、マイクロエレクトロニクスデバイス基板とはその組成的に又は結晶額的に異なるものである。本明細書で定義される「マイクロエレクトロニクスデバイス基板」は:剥き出しのシリコン;ポリシリコン:ゲルマニウム;窒化アルミニウム、窒化ガリウム、ヒ化ガリウム、リン化インジウムなどのIII/V化合物;チタン石;II/IV化合物;CdSe、CdS、ZnS、ZnSe、及びCdTeなどのII/VI化合物;炭化シリコン;サファイヤ;シリコンオンサファイヤ;炭素;ドープガラス;非ドープガラス;ダイヤモンド;GeAsSeガラス;多結晶シリコン(ドープされ又はドープされていない);単結晶シリコン(ドープされ又はドープされていない);非晶質シリコン、銅インジウム(ガリウム)二セレン化物;及びこれらの組合せを含むがこれらに限定するものではない任意の基板に該当する。「材料」又は「(1つ又は複数の)材料層」には、ドープされたエピタキシャルシリコン、ドープされていないエピタキシャルシリコン、ポストエッチング残渣、低k誘電体、高k誘電体、エッチング停止材料、金属積層材料、障壁層材料、強誘電体、ケイ化物、窒化物、酸化物、フォトレジスト、底面反射防止膜(BARC)、犠牲反射防止膜(SARC)、ポリマー含有蓄積体、種々雑多な材料、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の物質を含めてもよいが、これらに限定するものではない。材料層の少なくとも1つには、ホウ素、リン、及びヒ素などの少なくとも1種のイオンが注入されたイオンをドープしてもよい。本明細書で定義される「種々雑多な材料」には、モリブデン含有材料、ランタン含有材料、ロジウム含有材料、MnOなどのマンガン含有材料、カーボンナノチューブ、SrTiO、ZrO、YVO、LiNbO、TeO、及びこれらの組合せが含まれる。 [0024] A "microelectronic device structure" includes a "microelectronic device substrate" having at least one material on a surface, the at least one material being a composition or crystal weight of the microelectronic device substrate. Is different. “Microelectronic device substrate” as defined herein is: bare silicon; polysilicon: germanium; III / V compounds such as aluminum nitride, gallium nitride, gallium arsenide, indium phosphide; titanium stone; II / IV Compounds; II / VI compounds such as CdSe, CdS, ZnS, ZnSe, and CdTe; silicon carbide; sapphire; silicon-on-sapphire; carbon; doped glass; undoped glass; diamond; GeAsSe glass; Single crystal silicon (doped or undoped); amorphous silicon, copper indium (gallium) diselenide; and any substrate including, but not limited to, combinations thereof Applicable. “Material” or “material layer (s)” includes doped epitaxial silicon, undoped epitaxial silicon, post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal Laminate materials, barrier layer materials, ferroelectrics, silicides, nitrides, oxides, photoresists, bottom anti-reflection coatings (BARC), sacrificial anti-reflection coatings (SARC), polymer-containing accumulators, various materials, dopes At least one material selected from the group consisting of regions and combinations thereof may be included, but is not limited thereto. At least one of the material layers may be doped with ions implanted with at least one ion such as boron, phosphorus, and arsenic. As defined herein, “miscellaneous materials” include molybdenum-containing materials, lanthanum-containing materials, rhodium-containing materials, manganese-containing materials such as MnO x , carbon nanotubes, SrTiO 3 , ZrO 2 , YVO 4 , LiNbO 3. , TeO 3 , and combinations thereof.

[0025] 本明細書で使用される「約」は、示される値の±5%に相当するものとする。 [0025] As used herein, "about" shall correspond to ± 5% of the indicated value.

[0026] 本明細書に定義される「低k誘電体材料」は、層状化マイクロエレクトロニクスデバイスで誘電体材料として使用される任意の材料に該当し、この材料は、約4.0未満の誘電率を有するものである。好ましくは、低k誘電体材料には、酸化シリコン、シリコン含有有機ポリマー、シリコン含有ハイブリッド有機/無機材料、オルガノシリケートガラス(OSG)、TEOS、フッ素化シリケートガラス(FSG)、SiCOH、及び炭素ドープ酸化物(COD)ガラスなどの低極性材料が含まれる。低k誘電体材料は、様々な密度及び様々な多孔率を有していてもよいことが理解されよう。 [0026] A "low-k dielectric material" as defined herein refers to any material used as a dielectric material in a layered microelectronic device, which material has a dielectric less than about 4.0. Has a rate. Preferably, low-k dielectric materials include silicon oxide, silicon-containing organic polymers, silicon-containing hybrid organic / inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), SiCOH, and carbon-doped oxidation. Includes low-polarity materials such as product (COD) glass It will be appreciated that the low-k dielectric material may have various densities and various porosities.

[0027] 本明細書に定義される「金属積層材料」及び「金属」は:マイクロエレクトロニクスデバイス上の、タンタル、窒化タンタル、窒化チタン、チタン、ニッケル、コバルト、タングステン、窒化タングステン、及び前述の金属のケイ化物;銅含有層;アルミニウム含有層;Al/Cu層;Alの合金;Cuの合金;CoWP及びCoWBPなどのコバルト含有層;金含有層;Au/Pt層;酸化ハフニウム;酸ケイ化ハフニウム;酸化ジルコニウム;酸化ランタニド;チタネート;窒素がドープされたこれらの類似体;ルテニウム;イリジウム;カドミウム;鉛;セレン;銀;MoTa;これらの組合せ及び塩に該当する。 [0027] "Metal laminate material" and "metal" as defined herein are: tantalum, tantalum nitride, titanium nitride, titanium, nickel, cobalt, tungsten, tungsten nitride, and the aforementioned metals on microelectronic devices Copper-containing layer; aluminum-containing layer; Al / Cu layer; Al alloy; Cu alloy; cobalt-containing layer such as CoWP and CoWBP; gold-containing layer; Au / Pt layer; hafnium oxide; Zirconium oxide; Lanthanide oxide; Titanate; Nitrogen doped analogs thereof; Ruthenium; Iridium; Cadmium; Lead; Selenium; Silver; MoTa;

[0028] 本明細書に定義される「高k誘電体」材料は:酸化ハフニウム(例えば、HfO2);酸化ジルコニウム(例えば、ZrO);酸ケイ化ハフニウム;ケイ化ハフニウム;ケイ化ジルコニウム;ケイ化チタン;酸化アルミニウム;ランタンがドープされたこれらの類似体(例えば、LaAlO);ケイ化アルミニウム;チタネート(例えば、Ta);ハフニウム及びシリコンの酸化物及び窒化物(例えば、HfSiON);ランタンがドープされたこれらの類似体(例えば、HFSiON(La));バリウムストロンチウムチタン酸塩(BST);ハフニウム及びアルミニウムの酸化物(例えば、HfAl);チタン酸ストロンチウム(SrTiO);チタン酸バリウム(BaTiO);及びこれらの組合せに該当する。 [0028] "high-k dielectric" materials as defined herein are: hafnium oxide (e.g., HfO2); zirconium oxide (e.g., ZrO 2); acid silicide hafnium; hafnium silicide; zirconium silicide; Kay Titanium oxide; aluminum oxide; lanthanum-doped analogs (eg, LaAlO 3 ); aluminum silicide; titanate (eg, Ta 2 O 5 ); hafnium and silicon oxides and nitrides (eg, HfSiON) These analogs doped with lanthanum (eg HFSiON (La)); barium strontium titanate (BST); oxides of hafnium and aluminum (eg Hf x Al y O z ); strontium titanate (SrTiO 3 ); barium titanate (BaTiO 3 ); and combinations thereof It corresponds to the alignment.

[0029] 本明細書に定義される「障壁層材料」は、誘電体材料中への前記金属、例えば銅の拡散が最小限に抑えられるように、金属線、例えば銅相互接続を封止するための、当技術分野で使用される任意の材料に該当する。好ましい障壁層材料には、シリコンに富む窒化物、シリコンに富む酸窒化物、タンタル、チタン、ルテニウム、ハフニウム、タングステン、その他の高融点金属、これらの窒化物及びケイ化物が含まれる。 [0029] A "barrier layer material" as defined herein seals a metal line, such as a copper interconnect, so that diffusion of the metal, such as copper, into a dielectric material is minimized. For any material used in the art. Preferred barrier layer materials include silicon rich nitrides, silicon rich oxynitrides, tantalum, titanium, ruthenium, hafnium, tungsten, other refractory metals, nitrides and silicides thereof.

[0030] 本明細書に定義される「強誘電体」には:チタン酸バリウム(BaTiO);チタン酸鉛(PbTiO);ジルコン酸チタン酸鉛(PZT);ジルコン酸チタン酸鉛ランタン(PLZT);ニオブ酸鉛マグネシウム(PMN);ニオブ酸カリウム(KNbO);ニオブ酸カリウムナトリウム(KNa1−xNbO);タンタル酸ニオブ酸カリウム(K(TaNb1−x)O);ニオブ酸鉛(PbNb);チタン酸ビスマス(BiTi12);ニオブ酸鉛ビスマス(PbBiNb);ニオブ酸リチウム(LiNbO);タンタル酸リチウム(LiTaO);タンタル酸ストロンチウムビスマス;タンタル酸ニオブ酸ストロンチウムビスマス;ストロンチウムタンタライト;チタン酸ストロンチウム;これらの組合せ及び塩が含まれるが、これらに限定するものではない。 [0030] As defined herein, "ferroelectric" includes: barium titanate (BaTiO 3 ); lead titanate (PbTiO 3 ); lead zirconate titanate (PZT); lead lanthanum zirconate titanate ( PLZT); lead magnesium niobate (PMN); potassium niobate (KNbO 3); potassium sodium niobate (K x Na 1-x NbO 3); potassium tantalate niobate (K (Ta x Nb 1- x) O 3 ); lead niobate (PbNb 2 O 6 ); bismuth titanate (Bi 4 Ti 3 O 12 ); lead bismuth niobate (PbBi 2 Nb 2 O 9 ); lithium niobate (LiNbO 3 ); lithium tantalate ( LiTaO 3 ); strontium bismuth tantalate; strontium bismuth tantalate niobate; strontium tantalite; Trontium; including, but not limited to, combinations and salts thereof.

[0031] 本明細書に定義される「エッチング停止層」には、炭化シリコン(SiC)、窒化シリコン炭素(SiCN)、酸化シリコン炭素(SiCO)、酸窒化シリコン(SiON)、銅、シリコンゲルマニウム(SiGe)、SiGeB、SiGeC、AlAs、InGaP、InP、InGaAs、これらの組合せ及び塩が含まれる。 The “etching stop layer” defined in this specification includes silicon carbide (SiC), silicon nitride carbon (SiCN), silicon oxide carbon (SiCO), silicon oxynitride (SiON), copper, silicon germanium ( SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs, combinations and salts thereof.

[0032] 本明細書に定義される「酸化物」には、その他の層で定義された酸化物化合物のいずれか、並びに(Pb,Sr)(Zr,Ti)Oなどの圧電物質、(Pb,Ca)(Zr,Ti)Oなどの焦電気物質、YBCOなどの超電導体、酸化インジウムスズなどの電極、ZrO、CeO、Y、MgO、Al、及びSiOなどの熱障壁材料、TiO、Ta、Y、及びScなどのオプティカルコーティングと、La(1−x)SrGa(1−y)(但し、M=Fe、Co、Ni、La(1−x)SrMnO、及びLa(1−x)CaMnOである。)などの導電性膜が含まれる。 The “oxide” defined in the present specification includes any of oxide compounds defined in other layers, and a piezoelectric material such as (Pb, Sr) (Zr, Ti) O 3 , ( Pb, Ca) (Zr, Ti) O 3 and other pyroelectric materials, superconductors such as YBCO, electrodes such as indium tin oxide, ZrO 2 , CeO 2 , Y 2 O 3 , MgO, Al 2 O 3 , and SiO thermal barrier materials, such as 2, TiO 2, Ta 2 O 5, Y 2 O 3, and the optical coating, such as Sc 2 O 3, La (1 -x) Sr x Ga (1-y) M y O 3 ( However, M = Fe, Co, Ni, La (1-x) Sr x MnO 3 , and La (1-x) Ca x MnO 3 ) are included.

[0033] 本明細書に定義される「ポリマー含有蓄積体」は、製造中にマイクロエレクトロニクスデバイス基板の裏面及びはす縁に構築される材料に該当し、低k誘電体、高k誘電体、エッチング停止材料、金属積層材料、障壁層材料、強誘電体、ケイ化物、窒化物、酸化物、フォトレジスト、底面反射防止膜(BARC)、犠牲反射防止膜(SARC)、種々雑多の材料、ドーパント、及びこれらの組合せを含むがこれらに限定することのない、マイクロエレクトロニクスデバイス上にそのポイントまで堆積された材料のいずれかを含む。 [0033] "Polymer-containing accumulator" as defined herein corresponds to a material that is constructed during fabrication on the back and edge of a microelectronic device substrate, and includes a low-k dielectric, a high-k dielectric, Etching stop materials, metal laminate materials, barrier layer materials, ferroelectrics, silicides, nitrides, oxides, photoresists, bottom anti-reflection coatings (BARC), sacrificial anti-reflection coatings (SARC), various materials, dopants And any of the materials deposited to that point on the microelectronic device including, but not limited to, and combinations thereof.

[0034] 本明細書で使用される、マイクロエレクトロニクスデバイス構造を「再生する」は、保持される(1つ又は複数の)層に実質的に損傷を与えることなく、保持される(1つ又は複数の)層に隣接する少なくとも1種の材料を実質的に除去することに該当し、除去される前記(1種又は複数の)材料には、ポストエッチング残渣、エッチング停止層、金属積層材料、障壁層材料、強誘電体、ケイ化物、窒化物、酸化物、誘電体(低k及び/又は高k)、ポリマー含有蓄積体、ドープ領域(ドープされたエピタキシャル層は含まない。)、及びこれらの組合せが含まれるが、これらに限定するものではない。保持される(1種又は複数)の層は、マイクロエレクトロニクスデバイス基板、ドープされたエピタキシャルシリコン、ドープされていないエピタキシャルシリコン、エッチング停止層、金属積層材料、障壁層材料、強誘電体、ケイ化物、窒化物、誘電体(低k及び/又は高k)、ドープ領域、及びこれらの組合せからなる群から選択される。再生は、現場から離れて又は内部で行ってもよい。除去される材料及び保持される層を、同じ物質にすることはできないことが理解されよう。例えば、除去される材料は、低k誘電体材料を含んでいてもよく、保持される層は、マイクロエレクトロニクスデバイス基板であってもよい。当業者なら、この開示を使用して、特定の層を保持しながら特定の材料を除去するのにどの組成物及びプロセスを使用してもよいのか決定できることが理解されよう。 [0034] As used herein, "regenerating" a microelectronic device structure is retained (one or more) without substantially damaging the retained layer (s). Corresponding to substantially removing at least one material adjacent to the layer (s), the material (s) to be removed include post-etch residue, etch stop layer, metal laminate material, Barrier layer materials, ferroelectrics, silicides, nitrides, oxides, dielectrics (low-k and / or high-k), polymer-containing accumulators, doped regions (not including doped epitaxial layers), and these However, the present invention is not limited to these combinations. The retained layer (s) can be a microelectronic device substrate, doped epitaxial silicon, undoped epitaxial silicon, etch stop layer, metal stack material, barrier layer material, ferroelectric, silicide, Selected from the group consisting of nitrides, dielectrics (low k and / or high k), doped regions, and combinations thereof. Regeneration may be performed off site or internally. It will be appreciated that the material to be removed and the retained layer cannot be the same material. For example, the material to be removed may include a low-k dielectric material and the retained layer may be a microelectronic device substrate. One skilled in the art will appreciate that this disclosure can be used to determine which compositions and processes may be used to remove a particular material while retaining a particular layer.

[0035] 本明細書に定義される「実質的な除去」又は「実質的に除去する」は、除去することが望まれる(1種又は複数の)材料の少なくとも90重量%、より好ましくは少なくとも95重量%、さらにより好ましくは少なくとも97重量%、さらにより好ましくは少なくとも98重量%、最も好ましくは少なくとも99重量%の除去に該当する。 [0035] As used herein, "substantial removal" or "substantially remove" means at least 90% by weight of the material (s) desired to be removed, more preferably at least This corresponds to a removal of 95% by weight, even more preferably at least 97% by weight, even more preferably at least 98% by weight, most preferably at least 99% by weight.

[0036] 本明細書で使用される、マイクロエレクトロニクスデバイス構造を「再加工する」は、リソグラフィ現像及び品質管理試験の不具合の後に、フォトレジスト材料、反射防止膜(ARC)、ポリマー含有蓄積体、ポストエッチング残渣、電気メッキされた銅、及びこれらの組合せの少なくとも1種を実質的に除去することに該当する。あるいは再加工は、マイクロエレクトロニクスデバイス構造の裏面及び/又ははす縁上のポリマー含有蓄積体の除去を含む。再加工は、現場から離れて又は内部で行ってもよい。再加工の後、マイクロエレクトロニクスデバイス構造は、当技術分野で公知のフォトリソグラフィ技法に従い、再コーティングし、ベークし、再パターニングしてもよい。 [0036] As used herein, "reworking" a microelectronic device structure refers to a photoresist material, an anti-reflective coating (ARC), a polymer-containing accumulator, after failure of lithographic development and quality control testing, This corresponds to substantially removing at least one of post-etch residue, electroplated copper, and combinations thereof. Alternatively, reworking includes removal of polymer-containing deposits on the backside and / or the edge of the microelectronic device structure. Rework may be performed away from the site or internally. After reworking, the microelectronic device structure may be recoated, baked, and repatterned according to photolithography techniques known in the art.

[0037] 本明細書に定義される「リサイクルする」は、本明細書に記述される材料除去の後、マイクロエレクトロニクスデバイスの保持される(1つ又は複数の)層を再生し、再使用又は再加工し、再使用することと定義される。例えば、リサイクルされたマイクロエレクトロニクスデバイスは、製作プロセスの流れに再導入されてもよく、対照もしくは試験デバイスとして使用されてもよく、又は、無関係のプロセスでもしくは無関係の製品に向けて使用してもよい。 [0037] "Recycle" as defined herein regenerates, reuses or recycles the retained layer (s) of the microelectronic device after material removal as described herein. Defined as rework and reuse. For example, recycled microelectronic devices may be reintroduced into the manufacturing process flow, used as control or test devices, or used in unrelated processes or towards unrelated products. Good.

[0038] 本明細書に定義される、ピッチングの「実質的な排除」は、当技術分野で公知の除去組成物を使用して典型的に観察されるものに比べ、ピッチングが減少することを指す。好ましくは、ピッチングの程度は、その他の除去組成物を使用して観察されたものの10%未満であり、より好ましくは5%未満、最も好ましくは2%未満である。 [0038] As defined herein, "substantial elimination" of pitching means that pitching is reduced compared to that typically observed using removal compositions known in the art. Point to. Preferably, the degree of pitting is less than 10%, more preferably less than 5%, and most preferably less than 2% of that observed using other removal compositions.

[0039] 再生されるマイクロエレクトロニクスデバイス構造は、剥き出しのシリコン;ポリシリコン;ゲルマニウム;窒化ガリウム、ヒ化ガリウム、リン化インジウムなどのIII/V化合物;チタネート;II/IV化合物;CdSe、CdS、ZnS、ZnSe、及びCdTeなどのII/VI化合物;炭化シリコン;サファイヤ;シリコンオンサファイヤ;炭素;ドープガラス;非ドープガラス;ダイヤモンド;GeAsSeガラス;及びこれらの組合せからなる群から選択された基板を含み、当技術分野で従来から使用されてきた任意の直径又は厚さにできることが理解されよう。例えば、当技術分野で従来使用されてきた基板の直径は、200mm、300mm、4インチ、6インチを含み、将来は450mmを含む。300mmの基板は750μmの厚さを有し、その他の基板の厚さは、300mmの基板に対して直径に正比例する。 [0039] Regenerated microelectronic device structures are: bare silicon; polysilicon; germanium; III / V compounds such as gallium nitride, gallium arsenide, indium phosphide; titanates; II / IV compounds; CdSe, CdS, ZnS A substrate selected from the group consisting of II / VI compounds such as ZnS, ZnSe, and CdTe; silicon carbide; sapphire; silicon-on-sapphire; carbon; doped glass; undoped glass; diamond; GeAsSe glass; It will be appreciated that any diameter or thickness conventionally used in the art can be used. For example, substrate diameters conventionally used in the art include 200 mm, 300 mm, 4 inches, 6 inches and in the future include 450 mm. The 300 mm substrate has a thickness of 750 μm, and the thickness of the other substrates is directly proportional to the diameter with respect to the 300 mm substrate.

[0040] 首尾良く行われる再生の要件には、ゼロ又は無視できる表面、はす縁、及び/又は裏面のピッチング;0.25μmで25個未満の粒子、0.12μmで50個未満の粒子、又は0.09μmで100個未満の粒子、約5μm未満の合計厚さ変動(TTV)、1×1010原子cm−2未満の表面金属汚染;及び/又は再生された基板(その他の保持される層を全く持たない。)の厚さが当初の基板の厚さの5%以内であり、好ましくは2%以内、最も好ましくは1%以内であることが含まれるが、これらに限定するものではない。本明細書に定義される「合計厚さ変動」は、当技術分野で公知の厚さスキャン又は一連のポイント厚測定を使用して決定したときの、マイクロエレクトロニクスデバイスウェハの最大及び最小厚さの間の絶対的な差に該当する。 [0040] Successful regeneration requirements include zero or negligible surface, lotus edge, and / or backside pitching; less than 25 particles at 0.25 μm, less than 50 particles at 0.12 μm, Or less than 100 particles at 0.09 μm, total thickness variation (TTV) less than about 5 μm, surface metal contamination less than 1 × 10 10 atoms cm −2 ; and / or regenerated substrate (other retained With no layer at all) including, but not limited to, within 5% of the original substrate thickness, preferably within 2%, most preferably within 1%. Absent. As defined herein, “total thickness variation” is the maximum and minimum thickness of a microelectronic device wafer as determined using a thickness scan or series of point thickness measurements known in the art. Corresponds to the absolute difference between.

[0041] 首尾良く行われるウェハ再加工の要件には、保持される(1つ又は複数の)層に実質的な損傷を与えることなく、デバイス基板の最外縁及び裏面から、フォトレジスト、ポリマー含有蓄積体、及び/又は電気メッキされた銅を実質的に除去し、それによって後続のプロセス中に粒子及び金属汚染を低減させることが含まれるが、これらに限定するものではない。 [0041] Requirements for successful wafer rework include photoresist, polymer inclusion from the outermost edge and backside of the device substrate without substantial damage to the retained layer (s). This includes, but is not limited to, substantially removing accumulators and / or electroplated copper, thereby reducing particulate and metal contamination during subsequent processes.

[0042] 除去組成物は、以下により完全に記述されるように、広く様々な特定の配合物に具体化されてもよい。 [0042] The removal composition may be embodied in a wide variety of specific formulations, as described more fully below.

[0043] 組成物の特定の成分が、ゼロ下限を含む重量パーセンテージ範囲に関して論じられる、そのようなすべての組成物では、そのような成分は、組成物の様々な特定の実施形態において存在しても存在していなくてもよく、またそのような成分が存在する場合には、そのような成分が用いられる組成物の全重量に対して0.001重量パーセント程度に低い濃度で存在してもよいことが理解されよう。 [0043] In all such compositions where a particular component of the composition is discussed with respect to a weight percentage range that includes a lower limit of zero, such component is present in various particular embodiments of the composition. And if such components are present, they may be present at concentrations as low as 0.001 weight percent based on the total weight of the composition used. It will be understood that it is good.

[0044] 一態様では、国及び国際的な環境基準に則った除去組成物、いわゆる「グリーン」除去組成物について記述される。ジエチレングリコールブチルエーテル及びその他のエチレン含有溶媒は、HAP化学物質であり、環境に有害である可能性がある。例えば、ジエチレングリコールブチルエーテルは、溶液リットル当たりで消費される酸素の質量である化学的酸素要求量(COD)レベルが、非常に高い。その高いCODレベルにより、ジエチレングリコールブチルエーテルは、国に応じて禁止され又は非常に低いレベルに限定されてきた。 [0044] In one aspect, a removal composition in accordance with national and international environmental standards, a so-called "green" removal composition is described. Diethylene glycol butyl ether and other ethylene-containing solvents are HAP chemicals and can be harmful to the environment. For example, diethylene glycol butyl ether has a very high chemical oxygen demand (COD) level, which is the mass of oxygen consumed per liter of solution. Due to its high COD level, diethylene glycol butyl ether has been banned or limited to very low levels depending on the country.

[0045] 第1の態様の「グリーン」又は「環境に優しい」除去組成物は、組成物の全重量に対し、以下の範囲で存在するエッチング剤源、少なくとも1種の界面活性剤、任意選択により水、任意選択により少なくとも1種の有機溶媒、任意選択により少なくとも1種の有機酸、任意選択により少なくとも1種の酸化剤、任意選択により少なくとも1種の塩化物源、任意選択により少なくとも1種のキレート剤、及び任意選択により少なくとも1種の消泡剤を含んでいてもよい: [0045] The "green" or "environmentally friendly" removal composition of the first aspect comprises an etchant source, at least one surfactant, optionally present in the following ranges relative to the total weight of the composition: Water, optionally at least one organic solvent, optionally at least one organic acid, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one. And, optionally, at least one antifoaming agent:

Figure 2012504871
Figure 2012504871

[0046] 第1の態様のグリーン除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、任意選択により水、任意選択により少なくとも1種の有機溶媒、任意選択により少なくとも1種の有機酸、任意選択により少なくとも1種の酸化剤、任意選択により少なくとも1種の塩化物源、任意選択により少なくとも1種のキレート剤、及び任意選択で少なくとも1種の消泡剤を含むか、これらからなるか、あるいは本質的にこれらからなり得る。一般に、(1種又は複数の)エッチング剤源、(1種又は複数の)界面活性剤、任意選択の水、任意選択の(1種又は複数の)有機溶媒、任意選択の(1種又は複数の)有機酸、任意選択の(1種又は複数の)酸化剤、任意選択により(1種又は複数の)塩化物源、任意選択による(1種又は複数の)キレート剤、及び任意選択の(1種又は複数の)消泡剤の、互いに対する特定の割合及び量は、過度な労力なしで当業者が容易に決定可能であるように、ポストエッチング残渣、低k誘電体材料、高k誘電体材料、障壁層材料、強誘電体、窒化物、ケイ化物、酸化物、ポリマー含有蓄積体、ARC材料、ドープ領域、種々雑多な材料、及びこれらの組合せからなる群から選択された材料に関して組成物の所望の除去作用が得られ、及び/又は処理設備が得られるよう適切に変化させてもよい。好ましい実施形態では、第1の態様のグリーン除去組成物は、アミンを実質的に含まない。本明細書に定義される「実質的に含まない」は、前記組成物の全重量に対して、組成物の約1重量%未満、より好ましくは0.5重量%未満、最も好ましくは0.1重量%未満に該当する。 [0046] The green removal composition of the first aspect comprises at least one etchant, at least one surfactant, optionally water, optionally at least one organic solvent, optionally at least one. An organic acid, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one chelating agent, and optionally at least one antifoaming agent, It can consist of or consist essentially of these. In general, the etchant source (s), the surfactant (s), the optional water, the optional organic solvent (s), the optional organic solvent (s) Organic acid, optional oxidizing agent (s), optional chloride source (s), optional chelating agent (s), and optional ( The specific ratio and amount of antifoaming agent (s) to one another can be easily determined by those skilled in the art without undue effort, such as post-etch residue, low-k dielectric material, high-k dielectric. Composition with respect to a material selected from the group consisting of body materials, barrier layer materials, ferroelectrics, nitrides, silicides, oxides, polymer-containing accumulators, ARC materials, doped regions, miscellaneous materials, and combinations thereof The desired removal action of the object is obtained and / or It may be suitably varied so that the physical equipment can be obtained. In a preferred embodiment, the green removal composition of the first aspect is substantially free of amines. “Substantially free” as defined herein is less than about 1%, more preferably less than 0.5%, most preferably less than about 0.5% by weight of the composition relative to the total weight of the composition. Applicable to less than 1% by weight.

[0047] 第1の態様のグリーン除去組成物は、脱イオン水で20:1に希釈したときに、約0〜約7、より好ましくは約2.5〜約4.5、最も好ましくは約3〜約3.5の範囲のpH値を有する。 [0047] The green removal composition of the first aspect is about 0 to about 7, more preferably about 2.5 to about 4.5, most preferably about about when diluted 20: 1 with deionized water. It has a pH value in the range of 3 to about 3.5.

[0048] エッチング剤には、フッ化物、アミン、及び/又は水酸化物塩であって、下記の少なくとも1種を含むものを含めてもよいが、それらに限定するものではなく、その少なくとも1種とは:フッ化水素(HF);二フッ化キセノン(XeF);フッ化アンモニウム(NHF);フッ化四アルキルアンモニウム(NRF);フッ化水素アルキル(NRHF);二フッ化水素アンモニウム(NH);フッ化水素二アルキルアンモニウム(NRF);フッ化水素三アルキルアンモニウム(NRHF);フッ化三水素三アルキルアンモニウム(NR:3HF);無水フッ化水素ピリジン錯体;無水フッ化水素トリエチルアミン錯体;アミンフッ化水素錯体であり、但しRは、互いに同じでも異なっていてもよく、直鎖又は分枝状C〜Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)からなる群から選択され、またアミンは、直鎖又は分枝状C〜C20アルキルアミン、置換もしくは非置換C〜C10アリールアミン、グリコールアミン、アルカノールアミン、及びアミン−N−オキシドを含むものであり、但しこれらは:ピリジン;2−エチルピリジン;2−メトキシピリジン及びその誘導体であって3−メトキシピリジンなど;2−ピコリン;ピリジン誘導体;ジメチルピリジン;ピペリジン;ピペラジン;トリエチルアミン;トリエタノールアミン;エチルアミン、メチルアミン、イソブチルアミン、tert−ブチルアミン、トリブチルアミン、ジプロピルアミン、ジメチルアミン、ジグリコールアミン;モノエタノールアミン;ピロール;イソオキサゾール;1,2,4−トリアゾール;ビピリジン;ピリミジン;ピラジン;ピリダジン;キノリン;イソキノリン;インドール;イミダゾール;N−メチルモルホリン−N−オキシド(NMMO);トリメチルアミン−N−オキシド;トリエチルアミン−N−オキシド;ピリジン−N−オキシド;N−エチルモルホリン−N−オキシド;N−メチルピロリジンーN−オキシド;N−エチルピロリジン−N−オキシド;1−メチルイミダゾール;ジイソプロピルアミン;ジイソブチルアミン;アニリン;アニリン誘導体;及びこれらの組合せを含むが、これらに限定するものではない。あるいは、エッチング剤は、アルカリ水酸化物、アルカリ土類金属水酸化物、第4級アミン水酸化物、及びこれらの組合せを含むがこれらに限定されない水酸化物塩を含んでいてもよい。好ましくは、エッチング剤は、フッ化水素を含む。 [0048] Etching agents may include, but are not limited to, fluorides, amines, and / or hydroxide salts, including but not limited to at least one of the following: Seeds: hydrogen fluoride (HF); xenon difluoride (XeF 2 ); ammonium fluoride (NH 4 F); tetraalkylammonium fluoride (NR 4 F); alkyl hydrogen fluoride (NRH 3 F); Ammonium difluoride (NH 5 F 2 ); Dialkylammonium hydrogen fluoride (NR 2 H 2 F); Trialkylammonium hydrogen fluoride (NR 3 HF); Trialkylammonium fluoride (NR 3 : 3HF) ); Anhydrous hydrogen fluoride pyridine complex; anhydrous hydrogen fluoride triethylamine complex; amine hydrogen fluoride complex, wherein R may be the same or different from each other Ku, linear or branched C 1 -C 6 alkyl group (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl) are selected from the group consisting of and the amine is a linear or branched C 1 ~ C 20 alkylamines, substituted or unsubstituted C 6 -C 10 aryl amines, glycol amines are those containing an alkanolamine, and amine -N- oxides, where these: pyridine; 2-ethyl pyridine; 2- methoxypyridine 2-picoline; pyridine derivative; dimethylpyridine; piperidine; piperazine; triethylamine; triethanolamine; ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine , Dimethylamine, jig Monoethanolamine; pyrrole; isoxazole; 1,2,4-triazole; bipyridine; pyrimidine; pyrazine; pyridazine; quinoline; isoquinoline; indole; imidazole; N-methylmorpholine-N-oxide (NMMO); N-oxide; triethylamine-N-oxide; pyridine-N-oxide; N-ethylmorpholine-N-oxide; N-methylpyrrolidine-N-oxide; N-ethylpyrrolidine-N-oxide; 1-methylimidazole; Diisobutylamine; aniline; aniline derivatives; and combinations thereof, including but not limited to; Alternatively, the etchant may include hydroxide salts including but not limited to alkali hydroxides, alkaline earth metal hydroxides, quaternary amine hydroxides, and combinations thereof. Preferably, the etching agent includes hydrogen fluoride.

[0049] 考えられる界面活性剤には、非イオン性、陰イオン性、陽イオン性(第4級アンモニウム陽イオンに基づいて)、及び/又は両性イオン界面活性剤が含まれる。例えば、適切な非イオン性界面活性剤には、フルオロアルキル界面活性剤、エトキシル化フルオロ界面活性剤、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレン又はポリプロピレングリコールエーテル、カルボン酸塩、ドデシルベンゼンスルホン酸又はその塩、ポリアクリレートポリマー、ジノニルフェニルポリオキシエチレン、シリコーン又は変性シリコーンポリマー、アセチレン系ジオール又は変性アセチレン系ジオール、アルキルアンモニウム又は変性アルキルアンモニウム塩、及びアルキルフェノールポリグリシドールエーテル、並びに前述の少なくとも1種を含んだ組合せを含めてもよい。好ましい実施形態では、非イオン性界面活性剤は、ZONYL(登録商標)FSO−100フルオロ界面活性剤(DuPont Canada Inc.、Mississauga、Ontario、カナダ)などのエトキシル化フルオロ界面活性剤であってもよい。本発明の組成物で考えられる陰イオン性界面活性剤には、ZONYL(登録商標)UR及びZONYL(登録商標)FS−62(DuPont Canada Inc.、Mississauga、Ontario、カナダ)などのフルオロ界面活性剤、エチルヘキシル硫酸ナトリウム(NIAPROOF(登録商標)08)などのアルキル硫酸ナトリウム、アルキル硫酸アンモニウム、アルキル(C10〜C18)カルボン酸アンモニウム塩、スルホコハク酸ナトリウム及びそのエステル、例えばスルホコハク酸ジオクチルナトリウム、アルキル(C10〜C18)スルホン酸ナトリウム塩、及びアルキルジフェニルオキシドジスルホネートDowFax(商標)3B2などの二陰イオン性スルホネート界面活性剤DowFax(商標)(The Dow Chemical Company、Midland、Mich.、USA)が含まれるが、これらに限定するものではない。考えられる陽イオン性界面活性剤には、臭化セチルトリメチルアンモニウム(CTAB)及び硫酸水素セチルトリメチルアンモニウムなどのアルキルアンモニウム塩が含まれる。適切な両性イオン界面活性剤には、カルボン酸アンモニウム、硫酸アンモニウム、酸化アミン、N−ドデシル−N,N−ジメチルベタイン、ベタイン、スルホベタイン、及び硫酸アルキルアンモニオプロピルなどが含まれる。あるいは、界面活性剤には、ポリエチレングリコール(PEG)、ポリエチレンオキシド(PEO)、ポリプロピレングリコール(PPG)、ポリビニルピロリドン(PVP)、陽イオン性ポリマー、非イオン性ポリマー、陰イオン性ポリマー、ヒドロキシエチルセルロース(HEC)、アクリルアミドポリマー、ポリ(アクリル酸)、カルボキシメチルセルロース(CMC)、ナトリウムカルボキシメチルセルロース(Na CMC)、ヒドロキシプロピルメチルセルロース、ポリビニルピロリドンK30、BIOCARE(商標)ポリマー、DOW(商標)ラテックス粉末(DLP)、ETHOCEL(商標)エチルセルロースポリマー、KYTAMER(商標)PCポリマー、METHOCEL(商標)セルロースエーテル、POLYOX(商標)水溶性樹脂、SoftCAT(商標)ポリマー、UCARE(商標)ポリマー、UCON(商標)流体、PPG−PEG−PPGブロックコポリマー、PFG−PPG−PEGブロックコポリマー、及びこれらの組合せを含むがこれらに限定されない水溶性ポリマーを含めてもよい。水溶性ポリマーは、短鎖又は長鎖ポリマーであってもよく、本発明の非イオン性、陰イオン性、陽イオン性、及び/又は両性イオン界面活性剤と組み合わせてもよい。好ましくは、界面活性剤は、二陰イオン性スルホネート界面活性剤、PPG−PEG−PPGブロックコポリマー、PEG−PPG−PEGブロックコポリマー、及びこれらの組合せを含む。 [0049] Possible surfactants include nonionic, anionic, cationic (based on quaternary ammonium cations), and / or zwitterionic surfactants. For example, suitable nonionic surfactants include fluoroalkyl surfactants, ethoxylated fluorosurfactants, polyethylene glycol, polypropylene glycol, polyethylene or polypropylene glycol ethers, carboxylates, dodecylbenzene sulfonic acid or salts thereof, Polyacrylate polymer, dinonylphenyl polyoxyethylene, silicone or modified silicone polymer, acetylenic diol or modified acetylenic diol, alkylammonium or modified alkylammonium salt, and alkylphenol polyglycidol ether, and combinations comprising at least one of the foregoing May be included. In a preferred embodiment, the nonionic surfactant may be an ethoxylated fluorosurfactant such as ZONYL® FSO-100 fluorosurfactant (DuPont Canada Inc., Mississauga, Ontario, Canada). . Anionic surfactants contemplated in the compositions of the present invention include fluorosurfactants such as ZONYL® UR and ZONYL® FS-62 (DuPont Canada Inc., Mississauga, Ontario, Canada). Sodium alkyl sulfate such as sodium ethylhexyl sulfate (NIAPROOF® 08), ammonium alkyl sulfate, ammonium alkyl (C 10 -C 18 ) carboxylate, sodium sulfosuccinate and esters thereof such as dioctyl sodium sulfosuccinate, alkyl (C 10- C 18 ) sulfonic acid sodium salt and dianionic sulfonate surfactant DowFax ™ (The Dow Chemical Company, Midland, Mich., USA) such as alkyl diphenyl oxide disulfonate DowFax ™ 3B2 But these Not intended to be constant. Possible cationic surfactants include alkylammonium salts such as cetyltrimethylammonium bromide (CTAB) and cetyltrimethylammonium hydrogensulfate. Suitable zwitterionic surfactants include ammonium carboxylate, ammonium sulfate, amine oxide, N-dodecyl-N, N-dimethylbetaine, betaine, sulfobetaine, and alkylammoniopropyl sulfate. Alternatively, surfactants include polyethylene glycol (PEG), polyethylene oxide (PEO), polypropylene glycol (PPG), polyvinyl pyrrolidone (PVP), cationic polymers, nonionic polymers, anionic polymers, hydroxyethyl cellulose ( HEC), acrylamide polymer, poly (acrylic acid), carboxymethylcellulose (CMC), sodium carboxymethylcellulose (Na CMC), hydroxypropylmethylcellulose, polyvinylpyrrolidone K30, BIOCARE ™ polymer, DOW ™ latex powder (DLP), ETHOCEL ™ ethyl cellulose polymer, KYTAMER ™ PC polymer, METHOCEL ™ cellulose ether, POLYOX ™ water-soluble resin, SoftCA ® water-soluble polymers including, but not limited to, polymers, UCARE ™ polymers, UCON ™ fluids, PPG-PEG-PPG block copolymers, PFG-PPG-PEG block copolymers, and combinations thereof. Also good. The water-soluble polymer may be a short chain or long chain polymer and may be combined with the nonionic, anionic, cationic, and / or zwitterionic surfactants of the present invention. Preferably, the surfactant comprises a dianionic sulfonate surfactant, a PPG-PEG-PPG block copolymer, a PEG-PPG-PEG block copolymer, and combinations thereof.

[0050] 水は、1つには、フッ化物種を溶解することができるので組成物に含めてもよい。好ましくは、水は脱イオン化されている。 [0050] For example, water may be included in the composition because it can dissolve the fluoride species. Preferably the water is deionized.

[0051] (1種又は複数の)有機溶媒は、存在する場合、溶媒として働き、有機残渣の浸透及び溶解を助け、マイクロエレクトロニクスデバイス構造の表面を濡らして、材料の除去を促進させ及び/又は下にある隣接材料(例えば、マイクロエレクトロニクスデバイス基板)を不動態化する。本明細書で企図される有機溶媒には、アルコール、エーテル、ピロリジノン、グリコール、カルボン酸、グリコールエーテル、アミン、ケトン、アルデヒド、アルカン、アルケン、アルキン、及びアミドが含まれるが、これらに限定するものではなく、より好ましくは、アルコール、エーテル、ピロリジノン、グリコール、カルボン酸、及びグリコールエーテルであって、例えばメタノール、エタノール、イソプロパノール、ブタノール、及び高級アルコール(ジオール、トリオールなどを含む。)、2,2,3,3,4,4,5,5−オクタフルオロ−1−ペンタノール、1H,1H,9H−パーフルオロ−1−ノナノール、パーフルオロヘプタン酸、1H,1H,7H−ドデカフルオロ−1−ヘプタノール、パーフルオロペンタン酸、1H,1H,8H,8H−ドデカフルオロ−1,8−オクタンジオール、2,2,3,3,4,4,5,5−オクタフルオロ−1,6−ヘキサンジオール、5H−パーフルオロペンタン酸、ヘプタフルオロ酪酸n−ブチル、テトラヒドロフラン(THF)、N−メチルピロリジノン(NMP)、シクロヘキシルピロリジノン、N−オクチルピロリジノン、N−フェニルピロリジノン、ギ酸メチル、ジメチルホルムアミド(DMF)、ジメチルスルホキシド(DMSO)、テトラメチレンスルホン(スルホラン)、ジエチルエーテル、フェノキシ−2−プロパノール(PPh)、プロプリオフェネオン、乳酸エチル、酢酸エチル、安息香酸エチル、アセトニトリル、アセトン、エチレングリコール、プロピレングリコール、ジオキサン、ブチリルラクトン、炭酸ブチレン、炭酸エチレン、炭酸プロピレン、ジプロピレングリコール、両親媒性種(ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリメチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル(即ち、ブチルカルビトール)、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、及びこれらの組合せ)、分枝状フッ素化又は非フッ素化エーテル結合カルボン酸(CHCHO(CHCOOH(但し、n=1〜10、及びm=1〜10である。)、非分枝状フッ素化又は非フッ素化エーテル結合カルボン酸(CHCHO(CHCOOH(但し、n=1〜10、及びm=1〜10である。)、分枝状フッ素化又は非フッ素化非エーテル結合カルボン酸(CH(CHCOOH、但しn=1〜10である。)、非分枝状フッ素化又は非フッ素化非エーテル結合カルボン酸(CH(CHCOOH、但しn=1〜10である。)、ジカルボン酸、トリカルボン酸、及びこれらの組合せが含まれるが、これらに限定するものではない。さらに溶媒は、その他の両親媒性種、即ち界面活性剤に類似する親水性及び疎水性の両方の部分を含有する種を含んでいてもよい。疎水性の特性は、一般に、炭化水素又はフルオロカーボン基からなる分子群を含むことによって与えられてもよく、親水性の特性は、一般に、イオン性又は非荷電極性官能基を含むことによって与えられてもよい。好ましくは、有機溶媒は、スルホラン、ブチルカルビトール、ジプロピレンプロピルエーテル、又はこれらの混合物を含む。 [0051] The organic solvent (s), when present, acts as a solvent, assists in penetration and dissolution of organic residues, wets the surface of the microelectronic device structure, facilitates material removal, and / or Passivate the underlying material (eg, microelectronic device substrate) underneath. Organic solvents contemplated herein include, but are not limited to, alcohols, ethers, pyrrolidinones, glycols, carboxylic acids, glycol ethers, amines, ketones, aldehydes, alkanes, alkenes, alkynes, and amides. More preferably, alcohols, ethers, pyrrolidinones, glycols, carboxylic acids, and glycol ethers, such as methanol, ethanol, isopropanol, butanol, and higher alcohols (including diols, triols, etc.), 2,2. , 3,3,4,4,5,5-octafluoro-1-pentanol, 1H, 1H, 9H-perfluoro-1-nonanol, perfluoroheptanoic acid, 1H, 1H, 7H-dodecafluoro-1- Heptanol, perfluoropentanoic acid, 1 , 1H, 8H, 8H-dodecafluoro-1,8-octanediol, 2,2,3,3,4,4,5,5-octafluoro-1,6-hexanediol, 5H-perfluoropentanoic acid, N-butyl heptafluorobutyrate, tetrahydrofuran (THF), N-methylpyrrolidinone (NMP), cyclohexylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, methyl formate, dimethylformamide (DMF), dimethylsulfoxide (DMSO), tetramethylene Sulfone (sulfolane), diethyl ether, phenoxy-2-propanol (PPh), propriophenone, ethyl lactate, ethyl acetate, ethyl benzoate, acetonitrile, acetone, ethylene glycol, propylene glycol, dioxane, butyryl lactone, butylene carbonate Ethylene carbonate, propylene carbonate, dipropylene glycol, amphiphilic species (diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, trimethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol mono Butyl ether (ie, butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether , Dipropi Lenglycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene Propylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof), branched fluorinated or non-fluorinated ether-linked carboxylic acid (CH 3 CH 2 ) n O (CH 2 ) m COOH, where n = 1 -10 and m = 1-10. ), Unbranched fluorinated or non-fluorinated ether-linked carboxylic acid (CH 3 CH 2 ) n O (CH 2 ) m COOH (where n = 1-10 and m = 1-10). Branched fluorinated or non-fluorinated non-ether linked carboxylic acid (CH 3 (CH 2 ) n COOH, where n = 1-10), unbranched fluorinated or non-fluorinated non-ether linked carboxylic acid (CH 3 (CH 2 ) n COOH, where n = 1 to 10), dicarboxylic acids, tricarboxylic acids, and combinations thereof are included, but are not limited thereto. In addition, the solvent may include other amphiphilic species, i.e., species that contain both hydrophilic and hydrophobic moieties similar to surfactants. Hydrophobic properties may be generally given by including molecular groups consisting of hydrocarbon or fluorocarbon groups, and hydrophilic properties are generally given by including ionic or uncharged polar functional groups. Also good. Preferably, the organic solvent comprises sulfolane, butyl carbitol, dipropylene propyl ether, or a mixture thereof.

[0052] 任意選択の追加の(1種又は複数の)酸は、低k誘電体材料中の架橋ポリマー結合を破壊し溶解させるのを助ける。追加の酸は、有機及び/又は無機酸であってもよく、ホウ酸、シュウ酸、コハク酸、クエン酸、乳酸、酢酸、トリフルオロ酢酸、テトラフルオロホウ酸、フッ酸、塩酸、ギ酸、フマル酸、アクリル酸、マロン酸、マレイン酸、リンゴ酸、L−酒石酸、メチルスルホン酸、トリフルオロメタンスルホン酸、ヨウ素酸、メルカプト酢酸、チオ酢酸、グリコール酸、硫酸、硝酸、プロピオン酸、ピルビン酸、アセト酢酸、及びこれらの組合せを含むがこれらに限定するものではない。 [0052] The optional additional acid (s) helps break and dissolve the cross-linked polymer bonds in the low-k dielectric material. Additional acids may be organic and / or inorganic acids, boric acid, oxalic acid, succinic acid, citric acid, lactic acid, acetic acid, trifluoroacetic acid, tetrafluoroboric acid, hydrofluoric acid, hydrochloric acid, formic acid, fumaric acid Acid, acrylic acid, malonic acid, maleic acid, malic acid, L-tartaric acid, methylsulfonic acid, trifluoromethanesulfonic acid, iodic acid, mercaptoacetic acid, thioacetic acid, glycolic acid, sulfuric acid, nitric acid, propionic acid, pyruvic acid, aceto Including but not limited to acetic acid and combinations thereof.

[0053] (1種又は複数の)キレート剤は、ウェハの再生中にデバイスの表面の金属汚染種を減少させ、あるいはなくすために、添加してもよい。本明細書で企図される(1種又は複数の)キレート剤には:アセチルアセトネート、1,1,1−トリフルオロ−2,4−ペンタンジオン、及び1,1,1,5,5,5−ヘキサフルオロ−2,4−ペンタンジオンなどのβ−ジケトネート化合物;ホルメート及びアセテートなどのカルボキシレートとその他の長鎖カルボキシレート;及びビス(トリメチルシリルアミド)テトラマーなどのアミド(及びアミン)が含まれるが、これらに限定するものではない。追加のキレート剤には、アミン、及びアミノ酸(即ち、グリシン、セリン、プロリン、ロイシン、アラニン、アスパラギン、アスパラギン酸、グルタミン、バリン、及びリシン)、クエン酸、酢酸、マレイン酸、シュウ酸、マロン酸、コハク酸、ホスホン酸、ホスホン酸の誘導体、例えばヒドロキシエチリデンジホスホン酸(HEDP)、1−ヒドロキシエタン−1,1−ジホスホン酸、ニトリロ−トリス(メチレンホスホン酸)、ニトリロ三酢酸、イミノ二酢酸、エチドロン酸、エチレンジアミン、エチレンジアミン四酢酸(EDTA)、及び(1,2−シクロヘキシレンジニトリロ)四酢酸(CDTA)、尿酸、テトラグライム、ペンタメチルジエチレントリアミン(PMDETA)、1,3,5−トリアジン−2,4,6−チチオール三ナトリウム塩溶液、1,3,5−トリアジン−2,4,6−チチオール三アンモニウム塩溶液、ナトリウムジエチルジチオカルバメート、1個のアルキル基(R=ヘキシル、オクチル、デセイル、又はドデシル)及び1個のオリゴエーテル(R(CHCHO)であり、式中、R=エチル又はブチルである。)を有する二置換ジチオカルバメート(R(CHCHO)NRCSNa)、硫酸アンモニウム、モノエタノールアミン(MEA)、Dequest 2000、Dequest 2010、Dequest 2060、ジエチレントリアミン五酢酸、プロピレンジアミン四酢酸、2−ヒドロキシピリジン1−オキシド、エチレンジアミン二コハク酸、三リン酸ナトリウム五塩基性、及びこれらの組合せが含まれる。キレート化が可能な脱プロトン化化合物を形成するために塩基と組み合わせることが必要とされ得る、非フッ素化β−ジケトンとは異なって、フッ素化β−ジケトンキレート剤は、塩基が存在しない状態で使用することができる。キレート剤は、組成物をデバイスウェハに導入する前に製造元で、あるいはデバイスウェハで、即ち現場で、組成物に導入してもよい。(1種又は複数の)キレート剤に加え、その他の成分を添加して、組成物中のその他の成分の濃度を希釈し、維持し、及び/又は増加させてもよい。好ましくは、キレート剤は、少なくとも1種のホスホン酸誘導体を含む。 [0053] The chelating agent (s) may be added to reduce or eliminate metal contamination species on the surface of the device during wafer regeneration. The chelating agent (s) contemplated herein include: acetylacetonate, 1,1,1-trifluoro-2,4-pentanedione, and 1,1,1,5,5, Β-diketonate compounds such as 5-hexafluoro-2,4-pentanedione; carboxylates such as formate and acetate and other long chain carboxylates; and amides (and amines) such as bis (trimethylsilylamide) tetramers However, it is not limited to these. Additional chelating agents include amines and amino acids (ie, glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine), citric acid, acetic acid, maleic acid, oxalic acid, malonic acid. Succinic acid, phosphonic acid, derivatives of phosphonic acid, such as hydroxyethylidene diphosphonic acid (HEDP), 1-hydroxyethane-1,1-diphosphonic acid, nitrilo-tris (methylenephosphonic acid), nitrilotriacetic acid, iminodiacetic acid , Etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), and (1,2-cyclohexylenedinitrilo) tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), 1,3,5-triazine- 2,4,6-thithiol trisodium salt solution, 1, , 5-triazine-2,4,6 Chichioru triammonium salt solution, sodium diethyldithiocarbamate, one alkyl group (R 2 = hexyl, octyl, Deseiru, or dodecyl) and one oligoether (R 1 ( Di-carbamate (R 1 (CH 2 CH 2 O) 2 NR 2 CS 2 Na), ammonium sulfate, mono, with CH 2 CH 2 O) 2 , where R 1 = ethyl or butyl. Ethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060, diethylenetriaminepentaacetic acid, propylenediaminetetraacetic acid, 2-hydroxypyridine 1-oxide, ethylenediamine disuccinic acid, sodium triphosphate pentabasic, and combinations thereof are included. Unlike non-fluorinated β-diketones, which can be required to be combined with a base to form a chelatable deprotonated compound, a fluorinated β-diketone chelator can be used in the absence of a base. Can be used. The chelating agent may be introduced into the composition at the manufacturer prior to introducing the composition into the device wafer, or at the device wafer, ie in situ. In addition to the chelating agent (s), other ingredients may be added to dilute, maintain and / or increase the concentration of other ingredients in the composition. Preferably, the chelating agent comprises at least one phosphonic acid derivative.

[0054] 本明細書で企図される酸化剤には、過酸化水素(H)、FeCl(水和及び非水和の両方)、オキソン(2KHSO・KHSO・KSO)、アンモニウム多原子塩(例えば、パーオキソ一硫酸アンモニウム、亜塩素酸アンモニウム(NHClO)、塩素酸アンモニウム(NHClO)、ヨウ素酸アンモニウム(NHIO)、過ホウ酸アンモニウム(NHBO)、過塩素酸アンモニウム(NHClO)、過ヨウ素酸アンモニウム(NHIO)、過硫酸アンモニウム((NH)、次亜塩素酸アンモニウム(NHClO))、ナトリウム多原子塩(例えば、過硫酸ナトリウム(Na)、次亜塩素酸ナトリウム(NaClO))、カリウム多原子塩(例えば、ヨウ素酸カリウム(KIO)、過マンガン酸カリウム(KMnO)、過硫酸カリウム、硝酸(HNO)、過硫酸カリウム(K)、次亜塩素酸カリウム(KClO))、テトラメチルアンモニウム多原子塩(例えば、亜塩素酸テトラメチルアンモニウム((N(CH)ClO)、塩素酸テトラメチルアンモニウム((N(CH)ClO)、ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過ホウ酸テトラメチルアンモニウム((N(CH)BO)、過塩素酸テトラメチルアンモニウム((N(CH)ClO)、過ヨウ素酸テトラメチルアンモニウム((N(CH)IO)、過硫酸テトラメチルアンモニウム((N(CH)S))、テトラブチルアンモニウム多原子塩(例えば、パーオキソ一硫酸テトラブチルアンモニウム)、パーオキソ一硫酸、硝酸酸化鉄(Fe(NO)、過酸化尿素((CO(NH)H)、過酢酸(CH(CO)OOH)、及びこれらの組合せが含まれるが、これらに限定するものではない。酸化剤は、組成物をデバイスウェハに導入する前に製造元で、あるいはデバイスウェハで、即ち現場で、組成物に導入してもよい。好ましくは、酸化剤は過酸化化合物を含む。 [0054] Oxidants contemplated herein include hydrogen peroxide (H 2 O 2 ), FeCl 3 (both hydrated and non-hydrated), oxone (2KHSO 5 · KHSO 4 · K 2 SO 4 ), Ammonium polyatomic salts (eg, ammonium peroxomonosulfate, ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH 4 ) 2 S 2 O 8 ), ammonium hypochlorite (NH 4 ClO )), sodium polyatomic salts (e.g., sodium persulfate (Na 2 S 2 O 8) , sodium hypochlorite (NaClO)), mosquitoes Um polyatomic salts (e.g., potassium iodate (KIO 3), potassium permanganate (KMnO 4), potassium persulfate, nitric acid (HNO 3), potassium persulfate (K 2 S 2 O 8) , hypochlorite Potassium (KClO)), tetramethylammonium polyatomic salts (for example, tetramethylammonium chlorite ((N (CH 3 ) 4 ) ClO 2 ), tetramethylammonium chlorate ((N (CH 3 ) 4 ) ClO 3 ) ), Tetramethylammonium iodate ((N (CH 3 ) 4 ) IO 3 ), tetramethylammonium perborate ((N (CH 3 ) 4 ) BO 3 ), tetramethylammonium perchlorate ((N (CH 3) 4) ClO 4), periodic acid tetramethylammonium ((N (CH 3) 4 ) IO 4), persulfate tetramethylammonium Um ((N (CH 3 ) 4 ) S 2 O 8 )), tetrabutylammonium polyatomic salts (eg, tetrabutylammonium peroxomonosulfate), peroxomonosulfuric acid, iron nitrate (Fe (NO 3 ) 3 ), This includes, but is not limited to, urea peroxide ((CO (NH 2 ) 2 ) H 2 O 2 ), peracetic acid (CH 3 (CO) OOH), and combinations thereof. The oxidant may be introduced into the composition at the manufacturer prior to introducing the composition into the device wafer, or at the device wafer, ie in situ. Preferably, the oxidizing agent includes a peroxide compound.

[0055] 銅を含めた金属汚染物質の存在下でHFは、シリコンを含めたマイクロエレクトロニクスデバイス基板にピッチングを引き起こすことが、当技術分野では公知である。この有害なピッチング作用を実質的になくすには、塩酸、アルカリ金属塩化物(例えば、NaCl、KCo、RbCl、CsClなど)、アルカリ土類金属塩化物(例えば、MgCl、CaCl、SrCl、BaClなど)、塩化アンモニウム、式NRCl(式中、R、R、R、及びRは、互いに同じでも異なっていてもよく、H、又は分枝状もしくは直鎖状C〜Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、又はヘキシル)であってもよい。)を有するアルキルアンモニウム塩化物、及びこれらの組合せなどであるがこれらに限定されない塩化物源を、除去組成物に添加して、再生プロセス中のマイクロエレクトロニクスデバイス基板のピッチングを最小限に抑えてもよい。好ましくは、塩化物源は塩化アンモニウムを含む。 [0055] It is known in the art that HF in the presence of metallic contaminants including copper causes pitting to microelectronic device substrates including silicon. To substantially eliminate this harmful pitting action, hydrochloric acid, alkali metal chlorides (eg, NaCl, KCo, RbCl, CsCl, etc.), alkaline earth metal chlorides (eg, MgCl 2 , CaCl 2 , SrCl 2 , BaCl 2 ), ammonium chloride, formula NR 1 R 2 R 3 R 4 Cl (wherein R 1 , R 2 , R 3 , and R 4 may be the same or different from each other, H, or branched Alkyl ammonium chlorides having a linear or linear C 1 -C 6 alkyl (which may be, for example, methyl, ethyl, propyl, butyl, pentyl, or hexyl), combinations thereof, and the like A chloride source, not limited to, can be added to the removal composition to minimize pitting of the microelectronic device substrate during the regeneration process. It may be suppressed. Preferably, the chloride source comprises ammonium chloride.

[0056] 消泡剤は、急速な泡の崩壊を誘発、あるいは溶液中の起泡レベルを抑制する物質である。好ましくは、消泡剤は3つの条件を満たさなければならず:消泡剤は、溶液に不溶であるべきであり、消泡剤は、正の拡張係数を有するべきであり、消泡剤は、正の侵入係数を有するべきである。一般に考えられる消泡剤には、シリコーン油ベース、鉱油ベース、天然油ベース、アセチレンベース、及びリン酸エステルベースの消泡剤が含まれるが、これらに限定するものではない。より好ましくは、消泡剤には、Pluronic(登録商標)(BASF(登録商標))製品(例えば、Pluronic(登録商標)17R2、Pluronic(登録商標)17R4、Pluronic(登録商標)31R1、及びPluronic(登録商標)25R2)などのエチレンオキシド/プロピレンオキシドブロックコポリマー、Plurafac(登録商標)製品(BASF(登録商標))(例えば、Plurafac(登録商標)PA20)などのアルコールアルコキシレート、Surfonic(登録商標)(Huntsmen)(例えば、Surfonic(登録商標P1))などの脂肪アルコールアルコキシレート、Defoamer M(Ortho Chemicals Australia Pty.Ltd.)及びSuper Defoamer 225(Varn Products)などの非イオン性乳化剤とのリン酸エステルブレンド、及びこれらの組合せが含まれるが、これらに限定するものではない。とりわけ、Defoamer Mは湿潤剤としても働き、したがって、使用される場合にDefoamer Mは、界面活性剤及び消泡剤の両方であってもよい。さらに、ジエチレングリコールモノブチルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、及びプロピレングリコールは、単独で、又は効果的な消泡を目的としたその他の消泡剤と組み合わせて、使用してもよい。一実施形態では、消泡剤は、エチレンオキシド/プロピレンオキシドブロックコポリマー、アルコールアルコキシレート、脂肪酸アルコキシレート、非イオン性乳化剤とのリン酸エステルブレンド、及びこれらの組合せからなる群から選択される。別の実施形態では、消泡剤は、エチレンオキシド/プロピレンオキシドブロックコポリマー、アルコールアルコキシレート、脂肪アルコールアルコキシレート、及びこれらの組合せからなる群から選択される。さらに別の実施形態では、消泡剤は、エチレンオキシド/プロピレンオキシドブロックコポリマーである。 [0056] An antifoaming agent is a substance that induces rapid foam collapse or suppresses the foaming level in a solution. Preferably, the antifoaming agent must satisfy three conditions: the antifoaming agent should be insoluble in the solution, the antifoaming agent should have a positive expansion coefficient, Should have a positive penetration coefficient. Commonly considered antifoaming agents include, but are not limited to, silicone oil based, mineral oil based, natural oil based, acetylene based, and phosphate ester based antifoaming agents. More preferably, the antifoaming agent includes Pluronic® (BASF®) products (eg, Pluronic® 17R2, Pluronic® 17R4, Pluronic® 31R1, and Pluronic ( Ethylene oxide / propylene oxide block copolymers such as 25R2), alcohol alkoxylates such as Plurafac® products (BASF®) (eg, Plurafac® PA20), Surfonic® (Huntsmen) ) (Eg, fatty alcohol alkoxylates such as Surfonic® P1), phosphate ester blends with nonionic emulsifiers such as Defamer M (Ortho Chemicals Australia Pty. Ltd.) and Super Defomer 225 (Varn Products), And combinations thereof, including but not limited to There is no. In particular, Defoamer M also acts as a wetting agent, and thus, when used, Defoamer M may be both a surfactant and an antifoam. Furthermore, diethylene glycol monobutyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl Ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and propylene glycol are singly or effective You may use it in combination with the other antifoamer aiming at defoaming. In one embodiment, the antifoaming agent is selected from the group consisting of ethylene oxide / propylene oxide block copolymers, alcohol alkoxylates, fatty acid alkoxylates, phosphate ester blends with nonionic emulsifiers, and combinations thereof. In another embodiment, the antifoaming agent is selected from the group consisting of ethylene oxide / propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, and combinations thereof. In yet another embodiment, the antifoaming agent is an ethylene oxide / propylene oxide block copolymer.

[0057] 第1の態様のグリーン除去組成物の性質を考えれば、好ましくは組成物は、エチレン基、例えばエチレン、ジエチレン、トリエチレンなどを含んだ有機溶媒、及びその他のHAP有機溶媒を、実質的に含まない。例えば、有機溶が存在する場合、好ましくはプロピレン及び/又はプロピレングリコールエーテルを含む。当業者により容易に決定されるように、エチレン基を含んだ溶媒を含む組成物が可能であることを、理解すべきである。 [0057] In view of the nature of the green removal composition of the first aspect, preferably the composition comprises substantially an organic solvent containing an ethylene group, such as ethylene, diethylene, triethylene, and the like, and other HAP organic solvents. Not included. For example, when an organic solution is present, it preferably contains propylene and / or propylene glycol ether. It should be understood that compositions containing solvents containing ethylene groups are possible, as readily determined by those skilled in the art.

[0058] そのような組成物は、任意選択により、活性並びに不活性な成分を含む追加の成分、例えばレオロジー剤、安定化剤、不動態化剤、分散剤、pH安定化剤などを含んでいてもよい。 [0058] Such compositions optionally include additional components including active as well as inactive components such as rheology agents, stabilizers, passivators, dispersants, pH stabilizers and the like. May be.

[0059] 好ましい実施形態では、第1の態様のグリーン除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、及び少なくとも1種の消泡剤を含むか、これらからなるか、あるいは本質的にこれらからなる。さらに別の好ましい実施形態では、第1の態様のグリーン除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、水、及び少なくとも1種の消泡剤を含むか、これらからなるか、あるいは本質的にこれらからなり、この消泡剤は、エチレンオキシド/プロピレンオキシドブロックコポリマー、アルコールアルコキシレート、脂肪アルコールアルコキシレート、非イオン性乳化剤とのリン酸エステルブレンド、及びこれらの組合せからなる群から選択された種を含むものである。別の好ましい実施形態では、第1の態様のグリーン除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、水、少なくとも1種の消泡剤、少なくとも1種の塩化物源、及び少なくとも1種のキレート剤を含むか、これらからなるか、あるいは本質的にこれらからなる。別の実施形態では、第1の態様のグリーン除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、水、少なくとも1種の消泡剤、少なくとも1種の塩化物源、及び少なくとも1種のキレート剤を含むか、これらからなるか、あるいは本質的にこれらからなり、この消泡剤は、エチレンオキシド/プロピレンオキシドブロックコポリマー、アルコールアルコキシレート、脂肪アルコールアルコキシレート、非イオン性乳化剤とのリン酸エステルブレンド、及びこれらの組合せからなる群から選択された種を含むものである。さらに別の好ましい実施形態では、第1の態様のグリーン除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、水、少なくとも1種の消泡剤、少なくとも1種の塩化物源、少なくとも1種の酸化剤、及び少なくとも1種のキレート剤を含むか、これらからなるか、あるいは本質的にこれらからなる。さらに別の好ましい実施形態では、第1の態様のグリーン除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、水、少なくとも1種の消泡剤、少なくとも1種の塩化物源、少なくとも1種の酸化剤、及び少なくとも1種のキレート剤を含むか、これらからなるか、あるいは本質的にこれらからなり、この消泡剤は、エチレンオキシド/プロピレンオキシドブロックコポリマー、アルコールアルコキシレート、脂肪アルコールアルコキシレート、非イオン性乳化剤とのリン酸エステルブレンド、及びこれらの組合せからなる群から選択された種を含むものである。例えば、第1の態様の除去組成物は、水、塩化アンモニウム、HF、ホスホン酸誘導キレート剤、二硫酸アルキルジフェニルオキシド界面活性剤、及びエチレンオキシド/プロピレンオキシドブロックコポリマー消泡剤を含むものか、これらからなるものか、あるいは本質的にこれらからなるものでよい。第1の態様の除去組成物の別の例は、水、塩化アンモニウム、HF、HEDP、二硫酸アルキルジフェニルオキシド界面活性剤、及びエチレンオキシド/プロピレンオキシドブロックコポリマー消泡剤を含むか、これらからなるか、あるいは本質的にこれらからなる。過酸化水素などの酸化剤は、組成物をデバイスウェハに導入する前に製造元で、あるいはデバイスウェハで、即ち現場で、組成物に導入してもよい。 [0059] In a preferred embodiment, the green removal composition of the first aspect comprises or consists of at least one etchant, at least one surfactant, and at least one antifoaming agent. Or consist essentially of these. In yet another preferred embodiment, the green removal composition of the first aspect comprises or consists of at least one etchant, at least one surfactant, water, and at least one antifoam. The antifoaming agent comprises an ethylene oxide / propylene oxide block copolymer, an alcohol alkoxylate, a fatty alcohol alkoxylate, a phosphate ester blend with a nonionic emulsifier, and combinations thereof Include species selected from the group. In another preferred embodiment, the green removal composition of the first aspect comprises at least one etchant, at least one surfactant, water, at least one antifoam, at least one chloride source. , And at least one chelating agent, or consist essentially of them. In another embodiment, the green removal composition of the first aspect comprises at least one etchant, at least one surfactant, water, at least one antifoam, at least one chloride source, And at least one chelating agent comprising, consisting of, or consisting essentially of an antifoaming agent comprising an ethylene oxide / propylene oxide block copolymer, an alcohol alkoxylate, a fatty alcohol alkoxylate, a nonionic emulsifier And a phosphate ester blend, and a species selected from the group consisting of combinations thereof. In yet another preferred embodiment, the green removal composition of the first aspect comprises at least one etchant, at least one surfactant, water, at least one antifoam, at least one chloride. A source, at least one oxidizing agent, and at least one chelating agent. In yet another preferred embodiment, the green removal composition of the first aspect comprises at least one etchant, at least one surfactant, water, at least one antifoam, at least one chloride. A source, at least one oxidant, and at least one chelating agent comprising, consisting of or consisting essentially of an antifoaming agent comprising an ethylene oxide / propylene oxide block copolymer, an alcohol alkoxylate, It includes a species selected from the group consisting of fatty alcohol alkoxylates, phosphate ester blends with nonionic emulsifiers, and combinations thereof. For example, the removal composition of the first aspect comprises water, ammonium chloride, HF, phosphonic acid-derived chelating agent, alkyl diphenylsulfate surfactant, and ethylene oxide / propylene oxide block copolymer antifoam agent, or these Or may consist essentially of these. Does another example of the removal composition of the first aspect comprise or consist of water, ammonium chloride, HF, HEDP, alkyl diphenyl oxide disulfate surfactant, and ethylene oxide / propylene oxide block copolymer antifoam? Or consist essentially of these. An oxidizing agent, such as hydrogen peroxide, may be introduced into the composition at the manufacturer or at the device wafer, i.e. in situ, prior to introducing the composition into the device wafer.

[0060] 第1の態様のグリーン除去組成物は、さらに、ポストエッチング残渣、低k誘電体材料、金属積層材料、高k誘電体材料、障壁層材料、強誘電体、窒化物、ケイ化物、酸化物、ポリマー含有蓄積体、ARC材料、ドープ領域、種々雑多な材料、及びこれらの組合せからなる群から選択された材料残渣を含んでいてもよい。好ましくは、材料は、グリーン除去組成物に溶解し及び/又は懸濁され、除去組成物は、その意図される用途で依然として実現可能である。 [0060] The green removal composition of the first aspect further comprises post-etch residue, low-k dielectric material, metal laminate material, high-k dielectric material, barrier layer material, ferroelectric, nitride, silicide, It may comprise a material residue selected from the group consisting of oxides, polymer-containing accumulators, ARC materials, doped regions, miscellaneous materials, and combinations thereof. Preferably, the material is dissolved and / or suspended in the green removal composition, which is still feasible for its intended use.

[0061] 一実施形態では、第1の態様のグリーン除去組成物は、下記の濃縮実施形態で配合され、すべてのパーセンテージは、配合物の全重量に対する重量で表したものである。 [0061] In one embodiment, the green removal composition of the first aspect is formulated in the following concentration embodiment, where all percentages are expressed in weight relative to the total weight of the formulation.

Figure 2012504871
濃縮実施形態は、除去位置の前、及び/又は除去位置で添加してもよい少なくとも1種の酸化剤を、約0.01〜約20%、より好ましくは約1%〜約15重量%含んでいてもよい。存在する場合には、有機溶媒及び/又は有機酸の下限は、配合物の全重量に対して0.01重量%であってもよい。特に好ましい実施形態では、成分の重量パーセント比の範囲は:正味の界面活性剤に対して約1:1〜約10:1、好ましくは約2:1〜約5:1、最も好ましくは約3:1〜約4:1の正味の(1種又は複数の)塩化物源;正味の界面活性剤に対して約1:1〜約15:1、好ましくは約3:1〜約10:1、最も好ましくは約7:1〜約8:1の正味のHF;正味の界面活性剤に対して約1:1〜約10:1、好ましくは約2:1〜約8:1、最も好ましくは約4:1〜約5:1の正味の(1種又は複数の)キレート剤;及び正味の界面活性剤に対して約0.01:1〜約0.15:1、好ましくは約0.03:1〜約0.12:1、最も好ましくは約0.06:1〜約0.09:1の正味の(1種又は複数の)消泡剤である。
Figure 2012504871
Concentrated embodiments comprise from about 0.01 to about 20%, more preferably from about 1% to about 15% by weight of at least one oxidizing agent that may be added before and / or at the removal site. You may go out. When present, the lower limit of organic solvent and / or organic acid may be 0.01% by weight relative to the total weight of the formulation. In particularly preferred embodiments, the weight percent ratio ranges of the components are: about 1: 1 to about 10: 1, preferably about 2: 1 to about 5: 1, most preferably about 3 to net surfactant. : 1 to about 4: 1 net chloride source (s); about 1: 1 to about 15: 1, preferably about 3: 1 to about 10: 1 relative to the net surfactant. Most preferably about 7: 1 to about 8: 1 net HF; about 1: 1 to about 10: 1, preferably about 2: 1 to about 8: 1, most preferably relative to the net surfactant Is about 4: 1 to about 5: 1 net chelating agent (s); and about 0.01: 1 to about 0.15: 1, preferably about 0 to net surfactant. 0.03: 1 to about 0.12: 1, most preferably from about 0.06: 1 to about 0.09: 1 of the net antifoaming agent (s).

[0062] 第1の態様の各実施形態では、除去組成物は、硝酸、硫酸、ラクタム(例えば、ピペリドン及び/又はピロリドン)、超臨界流体、アミンと、少なくとも1種のアルデヒド及び少なくとも1種の芳香族化合物の重縮合によって調整されたポリマーの、少なくとも1種を実質的に含まなくてもよい。 [0062] In each embodiment of the first aspect, the removal composition comprises nitric acid, sulfuric acid, lactam (eg, piperidone and / or pyrrolidone), supercritical fluid, amine, at least one aldehyde and at least one kind. At least one polymer prepared by polycondensation of aromatic compounds may be substantially free.

[0063] 一実施形態では、第1の態様の除去組成物は、マイクロエレクトロニクスデバイス構造を再生するのに使用される。言い換えれば、1つの除去可能な層又は複数の除去可能な層を、マイクロエレクトロニクスデバイス構造から除去してもよい。 [0063] In one embodiment, the removal composition of the first aspect is used to regenerate a microelectronic device structure. In other words, one removable layer or multiple removable layers may be removed from the microelectronic device structure.

[0064] 別の実施形態では、第1の態様の除去組成物は、マイクロエレクトロニクスデバイス構造を再加工するのに使用してもよく、この場合、構造の裏面及び/又ははす縁のポリマー含有蓄積体が除去される。構造の裏面及び/又ははす縁からポリマー含有蓄積体を除去するプロセスは、必ずしも必要ではないが組成物への曝露から構造の表面を保護する必要がある場合もある。そのようなプロセスは、不活性ガス、例えば窒素、及び/又は脱イオン水スプレーを使用してウェハの表面を保護する単一ウェハツールでの、この構造の位置決めを含んでいてもよい。あるいは表面は、フォトレジスト又はその他の保護コーティングポリマーの厚い層をこの表面に堆積することによって保護してもよい。言い換えれば、構造の表面が、その裏面及び/又ははす縁を清浄化するときに第1の態様の除去組成物に曝されるべきではないパターニングされた及び/又はブランケット処理された(1種又は複数の)材料を含む場合、この表面は保護されるべきである。別の実施形態では、表面及び裏面/はす縁の両方が、第1の態様の除去組成物に曝されて、表面からの材料(例えば、低k誘電体材料など)及び裏面/はす縁からの材料(例えば、ポリマー含有蓄積体及び銅含有材料)を同時に除去する。 [0064] In another embodiment, the removal composition of the first aspect may be used to rework a microelectronic device structure, in which case the backside of the structure and / or the polymer edge of the lotus edge Accumulator is removed. The process of removing polymer-containing deposits from the backside and / or the edge of the structure may not necessarily be necessary, but may need to protect the surface of the structure from exposure to the composition. Such a process may involve positioning of this structure with a single wafer tool that uses an inert gas such as nitrogen and / or deionized water spray to protect the surface of the wafer. Alternatively, the surface may be protected by depositing a thick layer of photoresist or other protective coating polymer on the surface. In other words, the surface of the structure has been patterned and / or blanketed (1 type) that should not be exposed to the removal composition of the first aspect when cleaning its backside and / or lotus edge. This surface should be protected if it contains material (s). In another embodiment, both the front and back / foil edges are exposed to the removal composition of the first aspect so that the material from the front surface (eg, a low-k dielectric material) and the back / foil edges At the same time (eg polymer-containing accumulator and copper-containing material).

[0065] 本明細書に記述される除去組成物を使用して除去された低k誘電体材料には、CORAL(商標)、BLACK DIAMOND(商標)(以下、BD)、CORALの派生材料、BDの派生材料、AURORA(登録商標)、AURORA(登録商標)の派生材料、SiCOHなどが含まれる。本明細書で使用される「CORALの派生材料」及び「BDの派生材料」は、代替の、しばしば所有権のある、堆積プロセスを使用して堆積させた、CORAL材料及びBD材料にそれぞれ該当する。異なる処理技法の利用は、CORAL(商標)及びBLACK DIAMOND(商標)とは異なるCORAL及びBD材料をそれぞれもたらすことになる。 [0065] Low k dielectric materials removed using the removal compositions described herein include CORAL ™, BLACK DIAMOND ™ (hereinafter BD), CORAL derivatives, BD Derived materials, AURORA (registered trademark), derived materials of AURORA (registered trademark), SiCOH and the like. As used herein, "CORAL derived material" and "BD derived material" correspond to CORAL material and BD material, respectively, deposited using an alternative, often proprietary, deposition process. . The use of different processing techniques will result in CORAL and BD materials that are different from CORAL ™ and BLACK DIAMOND ™, respectively.

[0066] 本発明の第1の態様の別の実施形態では、銅イオンを除去組成物に添加して、マイクロエレクトロニクスデバイス構造からのタングステン及びタングステン含有層の除去を加速させる。存在する場合、添加される銅イオンの量は、組成物の全重量に対して約0.01重量%〜約5重量%、好ましくは約0.1重量%〜約2.5重量%、最も好ましくは約0.2重量%〜約1重量%の範囲内でよい。 [0066] In another embodiment of the first aspect of the invention, copper ions are added to the removal composition to accelerate the removal of tungsten and tungsten-containing layers from the microelectronic device structure. When present, the amount of copper ions added is from about 0.01% to about 5% by weight, preferably from about 0.1% to about 2.5% by weight, most preferably based on the total weight of the composition. Preferably it may be in the range of about 0.2% to about 1% by weight.

[0067] 第2の態様では、別のグリーン又は環境に優しい除去組成物について記述され、前記除去組成物は、エッチング剤源、少なくとも1種の界面活性剤、水、及び任意選択による少なくとも1種の酸化剤を含むか、これらからなるか、あるいは本質的にこれらからなる。除去組成物中の成分は、組成物の全重量に対して下記の範囲で存在する。 [0067] In a second aspect, another green or environmentally friendly removal composition is described, the removal composition comprising an etchant source, at least one surfactant, water, and optionally at least one. Or consist essentially of, or consist essentially of these. The components in the removal composition are present in the following ranges relative to the total weight of the composition.

Figure 2012504871
Figure 2012504871

[0068] 第2の態様の除去組成物用の、エッチング剤及び任意選択の酸化剤には、第1の態様の除去組成物に関して既に述べたものが含まれる。第2の態様の除去組成物に適した(1種又は複数の)界面活性剤には:ドデシルベンゼンスルホン酸(DDBSA)又はその塩、その他の直鎖状ベンゼンスルホン酸(LABSA)又はその塩、アルコキシル化脂肪族アルコールのリン酸エステル(例えば、BASF Corporationから市販されているKLEARFAC(登録商標)AA270)などの陰イオン性界面活性剤;ノニルフェノールエトキシレート(例えば、DOWから市販されているTergitol(商標)15-S-9)、Surfonic(登録商標)(Huntsmen)(例えば、Surfonic(登録商標)P1)などの脂肪アルコールアルコキシレート、ポリオキシエチレングリコールドデシルエーテル(例えば、Brij 35)、及びPlurafac(登録商標)製品(BASF(登録商標))(例えば、Plurafac(登録商標)PA20)などのアルコールアルコキシレート脂肪アルコールアルコキシレートなどの非イオン性界面活性剤;PPG−PEG−PPGブロックコポリマー、PEG−PPG−PEGブロックコポリマー、エチレンオキシド/プロピレンオキシドブロックコポリマーであってPluronic(登録商標)(BASF(登録商標))製品(例えば、Pluronic(登録商標)17R2、Pluronic(登録商標)17R4、Pluronic(登録商標)31R1、及びPluronic(登録商標)25R2)などのポリマー界面活性剤;及びこれらの組合せが含まれるが、これらに限定するものではない。 [0068] Etchants and optional oxidizing agents for the removal composition of the second aspect include those already described with respect to the removal composition of the first aspect. Suitable surfactant (s) for the removal composition of the second aspect include: dodecylbenzenesulfonic acid (DDBSA) or salt thereof, other linear benzenesulfonic acid (LABSA) or salt thereof, Anionic surfactants such as phosphate esters of alkoxylated fatty alcohols (eg KLEARFAC® AA270 commercially available from BASF Corporation); nonylphenol ethoxylates (eg Tergitol ™ commercially available from DOW) 15-S-9), fatty alcohol alkoxylates such as Surfonic® (Huntsmen) (eg, Surfonic® P1), polyoxyethylene glycol dodecyl ether (eg, Brij 35), and Plurafac (registered) (Trademark) products (BASF (registered trademark)) (for example, Plurafac (registered trademark) PA20) alcohol alkoxylate fat Nonionic surfactants such as fatty alcohol alkoxylates; PPG-PEG-PPG block copolymer, PEG-PPG-PEG block copolymer, ethylene oxide / propylene oxide block copolymer, Pluronic® (BASF®) Polymer surfactants such as products (eg, Pluronic® 17R2, Pluronic® 17R4, Pluronic® 31R1, and Pluronic® 25R2); and combinations thereof, including, but not limited to, It is not limited to.

[0069] そのような組成物は、活性並びに不活性な成分、例えばレオロジー剤、安定化剤、不動態化剤、分散剤、pH安定化剤、消泡剤、塩化物源、酸化剤、キレート剤、共溶媒などを含めた追加の成分を、任意選択により含んでいてもよい。 [0069] Such compositions may contain active as well as inactive ingredients such as rheology agents, stabilizers, passivators, dispersants, pH stabilizers, antifoam agents, chloride sources, oxidants, chelates. Additional components including agents, co-solvents and the like may optionally be included.

[0070] 第2の態様のグリーン除去組成物は、ポストエッチング残渣、低k誘電体材料、高k誘電体材料、SiCN、アルミニウム含有材料、障壁層材料、強誘電体、窒化物、ケイ化物、酸化物、フォトレジスト、ポリマー含有蓄積体、ARC材料、ドープ領域、種々雑多な材料、及びこれらの組合せからなる群から選択された材料残渣を、さらに含んでいてもよい。好ましくは、材料は、グリーン除去組成物に溶解し及び/又は懸濁し、この除去組成物は、その意図される用途で依然として実現可能である。 [0070] The green removal composition of the second aspect includes post-etch residue, low-k dielectric material, high-k dielectric material, SiCN, aluminum-containing material, barrier layer material, ferroelectric, nitride, silicide, It may further comprise a material residue selected from the group consisting of oxides, photoresists, polymer-containing accumulators, ARC materials, doped regions, miscellaneous materials, and combinations thereof. Preferably, the material is dissolved and / or suspended in the green removal composition, which removal composition is still feasible for its intended use.

[0071] 第2の態様のグリーン除去組成物の性質を考えると、好ましくは組成物は:エチレン基、例えばエチレン、ジエチレン、トリエチレンなどを含む有機溶媒、及びその他のHAP有機溶媒;硝酸;硫酸:ラクタム(例えば、ピペリドン及び/又はピロリドン);超臨界流体;アミン:フッ化アンモニウム;少なくとも1種のアルデヒド及び少なくとも1種の芳香族化合物の重縮合によって調製されたポリマーを、実質的に含まない。 [0071] Considering the nature of the green removal composition of the second aspect, preferably the composition is: an organic solvent containing ethylene groups such as ethylene, diethylene, triethylene, and other HAP organic solvents; nitric acid; sulfuric acid : Lactam (eg piperidone and / or pyrrolidone); supercritical fluid; amine: ammonium fluoride; substantially free of polymers prepared by polycondensation of at least one aldehyde and at least one aromatic compound .

[0072] 一実施形態では、第2の態様のグリーン又は環境に優しい除去組成物は、以下の濃縮実施形態で配合され、すべてのパーセンテージは配合物の全重量に対する重量によって表される。 [0072] In one embodiment, the green or environmentally friendly removal composition of the second aspect is formulated in the following concentrated embodiment, where all percentages are expressed by weight relative to the total weight of the formulation.

Figure 2012504871
特に好ましい実施形態では、第2の態様の除去組成物は、配合物の全重量に対して、HFを約17重量%〜約23重量%、(1種又は複数の)界面活性剤を約4重量%〜約6重量%、及び水を約70重量%〜約80重量%含み、これらすべてのパーセンテージは重量によるものである。存在する場合の酸化剤の量は、好ましくは約0.01重量%〜約10重量%の範囲にある。特に好ましい実施形態では、成分の重量パーセント比の範囲は、正味の界面活性剤に対して約1:1〜約10:1の正味のHFであり、好ましくは約2:1〜約6:1、最も好ましくは約3:1〜約5:1である。
Figure 2012504871
In a particularly preferred embodiment, the removal composition of the second aspect comprises about 17 wt% to about 23 wt% HF and about 4 surfactant (s) based on the total weight of the formulation. % By weight to about 6% by weight, and water from about 70% to about 80% by weight, all percentages by weight. The amount of oxidizing agent, if present, is preferably in the range of about 0.01% to about 10% by weight. In a particularly preferred embodiment, the weight percent ratio range of the components is from about 1: 1 to about 10: 1 net HF relative to the net surfactant, preferably from about 2: 1 to about 6: 1. Most preferably from about 3: 1 to about 5: 1.

[0073] 第2の態様の除去組成物の好ましい実施形態は、HF、PEG−PPG−PEGブロックコポリマー、及び水を含むか、これらからなるか、あるいは本質的にこれらからなる。別の好ましい実施形態では、第2の態様の除去組成物は、HF、PPG−PEG−PPGブロックコポリマー、及び水を含むか、これらからなるか、あるいは本質的にこれらからなる。さらに別の好ましい実施形態では、第2の態様の除去組成物は、HF、ポリオキシエチレングリコールドデシルエーテル界面活性剤、及び水を含むか、これらからなるか、あるいは本質的にこれらからなる。過酸化水素などの酸化剤は、組成物をデバイスウェハに導入する前に製造元で、あるいはデバイスウェハで、即ち現場で、組成物に導入してもよい。別の好ましい実施形態では、第2の態様の組成物は、少なくとも1種の消泡剤をさらに含む。 [0073] A preferred embodiment of the removal composition of the second aspect comprises, consists of, or consists essentially of HF, PEG-PPG-PEG block copolymer, and water. In another preferred embodiment, the removal composition of the second aspect comprises, consists of, or consists essentially of HF, PPG-PEG-PPG block copolymer, and water. In yet another preferred embodiment, the removal composition of the second aspect comprises, consists of, or consists essentially of HF, a polyoxyethylene glycol dodecyl ether surfactant, and water. An oxidizing agent, such as hydrogen peroxide, may be introduced into the composition at the manufacturer or at the device wafer, i.e. in situ, prior to introducing the composition into the device wafer. In another preferred embodiment, the composition of the second aspect further comprises at least one antifoaming agent.

[0074] 一実施形態では、第2の態様の除去組成物は、マイクロエレクトロニクスデバイス構造を再生するのに使用される。言い換えれば、1つの除去可能な層又は複数の除去可能な層を、マイクロエレクトロニクスデバイス構造から除去することができる。別の実施形態では、第2の態様の除去組成物は、マイクロエレクトロニクスデバイス構造を再生するのに使用してもよく、この構造の裏面及び/又ははす縁上のポリマー含有蓄積体は、第1の態様に関して既に述べたように除去される。 [0074] In one embodiment, the removal composition of the second aspect is used to regenerate a microelectronic device structure. In other words, one removable layer or multiple removable layers can be removed from the microelectronic device structure. In another embodiment, the removal composition of the second aspect may be used to regenerate a microelectronic device structure, and the polymer-containing deposit on the back and / or the edge of the structure is It is removed as already described with respect to one embodiment.

[0075] 第1及び第2の態様の除去組成物は、除去組成物とマイクロエレクトロニクスデバイスとを接触させる前のCMP処理中に典型的に使用される研磨材料を、実質的に含まないことに留意されたい。 [0075] The removal composition of the first and second aspects is substantially free of abrasive material typically used during CMP processing prior to contacting the removal composition with the microelectronic device. Please keep in mind.

[0076] 本明細書に記述される除去組成物は、マイクロエレクトロニクスデバイスの表面から、ポリマー含有蓄積体、金属積層材料、低k誘電体層、高k誘電体層、エッチング停止層、窒化物、ケイ化物、酸化物、障壁層、フォトレジスト、ポストエッチング残渣、種々雑多な材料、ドープ領域(ドープされたエピタキシャルSi以外)、及び/又はその他の材料の少なくとも1種を同時に除去する際に、効果的である。例えば除去組成物は、当業者により容易に決定されるように、マイクロエレクトロニクスデバイスの表面から低k誘電体材料を効果的に除去することができ、それと共に、ポリマー及びその他の残渣をマイクロエレクトロニクスデバイスの裏面及び/又ははす縁から除去することができる。したがって、マイクロエレクトロニクスデバイス製造操作に適用されるように、本明細書に記述される除去組成物は、低k誘電体材料、高k誘電体材料、エッチング停止層、金属積層材料、窒化物、ケイ化物、酸化物、フォトレジスト、障壁層、ポリマー含有蓄積体、強誘電体、種々雑多な材料、ドープ領域(ドープされたエピタキシャルSi以外)、及びこれらの組合せからなる群から選択された少なくとも1種の材料を、単一の再生又は再加工工程でマイクロエレクトロニクスデバイス構造から除去することにより、前記構造をリサイクル、及び/又は再使用するのに有効に用いられる。本明細書に記述される除去組成物は:0.25μmで25粒子未満、0.12μmで50粒子未満、又は0.09μmで100粒子未満、約5μm未満の全厚変動(TTV)(除去後の平坦化プロセスは必要としない。)、1×1010原子/cm未満の表面金属汚染;及び/又は再生基板(その他の保持層を全く含まない。)の厚さは、当初の基板の厚さの5%以内であり、好ましくは2%以内、最も好ましくは1%以内であり;並びに再加工/清浄化要件を含むがこれらに限定されない再生要件を満足させる。さらに、低TTVであるので、現行の再生手法の典型的な化学機械研磨(CMP)工程、即ち材料の湿式除去後に基板を平坦化させるための工程は、再使用前に、ウェハの表面又は裏面を平坦化させる必要がないと考えられる。あるいは、CMP工程のパラメータは、エネルギー要件が実質的に低下するように、例えば研磨時間の長さが短縮されるように、変化させてもよい。最も好ましくは、マイクロエレクトロニクスデバイス基板から材料を除去した後、TTVは3%未満であり、より好ましくは1%未満であり、最も好ましくは0.5%未満である。 [0076] The removal composition described herein includes a polymer-containing accumulator, a metal laminate material, a low-k dielectric layer, a high-k dielectric layer, an etch stop layer, a nitride, from the surface of the microelectronic device. Effective in removing at least one of silicide, oxide, barrier layer, photoresist, post-etch residue, miscellaneous materials, doped regions (other than doped epitaxial Si), and / or other materials simultaneously Is. For example, the removal composition can effectively remove the low-k dielectric material from the surface of the microelectronic device, as well as determine the polymer and other residues along with the microelectronic device, as readily determined by those skilled in the art. Can be removed from the backside and / or the edge of the lotus. Accordingly, as applied to microelectronic device manufacturing operations, the removal compositions described herein include low-k dielectric materials, high-k dielectric materials, etch stop layers, metal laminate materials, nitrides, silicon At least one selected from the group consisting of oxides, oxides, photoresists, barrier layers, polymer-containing accumulators, ferroelectrics, miscellaneous materials, doped regions (other than doped epitaxial Si), and combinations thereof This material is effectively used to recycle and / or reuse the structure by removing it from the microelectronic device structure in a single regeneration or rework process. The removal compositions described herein are: Total thickness variation (TTV) of less than 25 particles at 0.25 μm, less than 50 particles at 0.12 μm, or less than 100 particles at 0.09 μm (after removal) The surface metal contamination of less than 1 × 10 10 atoms / cm 2 ; and / or the thickness of the recycled substrate (without any other holding layer) is Within 5% of the thickness, preferably within 2%, most preferably within 1%; and satisfy regeneration requirements, including but not limited to rework / cleaning requirements. In addition, because of the low TTV, the typical chemical mechanical polishing (CMP) process of current regeneration techniques, i.e., the process for planarizing the substrate after wet removal of material, can be performed on the front or back surface of the wafer prior to reuse. It is thought that it is not necessary to flatten the surface. Alternatively, the CMP process parameters may be varied such that the energy requirements are substantially reduced, for example, the length of the polishing time is reduced. Most preferably, after removing material from the microelectronic device substrate, the TTV is less than 3%, more preferably less than 1%, and most preferably less than 0.5%.

[0077] 本明細書に記述される除去組成物は、再加工要件も満足させ、例えば、保持される(1つ又は複数の)層に実質的な損傷を与えることなく、デバイス基板の最外縁及び裏面からのフォトレジスト、ポリマー含有蓄積体、及び/又は電気メッキされた銅の実質的な除去が実現される。従来技術(例えば、縁部の物理的研磨、ドライプラズマエッチング、燃焼など)の再加工組成物とは異なり、マイクロエレクトロニクスデバイス構造から除去される少なくとも1種の材料は、(1種又は複数の)湿潤溶液で除去してもよい。 [0077] The removal composition described herein also satisfies rework requirements, eg, the outermost edge of the device substrate without substantial damage to the retained layer (s). And substantial removal of photoresist, polymer-containing deposits, and / or electroplated copper from the backside. Unlike rework compositions in the prior art (eg, edge physical polishing, dry plasma etching, combustion, etc.), the at least one material removed from the microelectronic device structure is one or more It may be removed with a dampening solution.

[0078] 本明細書に記述される除去組成物は、それぞれの成分の単なる添加、及び均質状態への混合によって、容易に配合される。さらに、除去組成物は、単一パッケージの配合物又は使用時点で混合される多重の配合物として、容易に配合することができる。多重配合物の個々の部分は、ツールで、ツールの上流にある貯蔵タンク内で、又はその両方で混合してもよい。それぞれの成分の濃度は、除去組成物の特定の倍数で広く様々に変えてもよく、例えば、より希釈し、あるいはより濃縮してもよく、除去組成物は、様々に、あるいは代替的に、本明細書の開示に一致した成分の任意の組合せを含むか、これらからなるか、あるいは本質的にこれらからなることができると理解されよう。 [0078] The removal compositions described herein are easily formulated by simply adding the respective components and mixing to a homogeneous state. Further, the removal composition can be easily formulated as a single package formulation or multiple formulations mixed at the point of use. The individual portions of the multiple formulation may be mixed with the tool, in a storage tank upstream of the tool, or both. The concentration of each component may vary widely in specific multiples of the removal composition, for example, it may be more diluted or more concentrated, and the removal composition may vary or alternatively, It will be understood that any combination of components consistent with the disclosure herein may be included, consist of, or consist essentially of.

[0079] したがって、別の態様は、水及び/又は溶媒が少量であり、あるいは水及び/又は溶媒を含まない、本明細書に記述される組成物の濃縮された配合物であって、除去組成物を形成するために使用前に水及び/又は溶媒を添加してもよい配合物に関する。濃縮された配合物は、溶媒と濃縮物とが約1:10〜100:1の範囲で希釈してもよく、この溶媒は、水及び/又は有機溶媒にすることができる。 [0079] Accordingly, another aspect is a concentrated formulation of a composition described herein that is low in water and / or solvent or free of water and / or solvent, wherein the composition is removed. It relates to a formulation to which water and / or solvents may be added prior to use to form the composition. The concentrated formulation may be diluted with a solvent and concentrate in the range of about 1:10 to 100: 1, which may be water and / or an organic solvent.

[0080] 別の態様は、1つ又は複数の容器に、本明細書に記述される(例えば、第1又は第2の態様の)除去組成物を形成するように適合された1種又は複数の成分を含むキットに関する。一実施形態では、キットは、1つ又は複数の容器に、エッチング剤源、少なくとも1種の界面活性剤又はポリマー、任意選択により水、任意選択により少なくとも1種の有機溶媒、任意選択により少なくとも1種の有機酸、任意選択により少なくとも1種の酸化剤、任意選択により少なくとも1種の塩化物源、任意選択により少なくとも1種のキレート剤、及び任意選択により少なくとも1種の消泡剤を、そのまま又は希釈剤(例えば、水及び/又は有機溶媒)及び/又は消泡剤と共に工場で組み合わせるために含んでいてもよい。あるいは、キットは、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤又はポリマー、水、並びに任意選択により少なくとも1種の消泡剤を、そのまま又は希釈剤(例えば、水及び/又は有機溶媒)及び/又は消泡剤と共に工場で組み合わせるために含んでいてもよい。別の代替例では、キットは、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、水、少なくとも1種の塩化物源、少なくとも1種のキレート剤、及び任意選択により少なくとも1種の消泡剤を、そのまま又は希釈剤(例えば、水及び/又は有機溶媒)及び/又は消泡剤と共に工場で組み合わせるために含んでいてもよい。さらに別の代替例では、キットは、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤、水、少なくとも1種の塩化物源、少なくとも1種のキレート剤、及び任選択で少なくとも1種の消泡剤を、そのまま又は希釈剤(例えば、水及び/又は有機溶媒)、消泡剤、及び/又は酸化剤と共に工場で組み合わせるために含んでいてもよい。さらに別の実施形態では、キットは、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤又はポリマー、及び水を、そのまま又は希釈剤(例えば、水)及び/又は少なくとも1種の酸化剤と共に工場で組み合わせるために含んでいてもよい。 [0080] Another aspect is one or more adapted to form a removal composition described herein (eg, of the first or second aspect) in one or more containers. To a kit comprising In one embodiment, the kit includes, in one or more containers, an etchant source, at least one surfactant or polymer, optionally water, optionally at least one organic solvent, optionally at least one. The organic acid, optionally at least one oxidizing agent, optionally at least one chloride source, optionally at least one chelating agent, and optionally at least one defoamer, intact Or it may be included for factory combination with diluents (eg water and / or organic solvents) and / or antifoam agents. Alternatively, the kit comprises at least one etchant, at least one surfactant or polymer, water, and optionally at least one antifoam, as such or as a diluent (eg, water and / or organic solvent). ) And / or may be included for factory combination with antifoam. In another alternative, the kit comprises at least one etchant, at least one surfactant, water, at least one chloride source, at least one chelator, and optionally at least one quencher. Foaming agents may be included as such or for factory combination with diluents (eg, water and / or organic solvents) and / or antifoaming agents. In yet another alternative, the kit comprises at least one etchant, at least one surfactant, water, at least one chloride source, at least one chelating agent, and optionally at least one kind. The antifoaming agent may be included for factory or factory combination with diluents (eg, water and / or organic solvents), antifoaming agents, and / or oxidizing agents. In yet another embodiment, the kit comprises at least one etchant, at least one surfactant or polymer, and water, neat or with a diluent (eg, water) and / or at least one oxidizing agent. May be included for combination at the factory.

[0081] キットの容器は、その内部に収容される(1種又は複数の)成分を貯蔵し定量吐出するために、化学的にランク付けされるべきである。例えば、キットの容器は、NOWPak(登録商標)容器(Advanced Technology Materials, Inc.、Danbury、Conn.、USA)であってもよい。除去組成物の成分を含有する1つ又は複数の容器は、好ましくは、前記1つ又は複数の容器内の成分を、ブレンドし定量吐出するために流体連絡させるための手段を含む。例えば、NOWPak(登録商標)容器と言う場合、ガス圧を前記1つ又は複数の容器の裏打ち材の外面に加えることにより、この裏打ち材の内容物の少なくとも一部を放出させ、したがってブレンド及び定量吐出のために流体連絡を可能にすることができる。あるいは、ガス圧は、従来の加圧可能な容器のヘッドスペースに加えてもよく、又はポンプを使用して、流体連絡を可能にしてもよい。さらに、このシステムは、好ましくは、ブレンドされた除去組成物をプロセスツールに定量吐出するための定量吐出口を含む。 [0081] The kit containers should be chemically ranked to store and dispense the component (s) contained therein. For example, the kit container may be a NOWPak® container (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers containing the components of the removal composition preferably include means for fluidly communicating the components in the one or more containers for blending and dispensing. For example, when referring to NOWWPak® containers, gas pressure is applied to the outer surface of the backing material of the one or more containers to release at least a portion of the contents of the backing material, thus blending and metering. Fluid communication can be enabled for dispensing. Alternatively, the gas pressure may be added to the head space of a conventional pressurizable container, or a pump may be used to allow fluid communication. In addition, the system preferably includes a dispensing outlet for dispensing the blended removal composition into the process tool.

[0082] 高密度ポリエチレンなどの、実質的に化学的に不活性な、不純物を含まない、柔軟で弾力性あるポリマーフィルム材料は、前記1つ又は複数の容器の裏打ち材を製作するのに好ましく使用される。望ましい裏打ち材材料は、共押出し又は障壁層を必要とすることなく、また、この裏打ち材に配置される成分の純度要件に悪影響を及ぼす可能性がある任意の顔料、UV阻害剤、又は加工剤なしに、加工される。所望の裏打ち材料のリストには、バージン(無添加)ポリエチレン、バージンポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、及びポリブチレンなどを含むフィルムが含まれる。そのような裏打ち材材料の好ましい厚さは、約5ミル(0.005インチ)〜約30ミル(0.030インチ)の範囲にあり、例えば20ミル(0.020インチ)の厚さである。 [0082] A substantially chemically inert, impurity-free, flexible, resilient polymer film material, such as high density polyethylene, is preferred for making the backing material of the one or more containers. used. Desirable backing materials are any pigments, UV inhibitors, or processing agents that do not require coextrusion or a barrier layer and may adversely affect the purity requirements of the components disposed on the backing. Processed without. A list of desired backing materials includes films including virgin (no additive) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinyl chloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and the like. Is included. The preferred thickness of such backing material is in the range of about 5 mils (0.005 inches) to about 30 mils (0.030 inches), for example 20 mils (0.020 inches) thick. .

[0083] キット用の容器に関し、下記の特許及び特許出願の開示は、それらのそれぞれの全体が参照により本明細書に組み込まれる:「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」という名称の、米国特許第7,188,644号;「RETURNABLE AND REUSABLE,BAG−IN−DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」という名称の、米国特許第6,698,619号;John E.Q.Hughesの名の下で2008年5月9日に出願された、「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」という名称の、国際出願番号PCT/US08/63276;及びJohn E.Q.Hughesらの名の下で2008年12月8日に出願された、「SYSTEMS AND METHODS FOR DELIVERY OF FLUID−CONTAINING PROCESS MATERIAL COMBINATIONS」という名称の、国際出願番号PCT/US08/85826。 [0083] With regard to the container for the kit, the disclosures of the following patents and patent applications are hereby incorporated by reference in their entirety: “APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS” U.S. Pat. No. 7,188,644; U.S. Pat. No. 6,698,619, entitled “RETURNBLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM”; Q. John E. No. PCT / US08 / 63276, filed May 9, 2008, under the name of Hughes, named “SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION”; Q. International application number PCT / US08 / 85826, filed December 8, 2008 under the name of Hughes et al., Entitled “SYSTEMS AND METHODS FOR DELIVERY OF FLUID-CONTAINING PROCESS MATERIAL COMMONATIONS”.

[0084] 液体溶液の他に、除去組成物は、泡、霧、稠密流体として(即ち、超臨界又は亜臨界であり、溶媒は、水及び/又は(1種又は複数の)溶媒に加えて又は代えて、COなどである)配合してもよいことが本明細書では企図される。 [0084] In addition to the liquid solution, the removal composition may be a foam, mist, dense fluid (ie, supercritical or subcritical, and the solvent may be in addition to water and / or solvent (s)). It is contemplated herein that it may also be formulated (or alternatively, such as CO 2 ).

[0085] 本明細書に記述される除去組成物は、単一工程で、マイクロエレクトロニクスデバイス基板からのポストエッチング残渣、低k誘電体材料、高k誘電体材料、エッチング停止層、窒化物、ケイ化物、酸化物、金属積層材料、強誘電体、障壁層、フォトレジスト、ARC材料、ポリマー含有蓄積体、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の材料を溶解、及び/又は層剥離させる(即ち、除去される(1種又は複数の)材料のすべては、単一の浸漬を目的として、拒絶されたマイクロエレクトロニクスデバイス基板と単一組成物とを接触させることによって、除去することができる)。最も好ましくは、除去可能な材料は、単一工程で溶解、及び/又は層剥離され、但し機械研磨は、リサイクル及び/又は再使用の前に必ずしも必要ではないことを条件とする。本明細書で定義される「溶解」は、固体溶質(例えば、除去される材料)が溶媒に進入して溶液を形成プロセスを包含する。「溶解」は、除去される材料のエッチング、分解、及び/又は化学研磨も含むものとする。溶解には、後で前記基板上に沈降する可能性がある粒子状物質の発生を最小限に抑え、並びに除去設備の詰まりを実質的になくすという利点がある。 [0085] The removal compositions described herein provide post-etch residues from microelectronic device substrates, low-k dielectric materials, high-k dielectric materials, etch stop layers, nitrides, silicas in a single step. Dissolving at least one material selected from the group consisting of oxides, oxides, metal laminates, ferroelectrics, barrier layers, photoresists, ARC materials, polymer-containing accumulators, doped regions, and combinations thereof; and All of the material to be delaminated (i.e., removed) is contacted with the rejected microelectronic device substrate and a single composition for the purpose of a single immersion. Can be removed). Most preferably, the removable material is dissolved and / or delaminated in a single step, provided that mechanical polishing is not necessarily required before recycling and / or reuse. “Dissolution”, as defined herein, includes a process in which a solid solute (eg, material to be removed) enters a solvent to form a solution. “Dissolution” shall also include etching, decomposition, and / or chemical polishing of the material to be removed. Dissolution has the advantage of minimizing the generation of particulate matter that may later settle on the substrate and substantially eliminating clogging of the removal equipment.

[0086] 有利には、除去プロセス後のマイクロエレクトロニクスデバイス構造の残りの層は、実質的に滑らかで損傷を受けておらず、好ましくは、追加の製造プロセスの前に、即ち新しい材料層、例えば低k誘電体層、高k誘電体層、フォトレジスト、金属積層体、エッチング停止層などの堆積プロセスの前に、表面及び/又は裏面を平坦化する必要がない。例えば、再生後に、残りの層がマイクロエレクトロニクスデバイス基板及びエピタキシャルSi層のみ含む場合、この基板は、好ましくは、費用がかかり構造的に損なわれる機械研磨を必要とせずにリサイクル/再使用の準備ができている。表面の機械研磨、接触研磨、又は拭取りは、必要である場合に使用できることを理解すべきである。 [0086] Advantageously, the remaining layers of the microelectronic device structure after the removal process are substantially smooth and undamaged, preferably before the additional manufacturing process, ie a new material layer, eg There is no need to planarize the front and / or back surfaces prior to deposition processes such as low-k dielectric layers, high-k dielectric layers, photoresists, metal stacks, etch stop layers, and the like. For example, after regeneration, if the remaining layer comprises only a microelectronic device substrate and an epitaxial Si layer, this substrate is preferably ready for recycling / reuse without the need for costly and structurally damaged mechanical polishing. is made of. It should be understood that mechanical polishing, contact polishing, or wiping of the surface can be used where necessary.

[0087] さらに別の態様では、低k誘電体層、高k誘電体材料、エッチング停止層、金属積層材料、窒化物、ケイ化物、酸化物、強誘電体、障壁層、フォトレジスト、ARC材料、ポストエッチング残渣、ポリマー含有蓄積体、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の材料が、第1又は第2の態様の除去組成物を使用して、表面に前記層をマイクロエレクトロニクスデバイスから除去される、という除去方法が開示されている。例えば、低k誘電体材料は、下に在る基板及びエッチング停止層(例えば、SiCN、SiCO、SiC、SiON、SiGe、SiGeB、SiGeC、AlAs、InGaP、InP、InGaAs)、及び金属積層材料の一体性を維持しながら除去することができる。あるいは、低k誘電体層及び金属積層材料は、下に在る基板及び/又はエッチング停止層の一体性を維持しながら除去することができる。別の代替例では、低k誘電体層、エッチング停止層、及び金属積層材料は、下に在る基板の一体性を維持しながら除去することができる。 [0087] In yet another aspect, a low-k dielectric layer, a high-k dielectric material, an etch stop layer, a metal laminate material, nitride, silicide, oxide, ferroelectric, barrier layer, photoresist, ARC material At least one material selected from the group consisting of post-etch residue, polymer-containing accumulator, doped region, and combinations thereof on the surface using the removal composition of the first or second aspect A removal method is disclosed in which the layer is removed from the microelectronic device. For example, the low-k dielectric material may be an integral part of the underlying substrate and etch stop layer (eg, SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs) and a metal stack material. It can be removed while maintaining the properties. Alternatively, the low-k dielectric layer and the metal laminate material can be removed while maintaining the integrity of the underlying substrate and / or etch stop layer. In another alternative, the low-k dielectric layer, etch stop layer, and metal stack material can be removed while maintaining the integrity of the underlying substrate.

[0088] 他の態様では、第1又は第2の態様の除去組成物は、マイクロエレクトロニクスデバイス構造を清浄化するのに使用してもよく、この構造の裏面及び/又ははす縁のポリマー含有蓄積体が除去される。一実施形態では、構造の裏面及び/又ははす縁からポリマー含有蓄積体を除去するプロセスは、不活性ガス、例えば窒素ガス及び/又は脱イオン水スプレーを使用してウェハの表面を保護する単一ウェハツールにおいて、この構造の位置決めをする工程を含む。あるいは表面は、この表面上にフォトレジスト又はその他の保護コーティングポリマーの厚い層を堆積することによって、保護してもよい。言い換えれば、損傷を受けていない、ブランケット処理された又はパターニングされた層を含むこの構造の表面は、裏面及び/又ははす縁を清浄化するときに、除去組成物に曝されない。別の実施形態では、表面及び裏面/はす縁の両方が除去組成物に曝されて、表面(例えば、低k誘電体材料)及び裏面/はす縁(例えば、ポリマー含有蓄積体及び銅含有材料)から同時に材料が除去される。 [0088] In other aspects, the removal composition of the first or second aspect may be used to clean a microelectronic device structure, and contains a polymer on the backside and / or the edge of the structure. Accumulator is removed. In one embodiment, the process of removing the polymer-containing deposit from the backside and / or the edge of the structure is a simple process that uses an inert gas, such as nitrogen gas and / or deionized water spray, to protect the wafer surface. One wafer tool includes positioning the structure. Alternatively, the surface may be protected by depositing a thick layer of photoresist or other protective coating polymer on the surface. In other words, the surface of this structure, including an undamaged, blanketed or patterned layer, is not exposed to the removal composition when cleaning the backside and / or the lotus edge. In another embodiment, both the front and back / foil edges are exposed to the removal composition so that the front (eg, low-k dielectric material) and back / foil edges (eg, polymer-containing accumulator and copper-containing) The material is simultaneously removed from the material.

[0089] マイクロエレクトロニクスデバイスウェハは、現場から離れて又は内部で再加工してもよい。内部での再加工及びリサイクルには、全体収率が上昇し、全体コストが減少し、診断プロセスから再加工までのサイクル時間が短縮されるという利点がある。 [0089] The microelectronic device wafer may be reworked off-site or internally. Internal rework and recycling have the advantage of increasing overall yield, reducing overall cost, and reducing the cycle time from the diagnostic process to rework.

[0090] 除去の適用例では、本明細書に記述される除去組成物は、例えばデバイスの表面に除去組成物をスプレーすることによって、あるいは除去可能な材料を含むデバイスを(ある体積の除去組成物に)浸漬することによって、あるいはデバイスと、別の材料、例えばパッド、又は表面に吸着された除去組成物を有する繊維状吸着アプリケータ要素とを接触させることによって、あるいは除去される材料を含むデバイスと、再循環する除去組成物とを接触させることによって、あるいは除去組成物と除去される材料とを除去可能に接触させる、任意のその他の適切な手段、手法、又は技法によって、表面に除去される材料を有する不合格とされたマイクロエレクトロニクスデバイスに、任意の適切な手法で接触させる。接触条件には、除去可能な材料を除去するのに十分な時間及び状態が含まれる。さらに、バッチ又は単一ウェハの処理が、本明細書では企図される。除去組成物を使用する除去プロセスには、除去組成物中でのデバイスの静的清浄化、動的清浄化、又はデバイスの動的清浄化の後に静的清浄化を含む逐次処理工程を含めてもよく、動的及び静的工程のそれぞれは、そのような交互に行われる工程のサイクルにおいて交互に繰り返し実施される。本明細書に開示される接触の選択肢のいずれかは、マイクロエレクトロニクスデバイスから除去される材料の除去を助けるために、超音波処理をさらに含んでもよい。 [0090] In removal applications, the removal composition described herein may be a device containing a removable material (eg, a volume of removal composition), for example, by spraying the removal composition onto the surface of the device. Including the material to be removed by dipping (into the object) or by contacting the device with another material, such as a pad, or a fibrous adsorption applicator element having a removal composition adsorbed on the surface Remove to the surface by contacting the device with the recirculating removal composition, or any other suitable means, technique, or technique that removably contacts the removal composition and the material to be removed. The rejected microelectronic device having the material to be made is contacted in any suitable manner. Contact conditions include time and condition sufficient to remove the removable material. Furthermore, batch or single wafer processing is contemplated herein. Removal processes using the removal composition include static cleaning of the device in the removal composition, dynamic cleaning, or sequential processing steps that include static cleaning after dynamic cleaning of the device. Alternatively, each of the dynamic and static processes may be performed alternately in a cycle of such alternating processes. Any of the contact options disclosed herein may further include sonication to assist in the removal of material removed from the microelectronic device.

[0091] 本明細書に記述される除去組成物は、Verteq単一ウェハメガソニックGoldfinger、OnTrakシステムDDS(両面スクラバ)、Laurell回転スプレーツール、SEZ単一ウェハスプレーリンス、Applied Materials Mirra−Mesa(商標)/Reflexion(商標)/Reflexion LK(商標)、及びMegasonicバッチ湿式ベンチシステムを含めた、広く様々な従来の清浄化ツールと共に使用してもよい。例えば、本明細書に記述される少なくとも1種の材料を除去するプロセスは、除去される(1種又は複数の)材料の表面に、また除去される(1種又は複数の)材料と基板又は保持される(1つ又は複数の)層との界面に、機械的破壊が引き起こされるよう、1工程湿式化学プロセスに、メガソニックなどの物理的構成要素を付加することによって支援してもよい。 [0091] The removal compositions described herein include Verteq Single Wafer Megasonic Goldfinger, OnTrak System DDS (Double Sided Scrubber), Laurell Rotating Spray Tool, SEZ Single Wafer Spray Rinse, Applied Materials Mirar-Mesa ™ ) / Reflexion ™ / Reflexion LK ™ and may be used with a wide variety of conventional cleaning tools, including Megasonic batch wet bench systems. For example, the process of removing at least one material described herein can be performed on the surface of the material (s) to be removed and the material (s) and substrate or materials to be removed. One-step wet chemical processes may be supported by adding physical components such as megasonics so that mechanical failure is caused at the interface with the retained layer (s).

[0092] マイクロエレクトロニクスデバイス製造操作に適用されるように、除去組成物は、マイクロエレクトロニクスデバイス構造から、前記構造を再生し、再加工し、リサイクルし、及び/又は再使用するために、低k誘電体層、高k誘電体材料、エッチング停止層、金属積層材料、窒化物、ケイ化物、酸化物、強誘電体、障壁層材料、フォトレジスト、ポストエッチング残渣、ARC材料、ポリマー含有蓄積体、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の材料を除去するのに有効に用いられる。さらに、除去組成物は、CDO及びその他の低k誘電体材料の除去を加速させるために化学機械研磨プロセス中に、又はポストCMP残渣材料を除去するためにポストCMPプロセス中に、使用してもよいことを理解すべきである。 [0092] As applied to microelectronic device manufacturing operations, the removal composition is low-k to regenerate, rework, recycle, and / or reuse the structure from the microelectronic device structure. Dielectric layer, high-k dielectric material, etch stop layer, metal laminate material, nitride, silicide, oxide, ferroelectric, barrier layer material, photoresist, post-etch residue, ARC material, polymer-containing reservoir, Effectively used to remove at least one material selected from the group consisting of doped regions and combinations thereof. Further, the removal composition may be used during a chemical mechanical polishing process to accelerate the removal of CDO and other low k dielectric materials, or during a post CMP process to remove post CMP residual material. It should be understood.

[0093] 低k誘電体層、高k誘電体材料、エッチング停止層、金属積層材料、窒化物、ケイ化物、酸化物、強誘電体、障壁層、フォトレジスト、ポストエッチング残渣、ARC材料、ポリマー含有蓄積体、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の材料を、これらを表面に有するマイクロエレクトロニクスデバイス構造から除去する場合、除去組成物は、典型的には、約30秒〜約60分間にわたり、より好ましくは約75秒〜約5分にわたり、但し好ましい時間は、除去される(1つ又は複数の)層の厚さに左右されるものであり、約20℃〜約90℃の範囲、好ましくは約20℃〜約70℃の範囲、最も好ましくは約20℃〜約50℃の範囲の温度で、デバイス構造に接触させる。エッチング停止層が除去される場合、接触時間は、エッチング停止層の厚さに応じて、約20℃〜約80℃の範囲の温度で約5分〜約3時間の範囲にあってもよい。そのような接触時間及び温度は例示的なものであり、本発明の広い実施範囲内にある、デバイス構造から(1種又は複数の)材料を実質的に除去するのに有効な任意のその他の適切な時間及び温度条件を用いてもよい。 [0093] Low-k dielectric layer, high-k dielectric material, etch stop layer, metal laminate material, nitride, silicide, oxide, ferroelectric, barrier layer, photoresist, post-etch residue, ARC material, polymer When removing at least one material selected from the group consisting of a containing reservoir, a doped region, and combinations thereof from a microelectronic device structure having them on the surface, the removal composition typically has about Over 30 seconds to about 60 minutes, more preferably over about 75 seconds to about 5 minutes, but the preferred time depends on the thickness of the layer (s) being removed and is about 20 ° C. The device structure is contacted at a temperature in the range of ~ 90 ° C, preferably in the range of about 20 ° C to about 70 ° C, and most preferably in the range of about 20 ° C to about 50 ° C. If the etch stop layer is removed, the contact time may be in the range of about 5 minutes to about 3 hours at a temperature in the range of about 20 ° C. to about 80 ° C., depending on the thickness of the etch stop layer. Such contact times and temperatures are exemplary and any other effective for substantially removing material (s) from the device structure within the broad scope of the invention. Appropriate time and temperature conditions may be used.

[0094] 所望の除去動作を実現した後、除去組成物は、本明細書に開示される組成物の所与の最終用途の分野で望まれ有効となり得るように、例えば濯ぎ、洗浄、乾燥、又はその他の(1つ又は複数の)除去工程によって、この組成物が予め付着されたマイクロエレクトロニクスデバイスから容易に除去することができる。例えば、マイクロエレクトロニクスデバイスを、脱イオン水で濯いでもよい。さらに、マイクロエレクトロニクスデバイスを、窒素ガス、イソプロパノール、又はSEZ(回転プロセス技術)で乾燥してもよい。 [0094] After achieving the desired removal operation, the removal composition may be desired, for example, rinsed, washed, dried, etc., as may be desired and effective in the field of a given end use of the compositions disclosed herein. Alternatively, other (one or more) removal steps can readily remove the composition from the pre-deposited microelectronic device. For example, the microelectronic device may be rinsed with deionized water. Furthermore, the microelectronic device may be dried with nitrogen gas, isopropanol, or SEZ (rotary process technology).

[0095] 除去組成物は、この組成物と、拒絶されたマイクロエレクトロニクスデバイス構造との接触中に、統計的プロセス制御(SPC)を使用してモニタし制御してもよい。例えば、除去組成物浴のSPCをモニタすることができ、浴の温度、浴のpH、浴の主成分の濃度、副生成物の濃度、及び供給化学物質純度を含むいくつかの入力を制御することができる。好ましくは、除去組成物は、インラインモニタリングを使用してモニタされ、インラインサンプリング装置は、浴の重量損失(水及び/又はアミンの損失の指標である。)、フッ化物濃度、H濃度、pHなどをモニタするために、標準的な分析ツールと通信可能に連結することができる。これらパラメータの少なくとも1つをモニタ、及び/又は制御することによって、除去組成物浴の寿命を延ばすことができ、したがってプロセス効率が最大限になる。SPCの目的は、当業者によって容易に決定されるように、処理が経時的に行われるときに除去組成物のいくつかのパラメータの実質的な定常状態を維持することである。SPCに関しては、下記の特許出願の開示のそれぞれの全体が、参照により本明細書に組み込まれる:「METHOD FOR STRIPPING MATERIAL FOR WAFER RECLAMATION」という名称の、2007年3月31日に出願された米国仮特許出願第60/909,428号、及び「METHOD FOR STRIPPING MATERIAL FOR WAFER RECLAMATION」という名称の、2007年6月13日に出願された米国仮特許出願第60/943,736号。 [0095] The removal composition may be monitored and controlled using statistical process control (SPC) during contact of the composition with the rejected microelectronic device structure. For example, the SPC of the removal composition bath can be monitored and controls several inputs including bath temperature, bath pH, bath main component concentration, by-product concentration, and feed chemical purity. be able to. Preferably, the removal composition is monitored using in-line monitoring and the in-line sampling device is a weight loss of the bath (which is an indicator of water and / or amine loss), fluoride concentration, H 2 O 2 concentration. Can be communicatively coupled with standard analytical tools to monitor pH, etc. By monitoring and / or controlling at least one of these parameters, the life of the removal composition bath can be extended, thus maximizing process efficiency. The purpose of SPC is to maintain a substantial steady state of some parameters of the removal composition as the treatment is performed over time, as readily determined by those skilled in the art. With respect to SPC, the entire disclosure of each of the following patent applications is incorporated herein by reference: US provisional filed March 31, 2007, named “METHOD FOR STRIPPPING MATERIAL FOR WAFER RECLAMATION”. Patent application 60 / 909,428, and US Provisional Patent Application 60 / 943,736, filed June 13, 2007, entitled "METHOD FOR STRIPPPING MATERIAL FOR WAFER RECLAMATION".

[0096] 驚くべきことに、本発明者らは、同じマイクロエレクトロニクスデバイス構造を再生できることを発見し、例えば、(1種又は複数の)材料が除去されて、複数回にわたり、基板が再生され、あるいは基板と除去される(1つ又は複数の)層とが再生される。例えば同じ基板を、少なくとも1つの材料層が堆積されるように処理し、その後で、堆積される方法及び材料に応じて2回以上、好ましくは5回以上、より好ましくは10回以上、最も好ましくは20回以上再生することができ、前記再生は、本明細書に記述される再生要件をたびたび満足させるものである。再生プロセスは、好ましくは、単一工程除去プロセス(即ち、除去される(1種又は複数の)材料のすべては、単一工程で単一組成物を使用して、そのように行われる。)であり、好ましくは、後続の処理の前に、再生後の平坦化を必要としない。とは言うものの、当業者なら、いくつかの堆積プロセス及びいくつかの材料が基板に損傷を与え、したがって、基板の再生を首尾良く行うには、多数の溶液及び/又はいくらかの平坦化が必要となる可能性があることを理解すべきである。平坦化は、基板を再生することができる回数を限定する作用を有することになる。 [0096] Surprisingly, the inventors have discovered that the same microelectronic device structure can be regenerated, eg, the material (s) is removed and the substrate is regenerated multiple times, Alternatively, the substrate and the layer (s) to be removed are regenerated. For example, the same substrate is treated such that at least one material layer is deposited, and then more than once, preferably more than 5, more preferably more than 10 times, most preferably depending on the method and material being deposited. Can be replayed 20 times or more, and the replay often satisfies the replay requirements described herein. The regeneration process is preferably a single step removal process (ie, all of the material (s) to be removed is so done using a single composition in a single step). Preferably, post-regeneration flattening is not required before subsequent processing. That said, some deposition processes and some materials can damage the substrate and thus require a large number of solutions and / or some planarization to successfully regenerate the substrate. Should be understood. Planarization has the effect of limiting the number of times the substrate can be regenerated.

[0097] 複数工程の除去プロセスにおいて、少なくとも1つの工程が、本明細書に開示される少なくとも1種の除去組成物の使用を必要とすることが考えられることを、理解すべきである。例えば除去プロセスは、第1の工程が、基板及び除去される少なくとも1種の材料を有するマイクロエレクトロニクスデバイスと、本明細書に記述される除去組成物とを、前記少なくとも1種の材料をマイクロエレクトロニクスデバイスから除去するのに十分な時間及び十分な条件下(例えば、本明細書に開示されるように)で接触させる工程と、この基板を研磨して、表面損傷を除去する工程とを含み、この研磨条件が当技術分野で周知のものである、2工程プロセスであってもよい。 [0097] It should be understood that in a multi-step removal process, it is contemplated that at least one step may require the use of at least one removal composition disclosed herein. For example, a removal process may include a microelectronic device having a first step with a substrate and at least one material to be removed and a removal composition described herein, wherein the at least one material is microelectronics. Contacting for a sufficient time and under conditions sufficient to remove from the device (e.g., as disclosed herein) and polishing the substrate to remove surface damage; This polishing condition may be a two-step process that is well known in the art.

[0098] さらに、本発明者らは、驚くべきことに、同じマイクロエレクトロニクスデバイス構造を再加工できることを発見し、例えばフォトレジスト及び(1種又は複数の)ARC材料は、10回以上、マイクロエレクトロニクスデバイス構造から除去される。例えば、同じ構造をフォトリソグラフィにより処理し、その後、再加工して、誤って位置決めされたフォトレジストパターンを2回以上、好ましくは5回以上、最も好ましくは10回以上除去することができ、前記再加工は、保持される(1つ又は複数の)層に実質的に損傷を与えないものである。さらに、本発明者らは、驚くべきことに、マイクロエレクトロニクスデバイス構造の裏面及びはす縁を容易に清浄化することができ、例えばポリマー含有蓄積体及び/又は金属が、当技術分野で使用される方法(例えば、物理研磨、ドライプラズマエッチング、燃焼など)を頼りにすることなくマイクロエレクトロニクスデバイス構造の裏面及びはす縁から除去されることを発見した。 [0098] In addition, the inventors have surprisingly discovered that the same microelectronic device structure can be reworked, eg, photoresist and ARC material (s) have been microelectronics more than 10 times. Removed from device structure. For example, the same structure can be processed by photolithography and then reworked to remove the misaligned photoresist pattern more than once, preferably more than 5 times, most preferably more than 10 times, Rework is one that does not substantially damage the retained layer (s). Furthermore, the inventors have surprisingly been able to easily clean the backside and the edge of the microelectronic device structure, for example polymer-containing accumulators and / or metals are used in the art. Have been found to be removed from the backside and the edge of the microelectronic device structure without resorting to methods (eg, physical polishing, dry plasma etching, combustion, etc.).

[0099] 別の態様では、マイクロエレクトロニクスデバイスを含む物品が開示され、前記マイクロエレクトロニクスデバイスは、本明細書に記述される方法を使用して再生され、再加工され、リサイクルされ、及び/又は再使用されたマイクロエレクトロニクス構造又はマイクロエレクトロニクスデバイス基板を含むものであり、前記方法は、マイクロエレクトロニクスデバイス構造と第1又は第2の態様の除去組成物とを、低k誘電体材料、高k誘電体材料、エッチング停止層、金属積層材料、窒化物、ケイ化物、酸化物、強誘電体、障壁層材料、フォトレジスト、ポストエッチング残渣、ARC材料、ポリマー含有蓄積体、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の材料を実質的に除去するのに十分な時間及び十分な条件下で接触させる工程を含んでいる。リサイクル又は再使用されたマイクロエレクトロニクスデバイス構造又はマイクロエレクトロニクスデバイス基板は、引き続き、後続のマイクロエレクトロニクスデバイス製造プロセスにおいて、低k誘電体層、高k誘電体材料、エッチング停止層、金属積層材料、窒化物層、ケイ化物層、酸化物層、強誘電体層、障壁層材料、ドープ領域、及びこれらの組合せの少なくとも1種を含む、基板の表面に堆積された1つ又は複数の層を含んでいてもよい。 [0099] In another aspect, an article comprising a microelectronic device is disclosed, wherein the microelectronic device is regenerated, reprocessed, recycled, and / or recycled using the methods described herein. Including a used microelectronic structure or a microelectronic device substrate, the method comprising a microelectronic device structure and the removal composition of the first or second aspect, a low-k dielectric material, a high-k dielectric. From materials, etch stop layers, metal laminate materials, nitrides, silicides, oxides, ferroelectrics, barrier layer materials, photoresists, post-etch residues, ARC materials, polymer-containing reservoirs, doped regions, and combinations thereof When sufficient to substantially remove at least one material selected from the group And it includes the step of contacting, under conditions sufficient. Recycled or reused microelectronic device structures or microelectronic device substrates are subsequently used in subsequent microelectronic device manufacturing processes in low-k dielectric layers, high-k dielectric materials, etch stop layers, metal laminate materials, nitrides Including one or more layers deposited on the surface of the substrate, including at least one of a layer, a silicide layer, an oxide layer, a ferroelectric layer, a barrier layer material, a doped region, and combinations thereof. Also good.

[0100] さらに別の態様では、再加工されたマイクロエレクトロニクスデバイス構造、又は再加工されたマイクロエレクトロニクスデバイス基板と、低k誘電体材料、高k誘電体材料、エッチング停止層、金属積層材料、窒化物、ケイ化物、酸化物、強誘電体、障壁層材料、フォトレジスト、ARC材料、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1つの追加の材料層とを含む物品について記述されており、この少なくとも1つの追加の材料層は、再加工の後に、マイクロエレクトロニクスデバイス構造又は基板上に堆積されたものである。物品はさらに、マイクロエレクトロニクスデバイス構造又は基板と少なくとも1つの追加の材料層との間に位置決めされた中間層を含んでいてもよい。 [0100] In yet another aspect, a reworked microelectronic device structure or a reworked microelectronic device substrate and a low-k dielectric material, a high-k dielectric material, an etch stop layer, a metal laminate material, nitriding Articles comprising: at least one additional material layer selected from the group consisting of oxides, silicides, oxides, ferroelectrics, barrier layer materials, photoresists, ARC materials, doped regions, and combinations thereof are described. The at least one additional material layer is deposited on the microelectronic device structure or substrate after rework. The article may further include an intermediate layer positioned between the microelectronic device structure or substrate and the at least one additional material layer.

[0101] 別の態様では、本明細書に記述される方法を使用して再生され、再加工され、リサイクルされ、及び/又は再使用されたマイクロエレクトロニクスデバイス構造又はマイクロエレクトロニクスデバイス基板を含んだマイクロエレクトロニクスデバイスを含む物品を製造する方法が開示されており、前記方法は、マイクロエレクトロニクスデバイス構造と第1又は第2の態様の除去組成物とを、低k誘電体材料、高k誘電体材料、エッチング停止層、金属積層材料、窒化物、ケイ化物、酸化物、強誘電体、障壁層材料、フォトレジスト、ポストエッチング残渣、ARC材料、ポリマー含有蓄積体、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の材料が実質的に除去されるよう十分な時間及び十分な条件下で接触させる工程を含むものである。この物品を製造する方法は、後続のマイクロエレクトロニクスデバイス製造プロセスにおいて、低k誘電体層、高k誘電体材料、エッチング停止層、金属積層材料、窒化物層、ケイ化物層、酸化物層、強誘電体層、障壁層、ドープ領域、及びこれらの組合せの少なくとも1種を含む1つ又は複数の層を、リサイクルされ又は再使用されたマイクロエレクトロニクスデバイス構造又はマイクロエレクトロニクスデバイス基板上に堆積する工程を、さらに含んでいてもよい。 [0101] In another aspect, a microelectronic device structure or microelectronic device substrate that has been regenerated, reworked, recycled, and / or reused using the methods described herein. Disclosed is a method of manufacturing an article comprising an electronic device, the method comprising a microelectronic device structure and a removal composition of the first or second aspect, a low-k dielectric material, a high-k dielectric material, Group consisting of etch stop layer, metal laminate material, nitride, silicide, oxide, ferroelectric, barrier layer material, photoresist, post etch residue, ARC material, polymer containing reservoir, doped region, and combinations thereof For a sufficient time and under sufficient conditions so that at least one material selected from It is intended to include tactile causes process. The method of manufacturing this article includes a low-k dielectric layer, a high-k dielectric material, an etch stop layer, a metal laminate material, a nitride layer, a silicide layer, an oxide layer, a strong Depositing one or more layers comprising at least one of a dielectric layer, a barrier layer, a doped region, and combinations thereof on a recycled or reused microelectronic device structure or microelectronic device substrate. , May further be included.

[0102] さらに別の態様では、本発明は、マイクロエレクトロニクスデバイス構造の裏面及び/又ははす縁を清浄化する方法に関し、前記方法は:窒素ガス及び/又は脱イオン水スプレーを使用して構造の表面を保護するツールに、構造を位置決めする工程と;構造の裏面及び/又ははす縁と、第1又は第2の態様の除去組成物とを接触させる工程とを含み、この除去組成物は、マイクロエレクトロニクスデバイス構造の裏面及び/又ははす縁からポリマー含有蓄積体を実質的に除去するものである。 [0102] In yet another aspect, the present invention relates to a method for cleaning the backside and / or lotus edge of a microelectronic device structure, said method comprising: using nitrogen gas and / or deionized water spray. Positioning the structure on a tool that protects the surface of the structure; contacting the back surface and / or the edge of the structure with the removal composition of the first or second aspect, the removal composition Substantially removes polymer-containing deposits from the back and / or the edge of the microelectronic device structure.

[0103] 処理後、本明細書に記述される組成物を、製作設備内での廃水流の化学的酸素要求量(COD)を低下させるために、さらに処理してもよい。 [0103] After treatment, the compositions described herein may be further treated to reduce the chemical oxygen demand (COD) of the wastewater stream within the fabrication facility.

[0104] 別の態様では、消泡剤が、使用後廃棄される前に、廃棄除去組成物に添加される。 [0104] In another embodiment, the antifoaming agent is added to the waste removal composition before being discarded after use.

[0105] 濃縮した除去組成物を、下記の通り調製した:NH4Cl 6.75重量%、水43.6重量%、HF(49%)30重量%、HEDP(60%)15重量%、Dowfax3B2(45%)4.5重量%(Dowfax3B2は、45重量%溶液として購入され、そのまま使用される。)、及び消泡剤0.15重量%であり、この消泡剤は、Plurafac(登録商標)RA20(配合物A)、Surfonic(登録商標)Pl(配合物B)、Pluronic(登録商標)17R2(配合物C)、Pluronic(登録商標)17R4(配合物D)、又はPluronic(登録商標)25R2(配合物E)の1種であった。濃縮した組成物のそれぞれを、使用前に、30%過酸化水素で2:1に希釈した(即ち、濃縮物が2部、30%H2O2が1部)。 [0105] A concentrated removal composition was prepared as follows: NH4Cl 6.75 wt%, water 43.6 wt%, HF (49%) 30 wt%, HEDP (60%) 15 wt%, Dowfax 3B2 ( 45%) 4.5% by weight (Dowfax3B2 is purchased as a 45% by weight solution and used as is), and 0.15% by weight of the antifoam, which is added to Plurafac® RA20 (Formulation A), Surfonic® Pl (Formulation B), Pluronic® 17R2 (Formulation C), Pluronic® 17R4 (Formulation D), or Pluronic® 25R2 One of (Formulation E). Each of the concentrated compositions was diluted 2: 1 with 30% hydrogen peroxide (ie, 2 parts concentrate, 1 part 30% H 2 O 2) before use.

[0106] 別の組の濃縮除去組成物は、下記の通り調製した:NH4Cl 6.75重量%、水47.5重量%、HF(49%)30重量%、HEDP(60%)15重量%、及び消泡剤0.75重量%であり、この消泡剤は、Plurafac(登録商標)RA20(配合物F)、Surfonic(登録商標)Pl(配合物G)、Pluronic(登録商標)17R2(配合物H)、Pluronic(登録商標)17R4(配合物I)、又はPluronic(登録商標)25R2(配合物J)の1種であった。濃縮した組成物のそれぞれを、使用前に、30%過酸化水素で2:1に希釈した(即ち、濃縮物が2部、30%H2O2が1部)。 [0106] Another set of concentrated removal compositions was prepared as follows: NH4Cl 6.75 wt%, water 47.5 wt%, HF (49%) 30 wt%, HEDP (60%) 15 wt% , And 0.75% by weight of an antifoam agent, such as Plurafac® RA20 (Formulation F), Surfonic® Pl (Formulation G), Pluronic® 17R2 ( Formulation H), Pluronic® 17R4 (Formulation I), or Pluronic® 25R2 (Formulation J). Each of the concentrated compositions was diluted 2: 1 with 30% hydrogen peroxide (ie, 2 parts concentrate, 1 part 30% H 2 O 2) before use.

[0107] 配合物Kは、NH4Cl 6.75重量%、水43.45重量%、HF(49%)30重量%、HEDP(60%)15重量%、Dowfax3B2(45%)4.5重量%、及びPluronic(登録商標)25R2 0.6重量%を含む。配合物Kを、使用前に、30%過酸化水素で2:1に希釈した(即ち、濃縮物が2部、30%H2O2が1部)。 [0107] Formulation K was NH4Cl 6.75 wt%, water 43.45 wt%, HF (49%) 30 wt%, HEDP (60%) 15 wt%, Dowfax3B2 (45%) 4.5 wt% And Pluronic® 25R2 0.6% by weight. Formulation K was diluted 2: 1 with 30% hydrogen peroxide before use (ie, 2 parts concentrate, 1 part 30% H2O2).

[0108] 配合物Lは、NH4Cl 6.75重量%、水43.重量%、HF(49%)30重量%、HEDP(60%)15重量%、Dowfax3B2(45%)4.5重量%、及びPluronic(登録商標)25R2 0.3重量%を含む。配合物Lを、使用前に、30%過酸化水素で2:1に希釈した(即ち、濃縮物が2部、30%H2O2が1部)。 [0108] Formulation L is 6.75 wt% NH4Cl, 43. water. % By weight, HF (49%) 30% by weight, HEDP (60%) 15% by weight, Dowfax 3B2 (45%) 4.5% by weight, and Pluronic® 25R2 0.3% by weight. Formulation L was diluted 2: 1 with 30% hydrogen peroxide (ie 2 parts concentrate, 1 part 30% H 2 O 2) before use.

[0109] SiN、TEOS、及び銅の、ブランケット処理したウェハを、室温(21±1℃)で、H2O2で希釈した配合物中に静的に浸漬し、それぞれのエッチング速度を決定した。エッチング速度の結果を、以下の表1に示す: [0109] A blanketed wafer of SiN, TEOS, and copper was statically immersed in a formulation diluted with H 2 O 2 at room temperature (21 ± 1 ° C.) to determine the etch rate of each. The etch rate results are shown in Table 1 below:

Figure 2012504871
Figure 2012504871

[0110] とりわけ、サンプルのすべては、SiN、TEOS、及び銅に関して同様のエッチング速度を示した。 [0110] In particular, all of the samples exhibited similar etch rates for SiN, TEOS, and copper.

[0111] 起泡試験を、これら配合物に関して行い、これら配合物を、指示される温度で5秒間、ボトル内で振盪させ、溶液の表面より高い泡の高さを測定した。結果を表2〜4に示す。対照は、消泡剤を含んでおらず、その代わりに追加の水を用いる。 [0111] Foaming tests were performed on these formulations, which were shaken in the bottle for 5 seconds at the indicated temperature, and the height of the foam above the surface of the solution was measured. The results are shown in Tables 2-4. The control does not contain an antifoam agent and uses additional water instead.

Figure 2012504871
Figure 2012504871

Figure 2012504871
Figure 2012504871

Figure 2012504871
Figure 2012504871

[0112] 消泡剤のすべては、わずか2分以内で組成物の起泡を約1cmに抑制したことがわかる。 [0112] It can be seen that all of the antifoaming agents suppressed foaming of the composition to about 1 cm within only 2 minutes.

[0113] 銅負荷実験も行った。例えば、300mmの直径を有し、USG上に5000ÅのCu厚を有する1500ウェハと均等な銅負荷は、USG上のCu厚が16kÅである1枚の200mmウェハを、溶液50gに、室温で5分間沈めることによって実現することができた。Plurafac(登録商標)RA20、Surfonic(登録商標)P1、及びPluronic(登録商標)25R2を含む配合物は、均等な1000枚のウェハに関し、室温で最良の負荷性能を示すことが決定され、処理された銅クーポンには、明らかな穴及びわずかな粒子も観察されなかった。 [0113] Copper loading experiments were also conducted. For example, a copper load equivalent to a 1500 wafer having a diameter of 300 mm and a Cu thickness of 5000 mm on the USG is obtained by adding one 200 mm wafer with a Cu thickness of 16 kA on the USG to 50 g of the solution at room temperature. This was achieved by submerging for a minute. Formulations containing Plurafac® RA20, Surfonic® P1, and Pluronic® 25R2 have been determined and processed to provide the best load performance at room temperature for an even 1000 wafers No clear holes and few particles were observed in the copper coupon.

[0114] 濃縮した除去組成物を、下記の通り調製した:NH4Cl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3.04重量%、Pluronic(登録商標)25R2 0.104重量%、H2O2(30%)33.4重量%、表5に示される量の追加の種、及び残分としての水であり、追加の種は、ジエチレングリコールモノブチルエーテル(以下、BC)、ジプロピレングリコールモノプロピルエーテル(以下、DPGPE)、又はプロピレングリコール(以下、PG)である。実施例1で述べた起泡高さ実験を、室温で行い、その結果を表5に示す。 [0114] A concentrated removal composition was prepared as follows: NH4Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3.04 wt% %, Pluronic® 25R2 0.104 wt%, H 2 O 2 (30%) 33.4 wt%, additional species in the amount shown in Table 5, and water as the balance, the additional species being Diethylene glycol monobutyl ether (hereinafter, BC), dipropylene glycol monopropyl ether (hereinafter, DPGPE), or propylene glycol (hereinafter, PG). The foaming height experiment described in Example 1 was performed at room temperature and the results are shown in Table 5.

Figure 2012504871
Figure 2012504871

[0115] 下記の配合物を調製した:
配合物M:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Super Defoamer 225 0.1重量%、H(30%)33.4重量%、水29重量%

配合物N:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Pluronic(登録商標)31R2 0.1重量%、H(30%)33.4重量%、水29重量%

配合物O:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Pluronic(登録商標)25R2 0.5重量%、H(30%)33.4重量%、硫酸トルエンナトリウム2重量%、水26.6重量%

配合物P:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Super Defoamer 225 0.07重量%、H(30%)33.4重量%、水29.03重量%

配合物Q:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Super Defoamer 225 0.02重量%、H(30%)33.4重量%、水29.08重量%

配合物R:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Super Defoamer 225 0.07重量%、H(30%)33.4重量%、Pluronic(登録商標)25R2 0.1重量%、水28.93重量%

配合物S:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Super Defoamer 225 0.02重量%、H(30%)33.4重量%、Pluronic(登録商標)25R2 0.1重量%、水28.98重量%

配合物T:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Pluronic(登録商標)31R2 0.3重量%、H(30%)33.4重量%、PG 2.5重量%、水26.3重量%

配合物U:NHCl 4.5重量%、HF(49%)20重量%、HEDP(60%)10重量%、Dowfax3B2(45%)3重量%、Pluronic(登録商標)31R2 0.3重量%、H(30%)33.4重量%、PG 5重量%、水23.8重量%
[0115] The following formulations were prepared:
Formulation M: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3 wt%, Super Defoamer 225 0.1 wt%, H 2 O 2 (30%) 33.4% by weight, water 29% by weight

Formulation N: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3 wt%, Pluronic (R) 31R2 0.1 wt %, H 2 O 2 (30%) 33.4% by weight, water 29% by weight

Formulation O: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3 wt%, Pluronic (R) 25R2 0.5 wt %, H 2 O 2 (30%) 33.4% by weight, toluene sodium sulfate 2% by weight, water 26.6% by weight

Formulation P: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3 wt%, Super Defomer 225 0.07 wt%, H 2 O 2 (30%) 33.4% by weight, water 29.03% by weight

Formulation Q: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3 wt%, Super Defoamer 225 0.02 wt%, H 2 O 2 (30%) 33.4% by weight, water 29.08% by weight

Formulation R: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3 wt%, Super Defoamer 225 0.07 wt%, H 2 O 2 (30%) 33.4% by weight, Pluronic® 25R2 0.1% by weight, water 28.93% by weight

Formulation S: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3 wt%, Super Defoamer 225 0.02 wt%, H 2 O 2 (30%) 33.4% by weight, Pluronic® 25R2 0.1% by weight, water 28.98% by weight

Formulation T: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax 3B2 (45%) 3 wt%, Pluronic® 31R2 0.3 wt% %, H 2 O 2 (30%) 33.4% by weight, PG 2.5% by weight, water 26.3% by weight

Formulation U: NH 4 Cl 4.5 wt%, HF (49%) 20 wt%, HEDP (60%) 10 wt%, Dowfax3B2 (45%) 3 wt%, Pluronic (R) 31R2 0.3 wt %, H 2 O 2 (30%) 33.4% by weight, PG 5% by weight, water 23.8% by weight

[0116] 実施例1で述べた起泡高さ実験を、室温で行い、その結果を表6に示す。 [0116] The foaming height experiment described in Example 1 was performed at room temperature, and the results are shown in Table 6.

Figure 2012504871
Figure 2012504871

[0117] 実施例1に記述される配合物Eを、調製した。この配合物を、使用前に30%過酸化水素で2:1に希釈した(即ち、濃縮物が2部、30%H2O2が1部)。Hを含む配合物に、表7に示される銅イオンを投入した。タングステンウェハ(約80nmの厚さの障壁層上に、約5600Åの厚さ)を、5又は10分間、21℃で組成物中に浸漬し、取り出し、DI水で濯ぎ、このウェハの電子顕微鏡写真を得た。 [0117] Formulation E described in Example 1 was prepared. This formulation was diluted 2: 1 with 30% hydrogen peroxide before use (ie, 2 parts concentrate, 1 part 30% H2O2). Copper ions shown in Table 7 were added to a formulation containing H 2 O 2 . A tungsten wafer (about 5600 mm thick on a barrier layer about 80 nm thick) is immersed in the composition at 21 ° C. for 5 or 10 minutes, removed, rinsed with DI water, and an electron micrograph of this wafer Got.

Figure 2012504871
Figure 2012504871

[0118] 配合物E及びAB〜AE中への浸漬にそれぞれ関連した結果に対応する、図1A〜1Eの電子顕微鏡写真を参照すると、組成物の全重量に対して0.4重量%ほどに低い濃度で銅イオンが存在すると、タングステン層及びその下に在る障壁層が、室温で、わずか10分以内で完全に除去されることがわかる。 [0118] Referring to the electron micrographs of FIGS. 1A-1E, corresponding to the results associated with immersion in Formulations E and AB-AE, respectively, on the order of 0.4% by weight relative to the total weight of the composition. It can be seen that in the presence of low concentrations of copper ions, the tungsten layer and the underlying barrier layer are completely removed within only 10 minutes at room temperature.

[0119] 下記の配合物を調製した:
配合物BA:HF(49%)40重量%、Brij 35 0.1重量%、水59.9重量%

配合物BB:HF(49%)40重量%、Brij 35 0.5重量%、水59.5重量%

配合物BC:HF(49%)40重量%、Brij 35 1重量%、水59重量%

配合物BD:HF(49%)40重量%、PEG−PPG−PEGブロックコポリマー0.1重量%、水59.9重量%

配合物BE:HF(49%)40重量%、PEG−PPG−PEGブロックコポリマー0.5重量%、水59.5重量%

配合物BF:HF(49%)40重量%、PEG−PPG−PEGブロックコポリマー1重量%、水59重量%

配合物BG:HF(49%)40重量%、PPG−PEG−PPGブロックコポリマー0.1重量%、水59.9重量%

配合物BH:HF(49%)40重量%、PPG−PEG−PPGブロックコポリマー0.5重量%、水59.5重量%

配合物BI:HF(49%)40重量%、PPG−PEG−PPGブロックコポリマー1重量%、水59重量%

配合物BJ:HF(49%)40重量%、DDBSA 0.1重量%、水59.9重量%

配合物BK:HF(49%)40重量%、DDBSA 0.5重量%、水59.5重量%

配合物BL:HF(49%)40重量%、DDBSA 1重量%、水59重量%

配合物BM:HF(49%)40重量%、Biosoft S−100 0.1重量%、水59.9重量%

配合物BN:HF(49%)40重量%、Biosoft S−100 0.5重量%、水59.5重量%

配合物BO:HF(49%)40重量%、Biosoft S−100 1重量%、水59重量%
[0119] The following formulations were prepared:
Formulation BA: 40% by weight of HF (49%), 0.1% by weight of Brij 35, 59.9% by weight of water

Formulation BB: HF (49%) 40 wt%, Brij 35 0.5 wt%, water 59.5 wt%

Formulation BC: 40% by weight of HF (49%), 1% by weight of Brij 35, 59% by weight of water

Formulation BD: 40% by weight of HF (49%), 0.1% by weight of PEG-PPG-PEG block copolymer, 59.9% by weight of water

Formulation BE: HF (49%) 40%, PEG-PPG-PEG block copolymer 0.5%, water 59.5%

Formulation BF: HF (49%) 40% by weight, PEG-PPG-PEG block copolymer 1% by weight, water 59% by weight

Formulation BG: 40% by weight of HF (49%), 0.1% by weight of PPG-PEG-PPG block copolymer, 59.9% by weight of water

Formulation BH: 40% by weight of HF (49%), 0.5% by weight of PPG-PEG-PPG block copolymer, 59.5% by weight of water

Formulation BI: 40% by weight of HF (49%), 1% by weight of PPG-PEG-PPG block copolymer, 59% by weight of water

Formulation BJ: 40% by weight of HF (49%), 0.1% by weight of DDBSA, 59.9% by weight of water

Formulation BK: HF (49%) 40% by weight, DDBSA 0.5% by weight, water 59.5% by weight

Formulation BL: 40% by weight of HF (49%), 1% by weight of DDBSA, 59% by weight of water

Formulation BM: 40% by weight of HF (49%), 0.1% by weight of Biosoft S-100, 59.9% by weight of water

Formulation BN: 40% by weight of HF (49%), 0.5% by weight of Biosoft S-100, 59.5% by weight of water

Formulation BO: 40% by weight of HF (49%), 1% by weight of Biosoft S-100, 59% by weight of water

[0120] 2.7以上のk値を有するBLACK DIAMONDのF−20切り取り試片(クーポン)(Advantiv、5000Å)を、配合物BA〜BOに70℃で20分間浸漬した。各クーポンに関する各サンプルについて、同じ操作を2回繰り返した。20分の終わりに、化学物質を手作業でセルから吸引し、遠心分離管内に置き、視覚分析を行った。クーポン及び溶液を(適切な場合)、全フィルムが残っている、著しい残渣がある、僅かな残渣がある(辛うじて見える)、又は完全に透明であるとランク付けた。BLACK DIAMOND k>2.7を使用した結果を、表8に示す。 [0120] A BLACK DIAMOND F-20 cut specimen (coupon) (Advantiv, 5000 Å) having a k value of 2.7 or higher was immersed in the blends BA to BO at 70 ° C for 20 minutes. The same operation was repeated twice for each sample for each coupon. At the end of 20 minutes, the chemical was manually aspirated from the cell and placed in a centrifuge tube for visual analysis. Coupons and solutions were ranked (if appropriate) as having the entire film left, significant residue, slight residue (barely visible), or completely transparent. The results using BLACK DIAMOND k> 2.7 are shown in Table 8.

Figure 2012504871
Figure 2012504871

[0121] Brij 35又はPEG−PPG−PEGブロックコポリマーを含む組成物は、クーポンからBLACK DIAMONDのすべてを首尾良く除去し、得られた組成物は、残渣を含んでいなかったことがわかる。さらに、DDBSA及びBiosoft S−100を含む組成物は、クーポンからBLACK DIAMONDのすべてを首尾良く除去した。 [0121] It can be seen that the composition comprising Brij 35 or PEG-PPG-PEG block copolymer successfully removed all of the BLACK DIAMOND from the coupon, and the resulting composition was free of residues. In addition, the composition comprising DDBSA and Biosoft S-100 successfully removed all of the BLACK DIAMOND from the coupon.

[0122] とりわけ、k値が2.4のBLACK DIAMONDのクーポンを用いて配合物の試験をする場合、PEG−PPG−PEG又はDDBSAを含む配合物は、クーポンからBLACK DIAMONDのすべてを効果的に除去し、得られた組成物は残渣を含んでいなかった。 [0122] In particular, when testing a formulation using a BLACK DIAMOND coupon with a k-value of 2.4, a formulation comprising PEG-PPG-PEG or DDBSA effectively removes all of the BLACK DIAMOND from the coupon. After removal, the resulting composition contained no residue.

[0123] 下記の配合物CA及びCBは、COD燃焼技法を使用して、COD試験用に調製した。具体的には、この試験は、水のサンプル中の還元化合物を酸化するのに必要とされる酸素の量を決定する。酸化剤、触媒、及びサンプルを、150℃で2時間処理した:

配合物CA:HF(49%)40重量%、PEG−PPG−PEGブロックコポリマー3重量%、水57重量%

配合物CB:HF(49%)40重量%、PEG−PPG−PEGブロックコポリマー5重量%、水55重量%
[0123] The following formulations CA and CB were prepared for COD testing using the COD combustion technique. Specifically, this test determines the amount of oxygen required to oxidize reducing compounds in a sample of water. The oxidant, catalyst, and sample were treated at 150 ° C. for 2 hours:

Formulation CA: HF (49%) 40%, PEG-PPG-PEG block copolymer 3%, water 57%

Formulation CB: 40% by weight of HF (49%), 5% by weight of PEG-PPG-PEG block copolymer, 55% by weight of water

[0124] 配合物CA及びCBを、水で250:1、500:1、及び1000:1に希釈し、mg/Lを単位としたCOD値を決定した。結果を表9に示す。 [0124] Formulations CA and CB were diluted with water to 250: 1, 500: 1, and 1000: 1 to determine COD values in mg / L. The results are shown in Table 9.

Figure 2012504871
Figure 2012504871

[0125] 材料の除去におけるメガソニックの有用性を示すため、配合物DAを下記の通り調製した;
配合物DA:HF 20.1重量%、ブチルカルビトール57.5重量%、スルホラン1.5重量%、H 10重量%、水10.9重量%
[0125] Formula DA was prepared as follows to demonstrate the utility of megasonics in material removal;
Formulation DA: HF 20.1% by weight, butyl carbitol 57.5% by weight, sulfolane 1.5% by weight, H 2 O 2 10% by weight, water 10.9% by weight

[0126] p−SiCOHを、35℃の配合物DA中に浸漬し、メガソニック下に10分間置いた。k値が3.0、2.7、2.4、及び2.2のp−SiCOHでは、p−SiCOHのすべてが剥離して、残存する残渣はなかった。さらに、残りの表面は滑らかであった。同様に、配合物DAは、メガソニックを使用して、35℃でわずか10分で、ウェハの表面からBLACK DIAMOND IIを除去した。 [0126] p-SiCOH was immersed in formulation DA at 35 ° C and placed under megasonic for 10 minutes. In p-SiCOH having a k value of 3.0, 2.7, 2.4, and 2.2, all of the p-SiCOH was peeled off and no residue remained. Furthermore, the remaining surface was smooth. Similarly, Formulation DA removed BLACK DIAMOND II from the surface of the wafer using Megasonic in just 10 minutes at 35 ° C.

[0127] このように、本発明の特定の態様、特徴、及び例示的な実施形態を参照しながら本発明について述べてきたが、本発明の有用性は、これらに限定されるものではなく、非常に数多くのその他の態様、特徴、及び実施形態にまで拡がり包含することが理解されよう。したがって、以下に記述される特許請求の範囲は、その精神及び範囲名にあるようなすべての態様、特徴、及び実施形態を含むものと、相応に広く解釈されるものである。 [0127] As described above, the present invention has been described with reference to specific aspects, features, and exemplary embodiments of the present invention, but the usefulness of the present invention is not limited thereto. It will be understood that it extends to and encompasses numerous other aspects, features, and embodiments. Accordingly, the claims set forth below are to be construed broadly as including all such aspects, features and embodiments as are within their spirit and scope.

Claims (19)

少なくとも1種のエッチング剤、少なくとも1種の界面活性剤/ポリマー源、水、及び任意選択により少なくとも1種の消泡剤を含む、除去組成物。   A removal composition comprising at least one etchant, at least one surfactant / polymer source, water, and optionally at least one antifoam. エチレンオキシド/プロピレンオキシドブロックコポリマー、アルコールアルコキシレート、脂肪アルコールアルコキシレート、非イオン性乳化剤とのリン酸エステルブレンド、及びこれらの組合せからなる群から選択された化学種を含んだ消泡剤を含む、請求項1に記載の除去組成物。   An antifoaming agent comprising a chemical species selected from the group consisting of ethylene oxide / propylene oxide block copolymers, alcohol alkoxylates, fatty alcohol alkoxylates, phosphate ester blends with nonionic emulsifiers, and combinations thereof. Item 2. The removal composition according to Item 1. 少なくとも1種の塩化物源をさらに含む、請求項2に記載の除去組成物。   The removal composition of claim 2 further comprising at least one chloride source. 少なくとも1種のキレート剤をさらに含む、請求項2に記載の除去組成物。   The removal composition of claim 2 further comprising at least one chelating agent. 少なくとも1種のキレート剤及び少なくとも1種の塩化物源をさらに含む、請求項2に記載の除去組成物。   The removal composition of claim 2 further comprising at least one chelating agent and at least one chloride source. 少なくとも1種の酸化剤をさらに含む、請求項1から5までのいずれかに記載の除去組成物。   The removal composition according to any one of claims 1 to 5, further comprising at least one oxidizing agent. 少なくとも1種のエッチング剤がHFを含み、
少なくとも1種の界面活性剤/ポリマー源が、フルオロアルキル界面活性剤、エトキシル化フルオロ界面活性剤、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレングリコールエーテル、ポリプロピレングリコールエーテル、カルボン酸塩、ドデシルベンゼンスルホン酸及びその塩、その他の直鎖状アルキルベンゼンスルホン酸(LABSA)又はその塩、ポリアクリレートポリマー、ジノニルフェニルポリオキシエチレン、シリコーンポリマー、変性シリコーンポリマー、アセチレン系ジオール、変性アセチレン系ジオール、アルキルアンモニウム塩、変性アルキルアンモニウム塩、アルキルフェノールポリグリシドールエーテル、アルキル硫酸ナトリウム、アルキル硫酸アンモニウム、アルキル(C10〜C18)カルボン酸アンモニウム塩、スルホコハク酸ナトリウム及びそのエステル、アルキル(C10〜C18)スルホン酸ナトリウム塩、二陰イオン性スルホネート界面活性剤、臭化セチルトリメチルアンモニウム、硫酸水素セチルトリメチルアンモニウム、カルボン酸アンモニウム、硫酸アンモニウム、酸化アミン、N−ドデシル−N,N−ジメチルベタイン、ベタイン、スルホベタイン、硫酸アルキルアンモニオプロピル、ポリエチレングリコール(PEG)、ポリエチレンオキシド(PEO)、ポリビニルピロリドン(PVP)、ヒドロキシエチルセルロース(HEC)、アクリルアミドポリマー、ポリ(アクリル酸)、カルボキシメチルセルロース(CMC)、ナトリウムカルボキシメチルセルロース(Na CMC)、ヒドロキシプロピルメチルセルロース、ポリビニルピロリドンK30、ラテックス粉末、エチルセルロースポリマー、プロピルセルロースポリマー、セルロースエーテル、水溶性樹脂、アルコキシル化脂肪族アルコールのリン酸エステル、ノニルフェノールエトキシレート、脂肪アルコールアルコキシレート、アルコールアルコキシレート、ポリオキシエチレングリコールドデシルエーテル、エチレンオキシド/プロピレンオキシドブロックコポリマー、及びこれらの組合せからなる群から選択された化学種を含む、
前記請求項のいずれかに記載の除去組成物。
At least one etchant comprises HF;
At least one surfactant / polymer source is a fluoroalkyl surfactant, an ethoxylated fluorosurfactant, polyethylene glycol, polypropylene glycol, polyethylene glycol ether, polypropylene glycol ether, carboxylate, dodecylbenzene sulfonic acid and salts thereof , Other linear alkylbenzene sulfonic acid (LABSA) or its salt, polyacrylate polymer, dinonylphenyl polyoxyethylene, silicone polymer, modified silicone polymer, acetylene diol, modified acetylene diol, alkyl ammonium salt, modified alkyl ammonium salts, alkylphenol glycidol ether, sodium alkyl sulfate, alkyl sulfate, alkyl (C 10 ~C 18) carboxylic Ammonium salts, sodium sulfosuccinate and esters thereof, alkyl (C 10 ~C 18) sodium sulfonate, two anionic sulfonate surfactant, cetyltrimethylammonium bromide, cetyltrimethylammonium hydrogen sulfate, ammonium carboxylate, ammonium sulfate, Amine oxide, N-dodecyl-N, N-dimethylbetaine, betaine, sulfobetaine, alkylammoniopropyl sulfate, polyethylene glycol (PEG), polyethylene oxide (PEO), polyvinylpyrrolidone (PVP), hydroxyethylcellulose (HEC), acrylamide Polymer, poly (acrylic acid), carboxymethylcellulose (CMC), sodium carboxymethylcellulose (Na CMC), hydroxypropylmethylcellulose, polyvinylpyrrole Don K30, latex powder, ethyl cellulose polymer, propyl cellulose polymer, cellulose ether, water-soluble resin, alkoxylated fatty alcohol phosphate ester, nonylphenol ethoxylate, fatty alcohol alkoxylate, alcohol alkoxylate, polyoxyethylene glycol dodecyl ether, Comprising a chemical species selected from the group consisting of ethylene oxide / propylene oxide block copolymers, and combinations thereof,
A removal composition according to any preceding claim.
少なくとも1種のエッチング剤が、HFを含み、少なくとも1種の界面活性剤/ポリマー源が、二陰イオン性スルホネート界面活性剤、PPG−PEG−PPGブロックコポリマー、PEG−PPG−PEGブロックコポリマー、及びこれらの組合せからなる群から選択された化学種を含む、請求項1から6までのいずれかに記載の除去組成物。   The at least one etchant comprises HF and the at least one surfactant / polymer source is a dianionic sulfonate surfactant, a PPG-PEG-PPG block copolymer, a PEG-PPG-PEG block copolymer, and The removal composition according to any one of claims 1 to 6, comprising a chemical species selected from the group consisting of these combinations. 少なくとも1種のキレート剤が、アセチルアセトネート、1,1,1−トリフルオロ−2,4−ペンタンジオン、1,1,1,5,5,5−ヘキサフルオロ−2,4−ペンタンジオン、ホルメート、アセテート、ビス(トリメチルシリルアミド)テトラマー、グリシン、セリン、プロリン、ロイシン、アラニン、アスパラギン、アスパラギン酸、グルタミン、バリン、リシン、クエン酸、酢酸、マレイン酸、シュウ酸、マロン酸、コハク酸、ホスホン酸、ヒドロキシエチリデンジホスホン酸(HEDP)、1−ヒドロキシエタン−1,1−ジホスホン酸、ニトリロ−トリス(メチレンホスホン酸)、ニトリロ三酢酸、イミノ二酢酸、エチドロン酸、エチレンジアミン、エチレンジアミン四酢酸(EDTA)、(1,2−シクロヘキシレンジニトリロ)四酢酸(CDTA)、尿酸、テトラグライム、ペンタメチルジエチレントリアミン(PMDETA)、1,3,5−トリアジン−2,4,6−チチオール三ナトリウム塩溶液、1,3,5−トリアジン−2,4,6−チチオール三アンモニウム塩溶液、ナトリウムジエチルジチオカルバメート、二置換ジチオカルバメート、硫酸アンモニウム、モノエタノールアミン(MEA)、Dequest 2000、Dequest 2010、Dequest 2060、ジエチレントリアミン五酢酸、プロピレンジアミン四酢酸、2−ヒドロキシピリジン1−オキシド、エチレンジアミン二コハク酸、三リン酸ナトリウム五塩基性、及びこれらの組合せからなる群から選択された化学種を含む、請求項4から6までのいずれかに記載の除去組成物。   At least one chelating agent is acetylacetonate, 1,1,1-trifluoro-2,4-pentanedione, 1,1,1,5,5,5-hexafluoro-2,4-pentanedione, Formate, acetate, bis (trimethylsilylamide) tetramer, glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, citric acid, acetic acid, maleic acid, oxalic acid, malonic acid, succinic acid, phosphon Acid, hydroxyethylidene diphosphonic acid (HEDP), 1-hydroxyethane-1,1-diphosphonic acid, nitrilo-tris (methylenephosphonic acid), nitrilotriacetic acid, iminodiacetic acid, etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA) ), (1,2-cyclohexylenedinitrilo) four Acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), 1,3,5-triazine-2,4,6-thithiol trisodium salt solution, 1,3,5-triazine-2,4,6 -Thithiol triammonium salt solution, sodium diethyldithiocarbamate, disubstituted dithiocarbamate, ammonium sulfate, monoethanolamine (MEA), Quest 2000, Quest 2010, Quest 2060, diethylenetriaminepentaacetic acid, propylenediaminetetraacetic acid, 2-hydroxypyridine 1- The removal composition according to any one of claims 4 to 6, comprising a chemical species selected from the group consisting of oxide, ethylenediamine disuccinic acid, sodium triphosphate pentabasic, and combinations thereof. 少なくとも1種のキレート剤が、ホスホン酸誘導体を含む、請求項4から6までのいずれかに記載の除去組成物。   The removal composition according to any one of claims 4 to 6, wherein the at least one chelating agent comprises a phosphonic acid derivative. 少なくとも1種の塩化物源が、塩酸、アルカリ金属塩化物、アルカリ土類金属塩化物、塩化アンモニウム、塩化アルキルアンモニウム、及びこれらの組合せを含む、請求項3又は6に記載の除去組成物。   The removal composition of claim 3 or 6, wherein the at least one chloride source comprises hydrochloric acid, alkali metal chloride, alkaline earth metal chloride, ammonium chloride, alkylammonium chloride, and combinations thereof. HF、少なくとも1種の消泡剤、少なくとも1種の二陰イオン性スルホネート界面活性剤、及び水を含む、請求項2に記載の除去組成物。   The removal composition of claim 2 comprising HF, at least one antifoaming agent, at least one dianionic sulfonate surfactant, and water. HF、塩化アンモニウム、少なくとも1種の消泡剤、少なくとも1種の二陰イオン性スルホネート界面活性剤、少なくとも1種のホスホン酸誘導体、及び水を含む、請求項5に記載の除去組成物。   6. The removal composition of claim 5, comprising HF, ammonium chloride, at least one antifoaming agent, at least one diionic sulfonate surfactant, at least one phosphonic acid derivative, and water. 少なくとも1種の酸化剤をさらに含み、HF、塩化アンモニウム、少なくとも1種の消泡剤、少なくとも1種のアルキルジフェニルオキシドジスルホネート界面活性剤、少なくとも1種のホスホン酸誘導体、過酸化物化合物、及び水を含む、請求項5に記載の除去組成物。   And further comprising at least one oxidizing agent, HF, ammonium chloride, at least one antifoaming agent, at least one alkyldiphenyl oxide disulfonate surfactant, at least one phosphonic acid derivative, a peroxide compound, and 6. A removal composition according to claim 5, comprising water. HFと、水と、PEG−PPG−PEGブロックコポリマー、PPG−PEG−PPGブロックコポリマー、ポリオキシエチレングリコールドデシルエーテル界面活性剤、及びこれらの組合せからなる群から選択された少なくとも1種の界面活性剤/ポリマー源とを含む、請求項1に記載の除去組成物。   At least one surfactant selected from the group consisting of HF, water, PEG-PPG-PEG block copolymer, PPG-PEG-PPG block copolymer, polyoxyethylene glycol dodecyl ether surfactant, and combinations thereof The removal composition of claim 1 comprising: a polymer source. ポストエッチング残渣、低k誘電体材料残渣、高k誘電体材料残渣、障壁層材料残渣、強誘電体残渣、窒化物残渣、ケイ化物残渣、酸化物残渣、ポリマー含有蓄積体残渣、ARC材料残渣、ドープ領域残渣、種々雑多な材料残渣、及びこれらの組合せからなる群から選択された材料残渣をさらに含む、前記請求項のいずれかに記載の除去組成物。   Post-etch residue, low-k dielectric material residue, high-k dielectric material residue, barrier layer material residue, ferroelectric residue, nitride residue, silicide residue, oxide residue, polymer-containing accumulator residue, ARC material residue, The removal composition of any preceding claim, further comprising a material residue selected from the group consisting of doped region residues, miscellaneous material residues, and combinations thereof. マイクロエレクトロニクスデバイス基板と、ポストエッチング残渣、低k誘電体、高k誘電体、エッチング停止材料、金属積層材料、障壁層材料、強誘電体材料、ケイ化物材料、窒化物材料、酸化物材料、フォトレジスト、底面反射防止膜(BARC)、犠牲反射防止膜(SARC)、ポリマー含有蓄積体、種々雑多な材料、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の除去可能な材料とを含む、マイクロエレクトロニクスデバイス構造と、前記請求項のいずれかに記載の除去組成物とを、マイクロエレクトロニクスデバイス構造から少なくとも1種の材料を実質的に除去するのに十分な時間及び十分な条件下で接触させて、リサイクル可能な又は再使用可能なマイクロエレクトロニクスデバイス基板を得る工程
を含む、マイクロエレクトロニクスデバイス構造をリサイクルするための方法。
Microelectronic device substrate, post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal laminate material, barrier layer material, ferroelectric material, silicide material, nitride material, oxide material, photo At least one removable material selected from the group consisting of resist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), polymer-containing accumulator, miscellaneous materials, doped regions, and combinations thereof A microelectronic device structure and a removal composition according to any of the preceding claims for a time sufficient and sufficient conditions to substantially remove at least one material from the microelectronic device structure. Contacting under to obtain a recyclable or reusable microelectronic device substrate The method for recycling a microelectronic device structure.
少なくとも1種の堆積可能な材料を、再使用可能な基板上に堆積する工程をさらに含み、前記少なくとも1種の堆積可能な材料が、低k誘電体、高k誘電体、エッチング停止材料、金属積層材料、障壁層材料、強誘電体材料、ケイ化物材料、窒化物材料、酸化物材料、フォトレジスト、底面反射防止膜(BARC)、犠牲反射防止膜(SARC)、種々雑多な材料、及びこれらの組合せからなる群から選択されたものである、請求項17に記載の方法。   Depositing at least one depositable material on the reusable substrate, wherein the at least one depositable material is a low-k dielectric, a high-k dielectric, an etch stop material, a metal Laminate material, barrier layer material, ferroelectric material, silicide material, nitride material, oxide material, photoresist, bottom anti-reflection coating (BARC), sacrificial anti-reflection coating (SARC), various materials, and these The method of claim 17, wherein the method is selected from the group consisting of: 1個又は複数の容器に、除去組成物を形成するための下記の試薬の1種又は複数を含むキットであって、前記除去組成物は、少なくとも1種のエッチング剤、少なくとも1種の界面活性剤/ポリマー源、水、任意選択により少なくとも1種のキレート剤、任意選択により少なくとも1種の酸化剤、任意選択により少なくとも1種の塩化物源、及び任意選択により少なくとも1種の消泡剤を含み、前記キットは、ポストエッチング残渣、低k誘電体、高k誘電体、エッチング停止材料、金属積層材料、障壁層材料、強誘電材料、ケイ化物材料、窒化物材料、酸化物材料、フォトレジスト、底面反射防止膜(BARC)、犠牲反射防止膜(SARC)、ポリマー含有蓄積体、種々雑多な材料、ドープ領域、及びこれらの組合せからなる群から選択された少なくとも1種の除去可能な材料からなる群から選択された材料を、表面に前記材料を有するマイクロエレクトロニクスデバイス構造から除去するのに適した除去組成物を形成するように適合されたキット。   A kit comprising one or more of the following reagents for forming a removal composition in one or more containers, the removal composition comprising at least one etchant and at least one surface active agent: An agent / polymer source, water, optionally at least one chelating agent, optionally at least one oxidizing agent, optionally at least one chloride source, and optionally at least one antifoaming agent. The kit includes post-etch residue, low-k dielectric, high-k dielectric, etch stop material, metal laminate material, barrier layer material, ferroelectric material, silicide material, nitride material, oxide material, photoresist A small number selected from the group consisting of: bottom antireflective coating (BARC), sacrificial antireflective coating (SARC), polymer-containing accumulator, miscellaneous materials, doped regions, and combinations thereof Both the one material selected from the group consisting of removable material, adapted kit to form a removal composition suitable for removing from a microelectronic device structure having said material surface.
JP2011530216A 2008-10-02 2009-10-01 Use of surfactant / antifoam mixtures for high metal loading and surface passivation of silicon substrates Withdrawn JP2012504871A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10235208P 2008-10-02 2008-10-02
US61/102,352 2008-10-02
US14498609P 2009-01-15 2009-01-15
US61/144,986 2009-01-15
PCT/US2009/059199 WO2010039936A2 (en) 2008-10-02 2009-10-01 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates

Publications (1)

Publication Number Publication Date
JP2012504871A true JP2012504871A (en) 2012-02-23

Family

ID=42074210

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011530216A Withdrawn JP2012504871A (en) 2008-10-02 2009-10-01 Use of surfactant / antifoam mixtures for high metal loading and surface passivation of silicon substrates

Country Status (6)

Country Link
EP (1) EP2342738A4 (en)
JP (1) JP2012504871A (en)
KR (1) KR20110063845A (en)
CN (1) CN102217042A (en)
TW (1) TWI485110B (en)
WO (1) WO2010039936A2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014178423A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching solution used in same, and production method for semiconductor substrate product
WO2014178426A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching liquid and etching liquid kit to be used in said method, and semiconductor substrate product manufacturing method
WO2014178422A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching solution and etching solution kit, etching method using same, and production method for semiconductor substrate product
WO2014178424A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
JP2015065398A (en) * 2013-08-29 2015-04-09 日立金属株式会社 Method for manufacturing niobate-based ferroelectric thin film device
JP2015201630A (en) * 2014-03-29 2015-11-12 フアインポリマーズ株式会社 Processing liquid for electronic component and manufacturing method of electronic component
KR20160082231A (en) * 2014-12-30 2016-07-08 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Stripping compositions having high wn/w etch selectivity
JP2016178105A (en) * 2015-03-18 2016-10-06 株式会社Adeka Etchant composition and etching method
JP2017216444A (en) * 2016-05-31 2017-12-07 ナガセケムテックス株式会社 Etchant
JP2018519674A (en) * 2015-07-09 2018-07-19 インテグリス・インコーポレーテッド A compound that selectively etches silicon germanium over germanium.
JP7474765B2 (en) 2018-12-03 2024-04-25 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド Etching Composition

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8164190B2 (en) 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
US20120091100A1 (en) 2010-10-14 2012-04-19 S.O.I.Tec Silicon On Insulator Technologies Etchant for controlled etching of ge and ge-rich silicon germanium alloys
CN102533124A (en) * 2010-12-31 2012-07-04 上海硅酸盐研究所中试基地 Polishing solution for silicon carbide substrate
DE102011000322A1 (en) 2011-01-25 2012-07-26 saperatec GmbH Separating medium, method and system for separating multilayer systems
JP2012238849A (en) * 2011-04-21 2012-12-06 Rohm & Haas Electronic Materials Llc Improved polycrystalline texturing composition and method
KR20140138581A (en) * 2011-08-22 2014-12-04 1366 테크놀로지 인코포레이티드 Formulation for acidic wet chemical etching of silicon wafers
JP5913869B2 (en) * 2011-08-31 2016-04-27 林純薬工業株式会社 Etching solution composition and etching method
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
CN104508072A (en) 2012-02-15 2015-04-08 安格斯公司 Post-CMP removal using compositions and method of use
JP5548224B2 (en) * 2012-03-16 2014-07-16 富士フイルム株式会社 Semiconductor substrate product manufacturing method and etching solution
WO2013169884A1 (en) * 2012-05-10 2013-11-14 Corning Incorporated Glass etching media and methods
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9487885B2 (en) * 2012-06-14 2016-11-08 Tivra Corporation Substrate structures and methods
US9879357B2 (en) 2013-03-11 2018-01-30 Tivra Corporation Methods and systems for thin film deposition processes
JP2014057039A (en) * 2012-08-10 2014-03-27 Fujifilm Corp Process of manufacturing semiconductor substrate product and etchant
US8821215B2 (en) * 2012-09-07 2014-09-02 Cabot Microelectronics Corporation Polypyrrolidone polishing composition and method
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
TWI655273B (en) 2013-03-04 2019-04-01 美商恩特葛瑞斯股份有限公司 Compositions and methods for selectively etching titanium nitride
WO2014148474A1 (en) * 2013-03-21 2014-09-25 Dic株式会社 Defoaming agent, surfactant composition, coating composition, and resist composition
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (en) 2013-07-31 2021-03-05 恩特格里斯公司 Aqueous formulations with Cu/W compatibility for removal of metal hardmask and post-etch residues
US9633831B2 (en) * 2013-08-26 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition for polishing a sapphire surface and methods of using same
EP3333912B1 (en) * 2013-08-29 2021-06-23 Sumitomo Chemical Company, Ltd Method for manufacturing niobate-system ferroelectric thin film device
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9416297B2 (en) 2013-11-13 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing method using slurry composition containing N-oxide compound
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
KR102352475B1 (en) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9567493B2 (en) * 2014-04-25 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. CMP slurry solution for hardened fluid material
KR102388074B1 (en) * 2014-11-13 2022-04-19 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Alkaline earth metal-containing cleaning solution for cleaning semiconductor element, and method for cleaning semiconductor element using same
CN104498951B (en) * 2014-12-11 2017-05-17 深圳新宙邦科技股份有限公司 Oxydol etching solution for copper-molybdenum alloy films
JP6761166B2 (en) * 2015-07-23 2020-09-23 セントラル硝子株式会社 Wet etching method and etching solution
KR101806882B1 (en) * 2015-08-20 2018-01-10 주식회사 태크녹스 Composition for cleaning solar cell panel and auto-cleaning solar cell panel using the same
CN106222756A (en) * 2016-09-30 2016-12-14 杭州飞鹿新能源科技有限公司 Additive and application process thereof for diamond wire cutting fine-hair maring using monocrystalline silicon slice
KR102404226B1 (en) * 2016-10-31 2022-06-02 주식회사 이엔에프테크놀로지 ETCHANT composition
CN109988509B (en) * 2017-12-29 2021-07-09 浙江新创纳电子科技有限公司 Lithium tantalate reduction sheet polishing solution and preparation method and application thereof
EP3743773B1 (en) 2018-01-25 2022-04-06 Merck Patent GmbH Photoresist remover compositions
US11678433B2 (en) 2018-09-06 2023-06-13 D-Wave Systems Inc. Printed circuit board assembly for edge-coupling to an integrated circuit
US11873564B2 (en) * 2018-10-02 2024-01-16 Hutchinson Technology Incorporated Etch chemistry for metallic materials
US11647590B2 (en) 2019-06-18 2023-05-09 D-Wave Systems Inc. Systems and methods for etching of metals
CN113122147B (en) * 2019-12-31 2024-03-12 安集微电子科技(上海)股份有限公司 Chemical mechanical polishing solution and application method thereof
KR102192954B1 (en) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 Composition for cleaning polymer
TWI741911B (en) * 2020-12-16 2021-10-01 環球晶圓股份有限公司 Method for removing epitaxial layer
CN112812076B (en) * 2021-01-13 2022-02-01 西南石油大学 Bis-sulfonic acid-based surfactant, clean fracturing fluid and preparation method thereof
CN112967930B (en) * 2021-02-07 2023-05-12 西安微电子技术研究所 Metallized layer stripping method of SiC wafer
CN113150752A (en) * 2021-03-04 2021-07-23 山东祺龙海洋石油钢管股份有限公司 High-efficiency sand-carrying agent for crossing
CN113448185B (en) * 2021-07-22 2022-08-05 福建省佑达环保材料有限公司 Developing solution composition used in silicon-based OLED (organic light emitting diode) manufacturing process
CN113463105A (en) * 2021-08-06 2021-10-01 立邦涂料(重庆)化工有限公司 High-permeability aluminum fin environment-friendly cleaning agent
CN113690401B (en) * 2021-10-26 2021-12-31 中南大学 Zinc phosphate-silane composite passive film modified zinc metal negative electrode and preparation method and application thereof
CN114264623A (en) * 2021-12-22 2022-04-01 河北新立中有色金属集团有限公司 Method for measuring trace phosphorus in cast aluminum alloy
CN115960609B (en) * 2022-12-30 2023-10-24 浙江奥首材料科技有限公司 Etching solution for etching oxide layer on surface of wafer with high selectivity, preparation method and application thereof

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803956A (en) * 1994-07-28 1998-09-08 Hashimoto Chemical Company, Ltd. Surface treating composition for micro processing
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
KR100390553B1 (en) * 2000-12-30 2003-07-07 주식회사 동진쎄미켐 method of controlling metal-layer etching process and method of regenerating etchant composition using near infrared spectrometer
US6900003B2 (en) * 2002-04-12 2005-05-31 Shipley Company, L.L.C. Photoresist processing aid and method
WO2004019134A1 (en) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. Removing solution
JP4241018B2 (en) * 2002-12-06 2009-03-18 メック株式会社 Etching solution
JP4799843B2 (en) * 2003-10-17 2011-10-26 三星電子株式会社 Etching composition having high etching selectivity, manufacturing method thereof, selective etching method of oxide film using the same, and manufacturing method of semiconductor device
JP2007510813A (en) * 2003-10-29 2007-04-26 ザ プロクター アンド ギャンブル カンパニー Fabric article processing system and method
KR100675284B1 (en) * 2005-02-01 2007-01-26 삼성전자주식회사 Microelectronic cleaning compositions and methods of fabricating semiconductor devices using the same
CN101233601A (en) * 2005-06-13 2008-07-30 高级技术材料公司 Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
DE102005038358A1 (en) * 2005-08-11 2007-02-15 Basf Ag Process for the preparation of pigment preparations
SG166102A1 (en) * 2007-03-31 2010-11-29 Advanced Tech Materials Methods for stripping material for wafer reclamation

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI628311B (en) * 2013-05-02 2018-07-01 富士軟片股份有限公司 Etching method, etchant used thereof, etchant kit, and manufacturing method of semiconductor substrate product
WO2014178426A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching liquid and etching liquid kit to be used in said method, and semiconductor substrate product manufacturing method
WO2014178422A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching solution and etching solution kit, etching method using same, and production method for semiconductor substrate product
WO2014178424A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
US10435794B2 (en) 2013-05-02 2019-10-08 Fujifilm Corporation Etching method, etching solution used in same, and production method for semiconductor substrate product
WO2014178423A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching solution used in same, and production method for semiconductor substrate product
JP2015065398A (en) * 2013-08-29 2015-04-09 日立金属株式会社 Method for manufacturing niobate-based ferroelectric thin film device
JP2015201630A (en) * 2014-03-29 2015-11-12 フアインポリマーズ株式会社 Processing liquid for electronic component and manufacturing method of electronic component
KR101884367B1 (en) * 2014-12-30 2018-08-01 버슘머트리얼즈 유에스, 엘엘씨 Stripping compositions having high wn/w etch selectivity
JP2016127291A (en) * 2014-12-30 2016-07-11 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Stripping compositions having high wn/w selectivity
KR20160082231A (en) * 2014-12-30 2016-07-08 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Stripping compositions having high wn/w etch selectivity
JP2016178105A (en) * 2015-03-18 2016-10-06 株式会社Adeka Etchant composition and etching method
JP2018519674A (en) * 2015-07-09 2018-07-19 インテグリス・インコーポレーテッド A compound that selectively etches silicon germanium over germanium.
US10957547B2 (en) 2015-07-09 2021-03-23 Entegris, Inc. Formulations to selectively etch silicon germanium relative to germanium
JP2017216444A (en) * 2016-05-31 2017-12-07 ナガセケムテックス株式会社 Etchant
JP7474765B2 (en) 2018-12-03 2024-04-25 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド Etching Composition

Also Published As

Publication number Publication date
WO2010039936A2 (en) 2010-04-08
WO2010039936A3 (en) 2010-07-01
EP2342738A2 (en) 2011-07-13
EP2342738A4 (en) 2013-04-17
TWI485110B (en) 2015-05-21
CN102217042A (en) 2011-10-12
KR20110063845A (en) 2011-06-14
TW201022148A (en) 2010-06-16

Similar Documents

Publication Publication Date Title
TWI485110B (en) Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
US20100112728A1 (en) Methods for stripping material for wafer reclamation
US8642526B2 (en) Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
EP1975987A2 (en) Methods for stripping material for wafer reclamation
KR102266832B1 (en) TiN HARD MASK AND ETCH RESIDUE REMOVAL
US7119052B2 (en) Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
WO2008157345A2 (en) Wafer reclamation compositions and methods
US10176979B2 (en) Post-CMP removal using compositions and method of use
US8114220B2 (en) Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
KR101891363B1 (en) Composition for and method of suppressing titanium nitride corrosion
CN111356759B (en) Stripper solution and method of using stripper solution
CN113166684A (en) post-CMP cleaning composition
KR20230056740A (en) cleaning composition

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20121204