CN102217042A - Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates - Google Patents

Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates Download PDF

Info

Publication number
CN102217042A
CN102217042A CN2009801463328A CN200980146332A CN102217042A CN 102217042 A CN102217042 A CN 102217042A CN 2009801463328 A CN2009801463328 A CN 2009801463328A CN 200980146332 A CN200980146332 A CN 200980146332A CN 102217042 A CN102217042 A CN 102217042A
Authority
CN
China
Prior art keywords
composition
acid
weight
remove
removes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801463328A
Other languages
Chinese (zh)
Inventor
迈克尔·B·科赞斯基
江平
查尔斯·贝奥
米克·贝耶罗帕弗里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN102217042A publication Critical patent/CN102217042A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/008Polymeric surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/78Neutral esters of acids of phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0026Low foaming or foam regulating compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3707Polyethers, e.g. polyalkyleneoxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02032Preparing bulk and homogeneous wafers by reclaiming or re-processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

Removal compositions and processes for removing at least one material layer from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves substantial removal of the material(s) to be removed while not damaging the layers to be retained, for reclaiming, reworking, recycling and / or reuse of said structure.

Description

Surfactant/defoamer mixture is used to strengthen the metal load of silicon substrate and the application of surface passivation
Technical field
Present invention relates in general to material layer, for example, the low-k dielectric material has the substrate of this material or goods from it and removes reclaiming, to reprocess, to reuse and/or to re-use the method for this substrate or goods, and relates to the product that uses this method to make.
Background technology
Along with plant bulk reduces, to the demand that increases gradually with high density, ultra-large long-pending body (ULSI) semiconductor wires correlated performance need day by day to use low-k (low-k) insulating barrier to be to increase signaling rate.
Typically low-k material comprises the carbon doped oxide (CDO) that uses commercially available precursor deposition, for example SiLK TM, AURORA TM, CORAL TM, or carbonado (BLACKDIAMOND) TM, for example use proprietary carbonado TMMethod.This CDO uses chemical vapour deposition (CVD) method to form from organosilan and organosiloxane precursor usually.CVD carbon doped oxide low-k dielectric material is made of less than about 3.2 porous low density material overall dielectric constant usually, and, for example form a plurality of CDO layers in metal interconnection line and the through hole and be used for various semiconductor structures usually by forming its other semiconductor structure.For example, CDO can be used as the gap filling material of dielectric insulation layer (intermetallic dielectric (IMD) layer), cover layer and/or some structure.
Frequently, during multilayer device manufacture process or the qualification process after unacceptable layer is handled, the microelectronic component wafer, Si semiconductor wafer for example must be discarded.Many handling problems may appear, for example, and the inhomogeneous deposition or the subsequent etch error of layer.Implement many quality control method of testings after selected treatment step, may make acceptable semiconductor crystal wafer be rejected also " discarding " because of a variety of causes thus, this causes great nonproduction cost.Except that defective wafer, test wafer goes out of use owing to not reclaiming or reuse some film type usually.The test wafer expenditure is ranked in first three big Master Cost of factory.
The prior art practice has returned to defective or discarded process wafer wafer supplier in order to handle, and uses chemistry and mechanical means to remove material layer from semiconductor crystal wafer thus, and for example, dielectric layer such as CDO layer are in order to re-use this wafer.Successfully remove dielectric layer and be positioned at after the further feature on the wafer, wafer is reused in new multilayer semiconductor device manufacture process or re-use.Along with the semiconductor crystal wafer manufacturing to transfer than diameter wafers (for example 12 inches wafers), discarded and recycling is dispatched from the factory the process wafer because high non-productive cost and become day by day and more and more lack attraction.
This paper is open through improved compositions and method, at least a thus material (for example, metal stack material, etch stop layer, photoresist, barrier layer and/or comprise height-k layer and the dielectric layer of low-k layer) can be removed from the microelectronic component structure, to reclaim, reprocess, to reuse and/or to re-use this structure, make described composition and method and existing manufacture method and component compatibility thus.Below device substrate (for example, silicon) preferably can not removed composition by this and damage.Preferably, (for example, the low-k dielectric material layer) method can be implemented in one step, and does not therefore need the oxidation step of high energy consumption to use this composition to remove material from microelectronic component.
Except that remove material layer make simultaneously to the damage of lower substrate material reduce to minimum, composition of the present invention can through the allotment to meet the local environment requirement.For example, high fluoride concentration and high organic solvent concentration can make composition owing in waste water handling problem is difficult to use in a large amount of manufacturings.Decide on the value of the chemical oxygen demand (COD) of composite (wherein the COD of solution is measuring in the amount of the organic compound that can be completely oxidized to carbon dioxide in the presence of the strong oxidizer under acid condition), may not allow composite to be stored in the equipment waste water and directly be back in the environment.For example, at Switzerland (Switzerland), the COD of wastewater sample must reduce to 200 and 1000mg/L between waste water or industry water just can be back to (Pupunat in the environment, L., Sollberger, F., Rychen, P., " Efficient Reduction of Chemical Oxygen Demand inIndustrial Wastewaters, " http://www.csem.ch/corporate/Report2002/pdf/p56.pdf).
If waste water only contains fluoride source (organic solvent-free), then can use the fluoride treatment system to come at first from waste water, to remove fluoride, and water can be disposed in the environment then.If waste water only contains organic solvent (no fluoride source), can use organic disposal system (for example incinerator).Disadvantageously, incineration system can not accept to contain the wastewater sample of high fluoride concentration, and this is can damage the incinerator building material because of fluoride source.
Therefore, except that be provided for from the microelectronic component structure remove at least a material be used to reclaim, reprocess, reuse and/or re-use this structure through improved compositions and method, said composition and/or use the method for said composition preferably to meet the local code standard relevant with the disposal of said composition.
Summary of the invention
Herein disclosed is composition and method, wherein this composition and method with at least a material (for example are used for, dielectric materials layer and/or other material layer) the microelectronic component structure that has this material from it removes, be used for reclaiming, reprocessing, recycling and/or re-use this microelectronic component structure, and the public use product or the intermediate products that remove method for compositions and use this method to make.
In one aspect, openly remove composition, this removes composition and comprises at least a etchant, at least a surfactant/source of polymer, optional at least a organic solvent, optional at least a chelating agent, optional at least a oxidant, optional at least a chloride source, optional at least a defoamer, and optional water.
In another aspect, openly remove composition, this removes composition and comprises at least a etchant, at least a surfactant/source of polymer, water and optional at least a defoamer.
In aspect another, openly remove composition, this removes composition and comprises at least a etchant, at least a surfactant/source of polymer, water and at least a defoamer, and wherein this defoamer comprises and is selected from following material: the admixture of ethylene oxide/propylene oxide block copolymer, alcohol alkoxylates, fatty alcohol alkoxy compound, phosphate and nonionic emulsifier and combination thereof.
More on the one hand in, openly reuse the method for microelectronic component structure, this method comprises: make the microelectronic component structure and remove composition and contact the enough time under the condition of at least a material to obtain the reusing microelectronic component substrate that maybe can re-use being enough to remove from this microelectronic component structure in fact, this microelectronic component structure comprises the microelectronic component substrate and at least aly is selected from following removable material: post-etch residues, the low-k dielectric material, height-k dielectric material, the etch-stop material, the metal stack material, barrier layer material, ferroelectric material, silicide material, nitride material, oxide material, photoresist, bottom antireflective coating (BARC), sacrificial anti-reflective coating (SARC), the accumulation that contains polymer, other material, through doped region, and combination, wherein this removes composition and comprises at least a etchant, at least a surfactant/source of polymer, optional at least a organic solvent, optional at least a chelating agent, optional at least a oxidant, optional at least a chloride source, optional at least a defoamer, and optional water.In a preferred embodiment, this removes composition and comprises at least a defoamer, and wherein this defoamer comprises and is selected from following material: the admixture of ethylene oxide/propylene oxide block copolymer, alcohol alkoxylates, fatty alcohol alkoxy compound, phosphate and nonionic emulsifier and combination thereof.
More on the one hand in, open external member, this external member comprises one or more and is used to form the following reagent that removes composition in one or more containers, wherein this removes composition and comprises at least a etchant, at least a surfactant/source of polymer, optional at least a organic solvent, optional at least a chelating agent, optional at least a oxidant, optional at least a chloride source, optional at least a defoamer, and optional water, wherein this external member is suitable for forming and is applicable to that at least a removable material is had the composition that removes that the microelectronic component structure of this material removes from it, and this removable material is selected from: post-etch residues, the low-k dielectric material, height-k dielectric material, the etch-stop material, the metal stack material, barrier layer material, ferroelectric material, silicide material, nitride material, oxide material, photoresist, bottom antireflective coating (BARC), sacrificial anti-reflective coating (SARC), the accumulation that contains polymer, other material, through doped region, and combination.
Can more fully understand others of the present invention, feature and embodiment according to subsequently disclosure and claims.
Description of drawings
Figure 1A is the electron micrograph after the tungsten wafer floods in composite E;
Figure 1B is the electron micrograph after the tungsten wafer floods in composite AB;
Fig. 1 C is the electron micrograph after the tungsten wafer floods in composite AC;
Fig. 1 D is the electron micrograph after the tungsten wafer floods in composite AD; And
Fig. 1 E is the electron micrograph after the tungsten wafer floods in composite AE.
Embodiment
Present invention relates in general to remove composition and method, this composition and method with at least one material layer (for example are used for, the microelectronic component structure that dielectric material (height-k and/or low-k), metal stack material, etch stop layer, barrier layer material, silicide, ferroelectric material, photoresist, antireflecting coating, post-etch residues etc.) has this material from it removes, and is used for reclaiming, reprocess, reuse and/or re-using this microelectronic component structure.This recovery, reprocessing, recycling and/or re-use and outside factory or in the factory, to implement.
" microelectronic component " is corresponding to be used for semiconductor substrate, flat-panel monitor, phase transformation memory storage, solar panel that microelectronics, integrated circuit or computer chip use and the other products that comprises solar base plate, photovoltaic, and MEMS (micro electro mechanical system) (MEMS) through manufacturing.Should be understood that it is not to be intended to be limited by any way and comprise any substrate or the structure that becomes microelectronic component or micromodule the most at last that term " microelectronic component ", " microelectronic substrate " reach " microelectronic component structure ".Contrast and/or testing apparatus that microelectronic component can be graphically, is capped." defective microelectronic component " structure is intended to describe all microelectronic components that the method according to this invention is recyclable, reprocess and/or clean.
Should " microelectronic component structure " comprise " the microelectronic component substrate " that has at least a material on it, wherein this at least a material is on composition or be different from this microelectronic component substrate on the crystallography.As defined herein, " microelectronic component substrate " corresponding to any substrate, it includes, but is not limited to: naked silicon; Many silicon; Germanium; III/V compound, for example aluminium nitride, gallium nitride, GaAs, indium phosphide; Ilmenite; The II/IV compound; II/VI compound, for example CdSe, CdS, ZnS, ZnSe and CdTe; Carborundum; Sapphire; Sapphire carries silicon; Carbon; Through doped-glass; The glass of undoped; Diamond; GeAsSe glass; Polysilicon (through mixing or undoped); Monocrystalline silicon (through mixing or undoped); Amorphous silicon, two copper indium diselenide (gallium); And combination." material " or " material layer " can include, but is not limited at least a following material that is selected from: the epitaxial silicon through mixing, the epitaxial silicon of undoped, post-etch residues, the low-k dielectric material, height-k dielectric material, the etch-stop material, the metal stack material, barrier layer material, ferroelectric material, silicide, nitride, oxide, photoresist, bottom antireflective coating (BARC), sacrificial anti-reflective coating (SARC), the accumulation that contains polymer, other material, through doped region, and combination.One of at least available at least a ion embedded type ion (for example boron, phosphorus and arsenic) in this material layer mixes.As defined herein, " other material " comprise the material that contains molybdenum, the material that contains lanthanum, rhodium-containing material, contain the material (MnO for example of manganese x), carbon nano-tube, SrTiO 3, ZrO 2, YVO 4, LiNbO 3, TeO 3, and the combination.
" pact " used herein is intended to corresponding to described value ± 5%.
As defined herein, " low-k dielectric material " corresponding to any material that is used as dielectric material in the layering microelectronic component, wherein this material has the dielectric constant less than about 4.0.Preferably, the low-k dielectric material comprises low polar material, for example silica, siliceous organic polymer, siliceous hydridization organic, organic silicate glass (OSG), TEOS, fluorinated silicate glass (FSG), SiCOH, and carbon doping type oxide (CDO) glass.Should be appreciated that the low-k dielectric material can have various density and various porousness.
As defined herein, " metal stack material " reaches " metal " corresponding to the tantalum on the microelectronic component, tantalum nitride, titanium nitride, titanium, nickel, cobalt, tungsten, tungsten nitride, and the silicide of above-mentioned metal; Copper containing layer; Aluminous layer; The Al/Cu layer; The Al alloy; The Cu alloy; Contain the cobalt layer, for example CoWP and CoWBP; Contain the gold layer; The Au/Pt layer; Hafnium oxide; The oxygen hafnium silicate; Zirconia; Lanthana; Titanate; Its nitrogen doping type analog; Ruthenium; Iridium; Cadmium; Plumbous; Selenium; Silver; MoTa; And combination and salt.
As defined herein, " height-k dielectric " material corresponding to: hafnium oxide is (for example, HfO2); Zirconia (for example, ZrO 2); The oxygen hafnium silicate; Hafnium silicate; Zirconium silicate; Titanium silicate; Aluminium oxide; Its lanthanum doping type analog (for example, LaAlO 3); Alumina silicate; Titanate (for example, Ta 2O 5); The oxide of hafnium and silicon and nitride are (for example, HfSiON); Its lanthanum doping type analog (for example, HFSiON (La)); Barium strontium (BST); The oxide of hafnium and aluminium (for example, Hf xAl yO z); Strontium titanates (SrTiO 3); Barium titanate (BaTiO 3); And combination.
As defined herein, " barrier layer material " corresponding in this area in order to sealing metal line (for example, copper-connection) so that minimum any material is reduced in this metal (for example, copper) to the diffusion of dielectric material.Preferred barrier layer material comprises persilicic nitride, silicon-rich oxy-nitride, tantalum, titanium, ruthenium, hafnium, tungsten, reaches other refractory metal and nitride and silicide.
As defined herein, " ferroelectric material " includes, but is not limited to: barium titanate (BaTiO 3); Lead titanates (PbTiO 3); Lead zirconate titanate (PZT); Lead lanthanum zirconate titanate (PLZT); Lead niobate manganese (PMN); Potassium niobate (KNbO 3); Potassium-sodium niobate (K xNa 1-xNbO 3); Potassium tantalate-niobate (K (Ta xNb 1-x) O 3); Lead niobate (PbNb 2O 6); Bismuth titanates (Bi 4Ti 3O 12); Lead niobate bismuth (PbBi 2Nb 2O 9); Lithium niobate (LiNbO 3); Lithium tantalate (LiTaO 3); Strontium bismuth tantalate; Tantalum niobic acid strontium bismuth; The tantalic acid strontium; Strontium titanates; And combination and salt.
As defined herein, " etch stop layer " comprises carborundum (SiC), silicon carbon nitride (SiCN), silicon-carbon oxide (SiCO), silicon oxynitride (SiON), copper, SiGe (SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs and combination and salt.
As defined herein, " oxide " is included in defined any oxide compound and piezoelectric in other layer (for example (Pb, Sr) (Zr, Ti) O 3), thermoelectric material (for example (Pb, Ca) (Zr, Ti) O 3), superconductor (for example YBCO), electrode (for example tin indium oxide), thermodynamic barrier material (ZrO for example 2, CeO 2, Y 2O 3, MgO, Al 2O 3, and SiO 2), optical coating (TiO for example 2, Ta 2O 5, Y 2O 3, and Sc 2O 3), and conducting film (La for example (1-x)Sr xGa (1-y)M yO 3(wherein M=Fe, Co, Ni), La (1-x)Sr xMnO 3, and La (1-x)Ca xMnO 3).
As defined herein, " accumulation that contains polymer " is corresponding to the material on dorsal part that accumulates on the microelectronic component substrate during manufacture and the beveled edge and comprise any material that is deposited on this point of microelectronic component, and it includes, but is not limited to low-k dielectric material, height-k dielectric material, etch-stop material, metal stack material, barrier layer material, ferroelectric material, silicide, nitride, oxide, photoresist, bottom antireflective coating (BARC), sacrificial anti-reflective coating (SARC), other material, dopant and combination thereof.
As used herein, " recovery " microelectronic component structure treats the material of retaining layer and can not damage this in fact and treat retaining layer corresponding to removing at least a adjacency in fact, this material of wherein desiring to remove include, but is not limited to post-etch residues, etch stop layer, metal stack material, barrier layer material, ferroelectric material, silicide, nitride, oxide, dielectric medium (low-k and/or height-k), contain the accumulation of polymer, through doped region (not comprising epitaxial loayer) and combination thereof through mixing.This layer that desire keeps be selected from microelectronic component substrate, epitaxial silicon, undoped through mixing epitaxial silicon, etch stop layer, metal stack material, barrier layer material, ferroelectric material, silicide, nitride, dielectric medium (low-k and/or height-k), through doped region and combination thereof.Recovery can be implemented outside factory or in factory.Should be appreciated that the material of desiring to remove can not be same substance with layer to be kept.For example, the material of desiring to remove can comprise that low-k dielectric material and layer to be kept can be the microelectronic component substrate.It will be understood by a person skilled in the art that, use present disclosure can determine to use which kind of composition and method when keeping certain layer, to remove certain material.
As defined herein, " remove in fact " material that removes corresponding to the expectation that removes at least 90 weight %, more preferably remove at least 95 weight % even more preferably remove at least 97 weight % even more preferably remove at least 98 weight % and most preferably remove at least 99 weight %.
As used herein, " reprocessing " microelectronic component structure corresponding to develop at lithographic printing and by remove photoresist material, antireflecting coating (ARC), the accumulation that contains polymer, post-etch residues, electro-coppering after the quality control test in fact and make up in one of at least.Perhaps, reprocessing comprises the dorsal part that removes the microelectronic component structure and/or the accumulation that contains polymer on the beveled edge.Reprocessing can be implemented outside factory or in factory.After the reprocessing, the microelectronic component structure can be coated with again, toast and be graphical again according to photoetching technique as known in the art.
As defined herein, " recycling " be defined in the retaining layer that reclaims and reuse or reprocess and reuse microelectronic component after the material that removes as described herein.For example, the recycling microelectronic component can be introduced into again to make and handle in the stream, can be used as contrast or testing apparatus, or can be used in irrelevant process or the irrelevant product.
As defined herein, " eliminate in fact " spot corrosion is meant with using and known in the artly removes the common viewed spot corrosion of composition and compare spot corrosion and reduce.Preferably, the degree of spot corrosion less than use other remove composition observed to spot corrosion 10%, more preferably less than 5% and most preferably less than 2%.
Should be understood that microelectronic component structure that desire reclaims comprises is selected from following substrate: naked silicon; Many silicon; Germanium; III/V compound, for example gallium nitride, GaAs, indium phosphide; Ilmenite; The II/IV compound; II/VI compound, for example CdSe, CdS, ZnS, ZnSe and CdTe; Carborundum; Sapphire; Sapphire carries silicon; Carbon; Through doped-glass; The glass of undoped; Diamond; GeAsSe glass; And combination, and can be any diameter or thickness commonly used in this area.For example, in this area substrate diameter commonly used comprise 200mm, 300mm, 4 inches, 6 inches and be 450mm in future.The thickness of 300mm substrate is 750 μ m, compares with the 300mm substrate, and the thickness of other substrate is directly proportional with diameter.
The requirement that success is reclaimed includes, but is not limited to zero or insignificant front side, beveled edge and/or the spot corrosion of dorsal part silicon; 0.25 the particle of μ m is less than 25, the particle that the particle of 0.12 μ m is less than 50 or 0.09 μ m is less than 100, and total thickness variations (TTV) is less than about 5 μ m, and surface metal pollutes less than 1 * 10 10Individual atom cm -2And/or the thickness of institute's recovery substrate (no any other retaining layer) initial substrate thickness 5% in, preferably in 2%, and most preferably in 1%.As defined herein, " total thickness variations " corresponding to the maximum ga(u)ge of microelectronic component wafer and the antipode between minimum thickness, as uses thickness scanning as known in the art or dot thickness to measure series and determine.
The requirement of success wafer reprocessing includes, but is not limited to photoresist, the accumulation that contains polymer and/or electro-coppering are removed and can not damage in fact layer to be kept in fact from the outermost edge and the dorsal part of device substrate, and this reduces particle and metallic pollution during subsequent treatment.
Removing composition can be embodied by numerous kinds of specific composites, as hereinafter more fully setting forth.
In all this compositions, the specific component of composition is all with reference to comprising that the weight percentage ranges of subzero limit discusses, thereby should understand, in each specific embodiment of composition, can there be or do not exist this component, and exist under the situation of this component, in the total weight of the composition that uses this component, the concentration that this component can be low to moderate 0.001 weight % exists.
In one aspect, set forth meet domestic and international environment standard remove composition (so-called " green " removes composition).The solvent that diethylene glycol butyl ether and other contain ethylidene is the HAP chemicals and can endangers environment.For example, the diethylene glycol butyl ether has high chemical oxygen demand (COD) value, and this value is the quality of every liter of solution institute oxygen consumed.Because the high COD value of diethylene glycol butyl ether, it has been under an embargo or has limited to the utmost point low content in each country.
" green " of first aspect or " environmental friendliness " remove composition can comprise etchant source, at least a surfactant, optional water, optional at least a organic solvent, optional at least a organic acid, optional at least a oxidant, optional at least a chloride source, optional at least a chelating agent, and optional at least a defoamer, and this total weight based on composition exists with following scope:
Figure BPA00001373058900101
" green " of first aspect removes composition can comprise at least a etchant, at least a surfactant, optional water, optional at least a organic solvent, optional at least a organic acid, optional at least a oxidant, optional at least a chloride source, optional at least a chelating agent, and optional at least a defoamer, is constituted or is made of these basically by these.Usually, etchant source, surfactant, optional water, optional organic solvent, optional organic acid, optional oxidizing agent, optional chloride source, optional chelating agent, but and optional defoamer relative to each other special ratios and the amount appropriate change to provide the desired combined thing to remove effect to being selected from following material: post-etch residues, the low-k dielectric material, height-k dielectric material, barrier layer material, ferroelectric material, nitride, silicide, oxide, the accumulation that contains polymer, the ARC material, through doped region, other material, and combination; And/or treatment facility, need not too much effort as those skilled in the art can easily determine.In a preferred embodiment, " green " of first aspect removes composition does not have amine in fact.Defined herein, " not having in fact " corresponding in the total weight of said composition less than about 1 weight % of composition, be more preferably less than 0.5 weight % and most preferably less than 0.1 weight %.
When with deionized water 20: 1 dilution, the pH value that " green " of first aspect removes composition about 0 to about 7, more preferably carry about 2.5 to about 4.5, most preferably carry about 3 to about 3.5 scope.
Etchant can include, but is not limited to fluoride, amine and/or hydroxide salt, and it comprises following at least a: hydrogen fluoride (HF); Xenon difluoride (XeF 2); Ammonium fluoride (NH 4F); Tetralkyl ammonium fluorides (NR 4F); One alkyl ammonium acid fluoride (NRH 3F); Bifluoride hydrogen ammonium (NH 5F 2); Dialkyl group ammonium acid fluoride (NR 2H 2F); Trialkyl ammonium acid fluoride (NR 3HF); Trialkyl ammonium trihydrofluoride (NR 3: 3HF); Anhydrous hydrogen fluoride pyridine compound; Anhydrous hydrogen fluoride triethylamine compound; Amine hydrogen fluoride compound, wherein R can be same to each other or different to each other and be selected from straight or branched C 1-C 6Alkyl (for example, methyl, ethyl, propyl group, butyl, amyl group, hexyl), and wherein this amine comprises straight or branched C 1-C 20Alkylamine, the C that is substituted or is unsubstituted 6-C 10Arylamine, glycol amine, alkanolamine and amine-N-oxide, it includes, but is not limited to: pyridine; The 2-ethylpyridine; 2-methoxypyridine and derivative thereof, for example 3-Methoxy Pyridine; The 2-picoline; Pyridine derivate; Lutidines; Piperidines; Piperazine; Triethylamine; Triethanolamine; Ethamine, methylamine, isobutyl amine, tert-butylamine, tri-n-butylamine, di-n-propylamine, dimethylamine, diethyleneglycolamin; Monoethanolamine; The pyrroles; Different
Figure BPA00001373058900121
Azoles; 1,2, the 4-triazole; Bipyridine; Pyrimidine; Pyrazine; Pyridazine; Quinoline; Isoquinolin; Indoles; Imidazoles; N-methylmorpholine-N-oxide (NMMO); Trimethylamine-N-oxide; Triethylamine-N-oxide; Pyridine-N-oxides; N-ethylmorpholine-N-oxide; N-methylpyrrolidin-N-oxide; N-ethyl pyrrolidine-N-oxide; The 1-methylimidazole; Diisopropylamine; Di-iso-butylmanice; Aniline; Anil; And combination.Perhaps, etchant can comprise hydroxide salt, and it includes, but is not limited to alkali metal hydroxide, alkaline earth metal hydroxide, quaternary ammonium hydroxide and combination thereof.Preferably, etchant comprises hydrogen fluoride.
Desired surfactant comprises anion, cation (based on quaternary ammonium cation) and/or zwitterionic surfactant.For example, Shi Yi non-ionic surface active agent can comprise that organosilicon polymer, the acetylene of fluoroalkyl surfactants, ethoxylation fluorine surfactant, polyethylene glycol, polypropylene glycol, polyglycol ether or polypropylene glycol ether, carboxylate, DBSA or its salt, polyacrylate polymers, dinonyl phenyl polyoxyethylene, organosilicon polymer or modification are that the acetylene of glycol or modification is alkylammonium salt, and the alkyl phenol polyglycidyl ether and comprise above-mentioned at least a combination of glycol, alkylammonium or modification.In a preferred embodiment, non-ionic surface active agent can be the ethoxylation fluorine surfactant, for example The FSO-100 fluorine surfactant (DuPont Canada Company, Mississauga, Ontario, Canada).The anion surfactant of expecting in the present composition includes, but is not limited to fluorine surfactant, for example
Figure BPA00001373058900123
UR reaches
Figure BPA00001373058900124
FS-62 (DuPont CanadaCompany, Mississauga, Ontario, Canada); Sodium alkyl sulfate, for example ethylhexyl sulfate (
Figure BPA00001373058900125
08), alkylsurfuric acid ammonium, alkyl (C 10-C 18) ammonium carboxylate salt, sodium sulfosuccinate and ester thereof, for example, Sodium docusate, alkyl (C 10-C 18) sulfonate sodium; And two anionic sulphonate surfactant D owFax TM(The Dow Chemical Company, Midland, Mich., USA), alkyl diphenyl ether disulfonate DowFax for example TM3B2.The cationic surfactant of expection comprises alkylammonium salt, for example softex kw (CTAB) and hexadecyltrimethylammonium hydrogensulphate.Suitable zwitterionic surfactant comprises carboxylic acid ammonium, ammonium sulfate, amine oxide, N-dodecyl-N, N-dimethyl betaine, betaine, sulfobetaines, alkyl ammonium group propylthio hydrochlorate (alkylammoniopropyl sulfate), or the like.Perhaps, surfactant can comprise water-soluble polymer, and it includes, but is not limited to: polyethylene glycol (PEG), poly(ethylene oxide) (PEO), polypropylene glycol (PPG), PVP (PVP), cationic polymer, non-ionic polymers, anionic polymer, hydroxyethylcellulose (HEC), acrylamide polymer, polyacrylic acid, carboxymethyl cellulose (CMC), sodium carboxymethylcellulose (NaCMC), hydroxypropyl methylcellulose, PVP K30, BIOCARE TMPolymer, DOW TMLatex powder (DLP), ETHOCEL TMECN7NF, KYTAMER TMPC polymer, METHOCEL TMCellulose ether, POLYOX TMWater-soluble resin, SoftCAT TMPolymer, UCARE TMPolymer, UCON TMFluid, PPG-PEG-PPG block copolymer, PEG-PPG-PEG block copolymer and combination thereof.Water-soluble polymer can be short chain or long-chain polymer and can with nonionic of the present invention, anion, cation and/or zwitterionic surfactant combination.Preferably, surfactant comprises two anion sulfoacid salt surfactants, PPG-PEG-PPG block copolymer, PEG-PPG-PEG block copolymer and combination thereof.
Can comprise in the composition that water section ground is because the ability of its dissolving fluoride material.Be preferably deionized water.
When having organic solvent, it helps infiltration and dissolving organic remains as solvent, and the surface of wetting microelectronic component structure removes and/or passivation below adjacent material (for example, microelectronic component substrate) to help material.The organic solvent of this paper expection includes, but is not limited to alcohol, ether, pyrrolidones, glycol, carboxylic acid, glycol ethers, amine, ketone, aldehyde, alkane, alkene, alkynes and acid amides, more preferably pure, ether, pyrrolidones, glycol, carboxylic acid and glycol ethers, methyl alcohol for example, ethanol, isopropyl alcohol, butanols, and higher alcohol (comprises glycol, triol etc.), 2,2,3,3,4,4,5,5-octafluoro-1-amylalcohol, 1H, 1H, 9H-perfluor-1 nonyl alcohol, perfluoro-heptanoic acid, 1H, 1H, 7H-12 fluoro-1-enanthol, the perfluor valeric acid, 1H, 1H, 8H, 8H-12 fluoro-1,8-octane glycol, 2,2,3,3,4,4,5,5-octafluoro-1, the 6-hexane diol, 5H-perfluor valeric acid, the positive butyl ester of hyptafluorobutyric acid, oxolane (THF), N-methyl pyrrolidone (NMP), the cyclohexyl pyrrolidones, the N-octylpyrrolidone, N-Phenylpyrrolidine ketone, methyl formate, dimethyl formamide (DMF), methyl-sulfoxide (DMSO), tetramethylene sulfone (sulfolane), ether, phenoxy group-2-propyl alcohol (PPh), Propiophenone, ethyl lactate, ethyl acetate, ethyl benzoate, acetonitrile, acetone, ethylene glycol, propylene glycol, dioxane, butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, DPG, amphiphilic substance (diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, Triethylene glycol ethyl ether, ethylene glycol ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (that is butyl carbitol), triethylene glycol butyl ether, ethylene glycol ether, the diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol monomethyl ether, dipropylene glycol methyl ether (DPGME), the tripropylene glycol methyl ether, the DPG dimethyl ether, the DPG ether, the propylene glycol positive propyl ether, DPG positive propyl ether (DPGPE), the tripropylene glycol positive propyl ether, propylene glycol n-butyl ether, the DPG n-butyl ether, the tripropylene glycol n-butyl ether, the propylene glycol phenylate, and combination), ehter bond carboxylic acid (the CH that fluoridizes or do not fluoridize of side chain 3CH 2) nO (CH 2) mCOOH, wherein n=1-10 and m=1-10), the ehter bond carboxylic acid (CH that fluoridizes or do not fluoridize of non-side chain 3CH 2) nO (CH 2) mCOOH, wherein n=1-10 and m=1-10), the carboxylic acid (CH of the no ehter bond of fluoridizing or not fluoridizing of side chain 3(CH 2) nCOOH, wherein n=1-10), the carboxylic acid (CH of the no ehter bond of fluoridizing or not fluoridizing of non-side chain 3(CH 2) nCOOH, wherein n=1-10), dicarboxylic acids, tricarboxylic acids and combination thereof.In addition, solvent can comprise other amphiphilic substance, that is, be similar to surfactant and contain the two material of hydrophilic segment and hydrophobic part.Hydrophobicity can be given by including the molecular radical of being made up of alkyl or fluorocarbon group in usually, and hydrophily can be given by including ionic or uncharged polar functional group in usually.Preferably, organic solvent comprises sulfolane, butyl carbitol, DPG propyl ether or its mixture.
Optional extra acid helps cross-linked polymer bond fission and the dissolving in the low-k dielectric material.Extra acid can be organic acid and/or inorganic acid and includes, but is not limited to boric acid, oxalic acid, butanedioic acid, citric acid, lactic acid, acetate, trifluoroacetic acid, tetrafluoro boric acid, hydrofluoric acid, hydrochloric acid, formic acid, fumaric acid, acrylic acid, malonic acid, maleic acid, malic acid, L-tartaric acid, methanesulfonic acid, trifluoromethanesulfonic acid, acid iodide, TGA, thioacetic acid, glycolic, sulfuric acid, nitric acid, propiolic acid, pyruvic acid, acetoacetate and combination thereof.
Can add chelating agent to reduce or eliminate wafer metal pollutant on the apparatus surface between payoff period.The chelating agent of this paper expection includes, but is not limited to: β-two pyruvic acid salt compound, acetyl pyruvate, 1,1 for example, 1-three fluoro-2,4-pentanedione, and 1,1,1,5,5,5-hexafluoro-2,4-pentanedione; Carboxylate, for example formic acid esters and acetic acid esters and other long-chain carboxylic acid's ester; And acid amides (and amine), for example two (trimethyl silyl acid amides) tetramers.Extra chelating agent comprises amine and amino acid (promptly, glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamic acid, valine and lysine), citric acid, acetate, maleic acid, oxalic acid, malonic acid, butanedioic acid, phosphonic acids, phosphonate derivative (hydroxy ethylene diphosphonic acid (HEDP) for example, 1-hydroxyl ethane-1, the 1-di 2 ethylhexyl phosphonic acid, nitrilo--three (methylene phosphonic acid), NTA, iminodiacetic acid, Etidronic Acid (etidronic acid), ethylenediamine, ethylenediamine tetra-acetic acid (EDTA), and (1,2-cyclohexylidene two nitrilo-s) tetraacethyl (CDTA), uric acid, tetraglyme, five methyl diethylentriamine (PMDETA), 1,3,5-triazine-2,4,6-three mercaptan trisodium-salt solutions, 1,3,5-triazine-2,4,6-three mercaptan three ammonium salt solutions, sodium diethyldithiocarbamate, by dibasic dithiocar-bamate (R 1(CH 2CH 2O) 2NR 2CS 2Na, it has an alkyl (R 2=hexyl, octyl group, decyl or dodecyl) and an oligo-ether (R 1(CH 2CH 2O) 2, R wherein 1=ethyl or butyl)), ammonium sulfate, monoethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060s, diethylene-triamine pentaacetic acid, trimethylene diamine tetraacethyl, 2 hydroxy pyrimidine 1-oxide, ethylene diamine disuccinic acid, sodium triphosphate and combination thereof.Unlike may need with alkali in conjunction with form can chelating the deprotonation compound not fluoridize beta-diketon the same, fluoridize the beta-diketon chelating agent and can under the situation of alkali-free, use.Chelating agent can be located in manufacturer, introduce in the composition before composition is introduced device wafers or at device wafers place (that is, at the scene).Further contemplate that except that chelating agent, other component can be added in composition to dilute, to keep and/or increase the concentration of other component in the composition.Preferably, chelating agent comprises at least a phosphonate derivative.
The oxidant of this paper expection comprises (but being not limited to) hydrogen peroxide (H 2O 2), FeCl 3(hydration and unhydrated), oxone (oxone) (2KHSO 5KHSO 4K 2SO 4), ammonium polyatom salt (polyatomic salt) (for example, permonosulphuric acid ammonium, chlorous acid ammonium (NH 4ClO 2), ammonium chlorate (NH 4ClO 3), ammonium iodate (NH 4IO 3), ammonium pertorate (NH 4BO 3), ammonium perchlorate (NH 4ClO 4), ammonium periodate (NH 4IO 3), ammonium persulfate ((NH 4) 2S 2O 8), hypochlorous acid ammonium (NH 4ClO)), sodium polyatom salt (for example, sodium peroxydisulfate (Na 2S 2O 8), clorox (NaClO)), potassium polyatom salt (for example, Potassiumiodate (KIO 3), potassium permanganate (KMnO 4), potassium peroxydisulfate, nitric acid (HNO 3), potassium peroxydisulfate (K 2S 2O 8), postassium hypochlorite (KClO)), tetramethyl-ammonium polyatom salt (for example, tetramethyl chlorous acid ammonium ((N (CH 3) 4) ClO 2), tetramethyl ammonium chlorate ((N (CH 3) 4) ClO 3), tetramethyl ammonium iodate ((N (CH 3) 4) IO 3), tetramethyl ammonium pertorate ((N (CH 3) 4) BO 3), tetramethyl ammonium perchlorate ((N (CH 3) 4) ClO 4), tetramethyl ammonium periodate ((N (CH 3) 4) IO 4), tetramethyl ammonium persulfate ((N (CH 3) 4) S 2O 8)), TBuA polyatom salt (for example, tetrabutyl permonosulphuric acid ammonium), permonosulphuric acid, ferric nitrate (Fe (NO 3) 3), perhydrit ((CO (NH 2) 2) H 2O 2), peracetic acid (CH 3(CO) OOH) and the combination.Oxidant can be located in manufacturer, introduce in the composition before composition is introduced device wafers or at device wafers place (that is, at the scene).Preferably, oxidant comprises peroxide compound.
Known in this area, HF causes the spot corrosion of (comprising silicon) of microelectronic component substrate in the presence of metal pollutant (comprising copper).For eliminating this harmful spot corrosion effect in fact, chloride source can be made an addition to remove in the composition reduces to minimum with the spot corrosion that makes the microelectronic component substrate during removal process, this chloride source (for example for example can be (but being not limited to) hydrochloric acid, alkali metal chloride, NaCl, KCo, RbCl, CsCl etc.), alkaline earth metal chloride (for example, MgCl 2, CaCl 2, SrCl 2, BaCl 2Deng), ammonium chloride, have formula NR 1R 2R 3R 4The alkyl ammomium chloride of Cl (R wherein 1, R 2, R 3And R 4Can be same to each other or different to each other and can be H or side chain or straight chain C 1-C 6Alkyl (for example, methyl, ethyl, propyl group, butyl, amyl group or hexyl)) and the combination.Preferably, chloride source comprises ammonium chloride.
Defoamer is to cause the material that foam in the solution caves in fast or suppresses the foaming degree.Preferably, defoamer must satisfy three conditions: it should be insoluble to solution, and it should have positive spreading coefficient, and it should have and is just entering coefficient.The expection defoamer generally includes (but being not limited to) based on organic silicone oil, based on mineral oil, based on natural oil, based on acetylene system and based on the defoamer of phosphate.More preferably, defoamer includes, but is not limited to the ethylene oxide/propylene oxide block copolymer, for example ( ) product (for example,
Figure BPA00001373058900163
17R2, 17R4,
Figure BPA00001373058900165
31R1 reaches
Figure BPA00001373058900166
25R2); Alcohol alkoxylates, for example
Figure BPA00001373058900167
Product ( ) (for example,
Figure BPA00001373058900169
PA20); Fatty alcohol alkoxy compound, for example
Figure BPA000013730589001610
(Huntsmen) (for example,
Figure BPA00001373058900171
P1); The admixture of phosphate and nonionic emulsifier, for example defoamer M (Ortho Chemicals Australia Pty.Ltd.) and super defoamer 225 (VarnProducts); And combination.Notice that defoamer M also can be used as wetting agent, and therefore when use defoamer M its can be surfactant and defoamer the two.In addition, diethylene glycol monobutyl ether, propylene glycol monomethyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether, DPG dimethyl ether, DPG ether, propylene glycol positive propyl ether, DPG positive propyl ether (DPGPE), tripropylene glycol positive propyl ether, propylene glycol n-butyl ether, DPG n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenylate, and propylene glycol can use separately or be used in combination with effective elimination foam with other defoamer.In one embodiment, defoamer is selected from the admixture and the combination thereof of ethylene oxide/propylene oxide block copolymer, alcohol alkoxylates, fatty alcohol alkoxy compound, phosphate and nonionic emulsifier.In another embodiment, defoamer is selected from ethylene oxide/propylene oxide block copolymer, alcohol alkoxylates, fatty alcohol alkoxy compound and combination thereof.In an embodiment again, defoamer is the ethylene oxide/propylene oxide block copolymer.
In view of " green " of first aspect removes the character of composition, preferably there be not organic solvent and other HAP organic solvent that comprises ethylidene group (for example, ethylidene, diethylidene, triethylene etc.) in fact in composition.For example, if there is organic solvent, then it preferably includes propylidene and/or propylene glycol.Should be appreciated that the composition that comprises the solvent that contains ethylidene also is possible, as easily determining by those skilled in the art.
This composition can randomly comprise extra component, and this extra component comprises active ingredient and nonactive composition, for example, and rheological agent, stabilizer, passivator, dispersant, pH stabilizer etc.
In a preferred embodiment, " green " of first aspect removes composition and comprises at least a etchant, at least a surfactant, water, reaches at least a defoamer, constituted or is made of these basically by these.In another preferred embodiment, " green " of first aspect removes composition and comprises at least a etchant, at least a surfactant, water, reaches at least a defoamer, constituted or be made of these basically by these, wherein this defoamer comprises and is selected from following material: the admixture of ethylene oxide/propylene oxide block copolymer, alcohol alkoxylates, fatty alcohol alkoxy compound, phosphate and nonionic emulsifier and combination thereof.In another preferred embodiment, " green " of first aspect removes composition and comprises at least a etchant, at least a surfactant, water, at least a defoamer, at least a chloride source, reaches at least a chelating agent, constituted or is made of these basically by these.In another embodiment, " green " of first aspect removes composition and comprises at least a etchant, at least a surfactant, water, at least a defoamer, at least a chloride source, reaches at least a chelating agent, constituted or be made of these basically by these, wherein this defoamer comprises and is selected from following material: the admixture of ethylene oxide/propylene oxide block copolymer, alcohol alkoxylates, fatty alcohol alkoxy compound, phosphate and nonionic emulsifier and combination thereof.In a preferred embodiment again, " green " of first aspect removes composition and comprises at least a etchant, at least a surfactant, water, at least a defoamer, at least a chloride source, at least a oxidant and at least a chelating agent, constituted or is made of these basically by these.In another preferred embodiment, " green " of first aspect removes composition and comprises at least a etchant, at least a surfactant, water, at least a defoamer, at least a chloride source, at least a oxidant and at least a chelating agent, constituted or be made of these basically by these, wherein this defoamer comprises and is selected from following material: the admixture of ethylene oxide/propylene oxide block copolymer, alcohol alkoxylates, fatty alcohol alkoxy compound, phosphate and nonionic emulsifier and combination thereof.For example, removing composition and can comprising water, ammonium chloride, HF, phosphonate derivative chelating agent, alkyl diphenyl ether disulfonate surfactant and ethylene oxide/propylene oxide block copolymer defoamer of first aspect constituted or is made of these basically by these.Another example that removes composition of first aspect comprises water, ammonium chloride, HF, HEDP, alkyl diphenyl ether disulfonate surfactant and ethylene oxide/propylene oxide block copolymer defoamer, is constituted or is made of these basically by these.Oxidant (for example hydrogen peroxide) can be located in manufacturer, (that is, at the scene) is introduced in the composition before composition is introduced device wafers or at the device wafers place.
" green " of first aspect removes composition and can further comprise and be selected from following material residues: post-etch residues, low-k dielectric material, metal stack material, height-k dielectric material, barrier layer material, ferroelectric material, nitride, silicide, oxide, the accumulation that contains polymer, ARC material, through doped region, other material and combination thereof.Preferably, this material dissolves in and/or be suspended in " green " and remove in the composition and remove composition and still have its intended use.
In one embodiment, " green " of first aspect removes composition and is deployed into following concentrated embodiment, and wherein all base is in the total weight of composite by weight for all percentages:
Figure BPA00001373058900191
Concentrated embodiment can comprise about 0.01% to about 20%, more preferably from about 1% at least a oxidant to about 15 weight %, and it can add in advance and/or remove on-the-spot the interpolation.When existing, organic solvent and/or organic acid lower limit can be 0.01 weight % in the total weight of composite.In preferred embodiment especially, the scope of the percentage by weight of this component is: with respect to pure surfactant, and about 1: 1 to about 10: 1 pure chloride source, preferred about 2: 1 to about 5: 1 and most preferably from about 3: 1 to about 4: 1; With respect to pure surfactant, about 1: 1 to about 15: 1 pure HF, preferred about 3: 1 to about 10: 1 and most preferably from about 7: 1 to about 8: 1; With respect to pure surfactant, about 1: 1 to about 10: 1 pure chelating agent, preferred about 2: 1 to about 8: 1 and most preferably from about 4: 1 to about 5: 1; And with respect to pure surfactant, about 0.01: 1 to about 0.15: 1 pure defoamer, preferred about 0.03: 1 to about 0.12: 1 and most preferably from about 0.06: 1 to about 0.09: 1.
In each embodiment of first aspect, remove composition can not exist in fact in the following material one of at least: nitric acid, sulfuric acid, lactams (for example, piperidones and/or pyrrolidones), supercritical fluid, amine and the polymer that makes by at least a aldehyde and at least a aromatic compounds polycondensation.
In one embodiment, the composition that removes of first aspect is used to reclaim the microelectronic component structure.In other words, can remove a layer can be removed or more than one layer can be removed from the microelectronic component structure.
In another embodiment, the composition that removes of first aspect can be used for reprocessing the microelectronic component structure, removes the dorsal part of this structure and/or the accumulation that contains polymer on the beveled edge thus.The method that removes the accumulation that contains polymer from the dorsal part and/or the beveled edge of structure may require the front side of (but nonessential) protection structure to avoid being exposed to composition.This method can comprise structure is positioned on the single wafer instrument, the front side that this instrument uses inert gas (for example, nitrogen) and/or deionized water to spray the protection wafer.Perhaps, can protect the front side by photoresist layer or other protective coating polymer of deposition of thick on the front side.In other words, if the front side of structure comprise when cleaning dorsal part and/or beveled edge, can not be exposed to first aspect remove graphically and/or during cladding material of composition, then tackle the front side and protect.In another embodiment, what the two all was exposed to first aspect with front side and dorsal part/beveled edge removes composition with simultaneously with material from the front side (for example, low-k dielectric material etc.) and remove from dorsal part/beveled edge (accumulation and the copper-bearing materials that for example, contain polymer).
Use and as herein describedly remove the low-k dielectric material that composition removes and comprise CORAL TM, carbonado TMThe derivative of (hereinafter referred to as BD), CORAL, the derivative of BD, Derivative, SiCOH etc.As used herein, " derivative of CORAL " reach " derivative of BD " correspond respectively to use can for substitute, the CORAL and the BD material of proprietary deposition usually.Utilize the different disposal technology to produce and be different from CORAL respectively TMAnd BLACK DIAMOND TMCORAL and BD material.
In another embodiment of first aspect present invention, copper ion made an addition to remove in the composition to quicken tungsten and to contain tungsten layer removing from the microelectronic component structure.When existing, add copper ion amount in the total weight of composition can about 0.01 weight % to about 5 weight %, preferred about 0.1 weight % to about 2.5 weight % and most preferably from about 0.2 weight % to the scope of about 1 weight %.
In second aspect, to set forth another kind of " green " or environmental friendliness and remove composition, this removes composition and comprises etchant source, at least a surfactant, water and optional at least a oxidant, is constituted or is made of these basically by these.The component that removes composition exists with following scope in the total weight of composition:
Figure BPA00001373058900211
Be used for the etchant that removes composition of second aspect and optional oxidizing agent and comprise that those abovely remove the exponent of composition institute at first aspect.The surfactant that removes composition that is suitable for second aspect comprises (but being not limited to): anion surfactant, for example the phosphate of DBSA (DDBSA) or its salt, other LABS (LABSA) or its salt, alkoxy fatty alcohols (for example AA270 is sold by BASF Corporation); Non-ionic surface active agent, for example nonyl phenol ethoxylate (for example, Tergitol TM15-S-9 buys from DOW), fatty alcohol alkoxy compound (for example (Huntsmen) (for example,
Figure BPA00001373058900214
P1)), polyoxyethylene glycol lauryl ether (for example, Brij 35), and alcohol alkoxylates (for example
Figure BPA00001373058900215
Product (
Figure BPA00001373058900216
) (for example,
Figure BPA00001373058900217
PA20)); Polymeric surfactant, for example PPG-PEG-PPG block copolymer, PEG-PPG-PEG block copolymer, ethylene oxide/propylene oxide block copolymer, for example
Figure BPA00001373058900218
(
Figure BPA00001373058900219
) product (for example,
Figure BPA000013730589002110
17R2,
Figure BPA000013730589002111
17R4,
Figure BPA000013730589002112
31R1 reaches
Figure BPA000013730589002113
25R2); And combination.Preferably, surfactant comprises the ethylene oxide/propylene oxide block copolymer.
This composition can randomly comprise extra component, and this extra component comprises active ingredient and nonactive composition, for example rheological agent, stabilizer, passivator, dispersant, pH stabilizer, defoamer, chloride source, oxidant, chelating agent, cosolvent etc.
" green " of second aspect removes composition and can further comprise and be selected from following material residues: post-etch residues, low-k dielectric material, height-k dielectric material, SiCN, alumina-bearing material, barrier layer material, ferroelectric material, nitride, silicide, oxide, photoresist, the accumulation that contains polymer, ARC material, through doped region, other material and combination thereof.Preferably, this material dissolves in and/or be suspended in " green " and remove in the composition and remove composition and still have its intended use.
In view of " green " of second aspect removes the character of composition, composition does not preferably exist in fact: the organic solvent and other HAP organic solvent that comprise ethylidene group (for example, ethylidene, diethylidene, triethylene etc.); Nitric acid; Sulfuric acid; Lactams (for example, piperidones and/or pyrrolidones); Supercritical fluid; Amine; Ammonium fluoride; Reach the polymer that makes by at least a aldehyde and at least a aromatic compounds polycondensation.
In one embodiment, " green " of second aspect or environmental friendliness are removed composition are deployed into following concentrated embodiment, wherein all percentages all base represents with weight in the total weight of composite:
In preferred embodiment especially, second aspect remove composition comprise about 17 weight % to about 23 weight %HF, about 4 weight % to about 6 weight % surfactants and about 70 weight % to about 80 weight % water, wherein all percentages all base represents with weight in the total weight of composite.When existing, the amount of oxidant preferably at about 0.01 weight % to the scope of about 10 weight %.In preferred embodiment especially, the weight percentage ranges of this component is: with respect to pure surfactant is about 1: 1 to about 10: 1 pure HF, preferred about 2: 1 to about 6: 1 and most preferably from about 3: 1 to about 5: 1.
The preferred embodiment that removes composition of second aspect comprises HF, PEG-PPG-PEG block copolymer and water, is constituted or is made of these basically by these.In another preferred embodiment, the composition that removes of second aspect comprises HF, PPG-PEG-PPG block copolymer and water, is constituted or is made of these basically by these.In another preferred embodiment, the composition that removes of second aspect comprises HF, polyoxyethylene glycol dodecyl ether surface active agent and water, is constituted or is made of these basically by these.Oxidant (for example hydrogen peroxide) can be located in manufacturer, (that is, at the scene) is introduced in the composition before composition is introduced device wafers or at the device wafers place.In another preferred embodiment, the composition of second aspect further comprises at least a defoamer.
In one embodiment, the composition that removes of second aspect is used to reclaim the microelectronic component structure.In other words, can remove a layer can be removed or more than one layer can be removed from the microelectronic component structure.In another embodiment, the composition that removes of second aspect can be used for reprocessing the microelectronic component structure, removes the dorsal part of this structure and/or the accumulation that contains polymer on the beveled edge thus, as above about as described in the first aspect.
It should be noted that when removing composition and be about to contact common used abrasive material during the removing composition and should not have CMP to handle in fact of first and second aspect with microelectronic component.
As herein described remove composition remove from the microelectronic component surface effectively simultaneously following one of at least: contain the accumulation, metal stack material, low-k dielectric layer, height-k dielectric layer, etch stop layer, nitride, silicide, oxide, barrier layer, photoresist, post-etch residues, other material of polymer, through doped region (being different from the doping type epitaxy Si) and/or other material.For example, remove composition and can effectively remove the low-k dielectric material from the front side of microelectronic component, dorsal part and/or the beveled edge from microelectronic component removes polymer and other material simultaneously, as easily being determined by those skilled in the art institute.Therefore, when implementing the microelectronic component manufacturing operation, the composition that removes as herein described can be effective to remove from the microelectronic component structure in single recovery or reprocessing step and at least aly is selected from following material with recycling and/or re-use this structure: low-k dielectric material, height-k dielectric material, etch stop layer, metal stack material, nitride, silicide, oxide, photoresist, barrier layer, the accumulation that contains polymer, ferroelectric material, other material, through doped region (being different from the doping type epitaxy Si) and combination thereof.The composition that removes as herein described satisfies the recovery requirement, this recovery requires to include, but is not limited to: the particle of 0.25 μ m is less than the particle that 25, the particle of 0.12 μ m are less than 50 or 0.09 μ m and is less than 100, total thickness variations (TTV) is less than about 5 μ m (not needing to remove the back planarization process), and surface metal pollutes less than 1 * 10 10Individual atom cm -2And/or the thickness of institute's recovery substrate (no any other retaining layer) initial substrate thickness 5% in, preferably in 2%, and most preferably in 1%; And reprocessing/cleaning requirement.In addition, because low TTV, so may not need chemico-mechanical polishing (CMP) step before re-using, this step is typical at present used recovery practice, that is, substrate is carried out front side or the dorsal part planarization that planarization makes wafer after removing material wet.Perhaps, can change the CMP step parameter so that energy demand reduce in fact, for example, the contraction in length of polishing time etc.Most preferably, after the microelectronic component substrate removes material, TTV is less than 3%, more preferably less than 1% and most preferably less than 0.5%.
The composition that removes as herein described also satisfies and re-uses requirement, for example, realizes removing photoresist, containing the accumulation of polymer and/or electro-coppering and can substantial damage layer to be kept from the outermost edge and the dorsal part essence of device substrate.Unlike prior art (for example, physics finished edge, dry plasma etch, burning etc.) to re-use composition the same, at least a material that desire removes from the microelectronic component structure can utilize wet solution to remove.
By each composition of simple interpolation and it is mixed to homogeneous state easily allocates the composition that removes as herein described.In addition, can easily the many parts of composites that composition is deployed into the unitary package composite or mixes in use will be removed.The unitary part of many parts of composites can be mixed at the instrument place or in the holding vessel of instrument upstream or in the two.The concentration of each composition in removing composition can specific factor change significantly, for example rarer or denseer, and should understand remove composition can be differently or alternately comprise each composition that meets this paper disclosure any combination, form or form by it substantially by it.
Therefore, relate to the dense composite that composition as herein described has low amounts of water and/or solvent or alternatively do not have water and/or solvent on the other hand, wherein water and/or solvent can interpolation remove composition with formation before using.Dense composite can dilute in the scope of about 1: 10 to 100: 1 solvent to concentrate, and wherein solvent can be water and/or organic solvent.
Relate on the other hand and in one or more containers, comprise one or more and be suitable for forming the external member that removes the component of composition (that is, first or second aspect) described herein.In one embodiment, external member can in one or more containers, comprise etchant source, at least a surfactant or polymer, optional water, optional at least a organic solvent, optional at least a organic acid, optional at least a oxidant, optional at least a chloride source, optional at least a chelating agent, and optional at least a defoamer be used for like this or in factory, make up with diluent (for example, water and/or organic solvent) and/or defoamer.Perhaps, external member can comprise at least a etchant, at least a surfactant or polymer, water, and optional at least a defoamer be used for like this or in factory, make up with diluent (for example, water and/or organic solvent) and/or defoamer.In another replacement scheme, external member can comprise at least a etchant, at least a surfactant, water, at least a chloride source, at least a chelating agent, and optional at least a defoamer be used for like this or in factory, make up with diluent (for example, water and/or organic solvent) and/or defoamer.In an alternate embodiment again, external member can comprise at least a etchant, at least a surfactant, water, at least a chloride source, at least a chelating agent, and optional at least a defoamer be used for like this or in factory, make up with diluent (for example, water and/or organic solvent), defoamer and/or oxidant.In an embodiment again, external member can comprise that at least a etchant, at least a surfactant or polymer and water are used for like this or make up in factory with diluent (for example, water) and/or at least a oxidant.
The container of external member should chemically be suitable for storing and distributing wherein contained component.For example, the container of external member can be
Figure BPA00001373058900251
Container (Advanced Technology Materials, Inc., Danbury, Conn, USA).Containing the one or more containers that remove the composition each component preferably includes the component that makes in these one or more containers and is in the member of fluid connected state to admix and to distribute.For example, reference Container, the lining outside that air pressure can be applied to these one or more containers is to discharge at least a portion lining content and to make that therefore fluid is communicated with to admix and to distribute.Perhaps, the headroom that air pressure can be applied to pressurisable container commonly used maybe can use pump to realize that fluid is communicated with.In addition, optimum system choosing comprises the dispensing orifice that composition is dispensed to handling implement that removes through blending.
Preferably use chemically inert, pure, the flexible in fact lining that flexible polymeric film material (for example, high density polyethylene (HDPE)) is made these one or more containers that reaches.Desirable lining material is treated and need not coextrusion or barrier layer, and can not influence any pigment, UV inhibitor or the processing agent of the purity requirement of desiring to be arranged in the component in this lining unfriendly.The tabulation of desirable lining material comprises the film that contains following material: pure (not containing additive) polyethylene, pure polytetrafluoroethylene (PTFE), polypropylene, polyurethanes, polyvinylidene chloride, polyvinyl chloride, polyacetals, polystyrene, polyacrylonitrile, polybutene etc.To the scope of about 30 Mills (0.030 inch), for example thickness is 20 Mills (0.020 inches) to the preferred thickness of this lining material at about 5 Mills (0.005 inch).
Container about external member, the explanatory content of following patent and patent application case all is incorporated herein in the mode of its corresponding whole content quotation: United States Patent (USP) the 7th, 188, No. 644, title is " APPARATUS AND METHOD FOR MINIMIZING THEGENERATION OF PARTICLES IN ULTRAPURE LIQUIDS "; United States Patent (USP) the 6th, 698, No. 619, title is " RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINERSYSTEM "; International application case PCT/US08/63276 number, it is filed an application title on May 9th, 2008 with the name of John E.Q.Hughes and is " SYSTEMS AND METHODSFOR MATERIAL BLENDING AND DISTRIBUTION "; And international application case PCT/US08/85826 number, it was filed an application with people's such as John E.Q.Hughes name and title is " SYSTEMS AND METHODS FOR DELIVERYOF FLUID-CONTAI NING PROCESS MATERIAL COMBINATIONS " on December 8th, 2008.
Except that liquid solution, this paper also estimate to remove composition can be deployed into foam, mist, compact fluid (that is, and overcritical or subcritical, wherein dewater and/or organic solvent beyond or replace water and/or organic solvent, solvent is CO 2Deng).
The composition that removes as herein described makes in one step and is selected from following material from the dissolving of microelectronic component substrate and/or layering are at least a: post-etch residues, low-k dielectric material, height-k dielectric material, etch stop layer, nitride, silicide, oxide, metal stack material, ferroelectric material, barrier layer, photoresist, ARC material, contain the accumulation of polymer, through doped region and combination (that is, remaining to be removed material all can remove by defective microelectronic component substrate is contacted with single impregnation with single composition) thereof.Most preferably, removable material dissolves and/or layering in one step, and the precursor condition is not need mechanical polishing in recycling and/or before re-using.As defined herein, " dissolving " contain and make solid solute (for example, desire remove material) enter solvent to form the process of solution." dissolving " is intended to comprise etching, decomposition and/or the chemical polishing of desiring to remove material.Dissolving has to be made the generation that can fall to the predetermined substance on this substrate subsequently reduce to minimum and eliminates the advantage that the equipment that removes blocks in fact.
Advantageously, in the rest layers that removes microelectronic component structure after the process in fact smoothly and be not damaged, preferably before being the deposition process of new layer of material (for example, low-k dielectric material, height-k dielectric material, photoresist, metal stack layer, etch stop layer etc.), additional manufacture process do not need to carry out the planarization of front side and/or dorsal part.For example, if after reclaim, then rest layers only comprises microelectronic component substrate and epitaxy Si layer, substrate preferably has been ready to reuse/re-use and has need not costliness and influence the mechanical polishing of structure.Should be appreciated that, can use mechanical polishing, contact polishing or the wiping on surface when needing.
In another aspect, openly remove method, wherein the microelectronic component that has this layer from it with at least a accumulation that is selected from low-k dielectric layer, height-k dielectric material, etch stop layer, metal stack material, nitride, silicide, oxide, ferroelectric material, barrier layer, photoresist, ARC material, post-etch residues, contains polymer, through the material of doped region and combination thereof use first or the composition that removes of second aspect remove.For example, removable low-k dielectric material is kept lower substrate and etch stop layer (for example, SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs), and the integrality of metal stack material simultaneously.Perhaps, removable low-k dielectric layer and metal stack material are kept the integrality of lower substrate and/or etch stop layer simultaneously.In another alternative aspect, removable low-k dielectric layer, etch stop layer and metal stack material are kept the integrality of lower substrate simultaneously.
More on the one hand in, can use first or the composition that removes of second aspect come the cleaning microelectronic device architecture, remove the dorsal part of structure and/or the accumulation that contains polymer on the beveled edge thus.In one embodiment; the process that removes the accumulation that contains polymer from the dorsal part and/or the beveled edge of structure comprises this structure is positioned single wafer instrument; this wafer instrument uses inert gas (for example, nitrogen) and/or deionized water to spray the front side of this structure of protection.Perhaps, can be by thick photoresist layer or other protective finish polymer deposition be protected the front side on the front side.In other words, comprising that the structure front side of not wanting the cover type layer that damages or patterned layer is not exposed to when cleaning dorsal part and/or beveled edge removes under the composition.In another embodiment, the two is exposed to and removes under the composition so that (for example, low-k dielectric material) and dorsal part/beveled edge (for example, containing the accumulation of polymer and the material of cupric) remove from the front side with material simultaneously with front side and dorsal part/beveled edge.
The microelectronic component wafer can be reprocessed outside factory or in the factory.Reprocessing and recycling have in the factory increases total output, reduce the advantage of the circulation timei between overall cost and minimizing diagnostic procedure and the reprocessing.
In removing application, as herein described remove composition with any suitable way with its on have and wait that the defective microelectronic component that removes material contacts, for example, spray on device surface by removing composition, the device dipping (in removing in the composition of certain volume) that will comprise removable material, make this device and be absorbed with another material (for example, pad or fibroid adsorbent the spread assembly) contact that removes composition on it, make and comprise that the device of waiting to remove material removes composition with recirculation and contacts, or remove composition and material to be removed and form removable any other suitable approach that contacts by making, mode or technology.Contact conditions comprises the regular period and is enough to remove the condition of removable material.In addition, wafer-process or single wafer-process in batch contained in this paper.The process that removes that use removes composition can comprise static cleaning, kinetic cleaning or comprise kinetic cleaning, the treatment step in succession of static cleaning is with this device of cleaning in removing composition subsequently, wherein corresponding dynamically and static step in the circulation of this alternate steps alternately and enforcement repeatedly.Any contact alternative condition disclosed herein can comprise further that sonicated is to help removing the material of desiring to remove from microelectronic component.
This paper set forth removes composition and can use with various burnishers commonly used, and it comprises the single wafer mega sonic wave of Verteq golden finger (Goldfinger), OnTrak systemsDDS (bilateral is scrubbed), Laurell rotary-jet instrument, the single wafer jet cleaning of SEZ, AppliedMaterials Mirra-Mesa TM/ Reflexion TM/ Reflexion LK TM, and mega sonic wave wetting system in batches.For example, can wait to remove the surface of material and make to wait that the interface machinery that removes material decomposes to come the auxiliary process that removes at least a material as mentioned above to make by adding physics component (for example mega sonic wave) to a step wet-chemical process with respect to substrate or layer to be kept.
When being applied to the microelectronic component manufacturing operation, removing composition is effective to remove at least a following material that is selected from from the microelectronic component structure: low-k dielectric layer, height-k dielectric material, etch stop layer, metal stack material, nitride, silicide, oxide, ferroelectric material, barrier layer material, photoresist, post-etch residues, ARC material, contain the accumulation of polymer, through doped region, and the combination, be used for this structure recovery, reprocessing, reuse and/or re-use.In addition, should be appreciated that remove composition can use during the CMP (Chemical Mechanical Polishing) process with quicken CDO and other low-k dielectric material remove or CMP after process with residual materials after removing CMP.
When with at least a low-k dielectric layer that is selected from, height-k dielectric material, etch stop layer, the metal stack material, nitride, silicide, oxide, ferroelectric material, barrier layer, photoresist, post-etch residues, the ARC material, the accumulation that contains polymer, through doped region, and the material of combination is when the microelectronic component structure with described material removes, usually make and remove composition and constructional device at about 20 ℃ to about 90 ℃, preferred about 20 ℃ to about 70 ℃, most preferably from about contact about 30 seconds to about 60 minutes under 20 ℃ of temperature to the about 50 ℃ scope, most preferably the time was depended on the thickness of desiring to remove layer to about 5 minutes time in more preferably about 75 seconds.When removing etch stop layer, about 20 ℃ of temperature following times of contact to about 80 ℃ scope can about 5 minutes to about 3 hours scope, this depends on the thickness of etch stop layer.This time of contact and temperature are exemplary, and in broad practice of the present invention, can use any other suitable time and the temperature conditions that effectively removes described one or more materials in fact from apparatus structure.
Realize desirable removing after the effect, removing composition can easily remove from previous its microelectronic component that is applied, for example, remove step, as desired and effective in the given final use of composition disclosed herein is used by rinsing, washing, drying or other.For example, microelectronic component can be used rinsed with deionized water.In addition, microelectronic component can utilize nitrogen, isopropyl alcohol or SEZ (rotation processing technology) to carry out drying.
Removing composition and defective microelectronic component structure period of contact can use statistical Process Control (SPC) to monitor and control this composition.For example, can monitor the SPC that removes the composition bath and control some inputs, it comprises bath temperature, bath pH, the concentration of bathing main component, the concentration and the feed chemical purity of accessory substance.Preferably, use on-line monitoring to monitor and remove composition, wherein online sampling equipment can be bathed the loss in weight (it is the indication that water and/or amine lose), fluoride concentration, H with the standard analytical tools coupling with monitoring by communication mode 2O 2Concentration, pH etc.By monitoring and/or control in this parameter one of at least, can prolong and remove the life-span that composition is bathed, this makes process efficiency reach maximum.The purpose of SPC is to pass the essence stable state of keeping the several parameters that removes composition when handling in time, as easily being determined by those skilled in the art institute.About SPC, the mode that the disclosure of following patent application case is quoted with its corresponding integral body is incorporated herein: the title of filing an application on March 31st, 2007 is the U.S. Provisional Patent Application case the 60/909th of " METHOD FORSTRIPPING MATERIAL FOR WAFER RECLAMATION ", No. 428 and the title of filing an application on June 13rd, 2007 are No. the 60/943rd, 736, the U.S. Provisional Patent Application case of " METHOD FOR STRIPPING MATERIAL FOR WAFERRECLAMATION ".
Astoundingly, the inventor finds that same microelectronic component structure can repeatedly reclaim, and for example, material is removed to reclaim substrate or to reclaim substrate and treat retaining layer.For example, same substrate can be treated with deposit at least one material layer and reclaim subsequently more than or equal twice, preferably more than or equal 5 times, more preferably more than or equal 10 times and most preferably more than or equal 20 times, this depends on method and institute's deposition materials, and recovery requirement as herein described is all satisfied in described recovery each time.Removal process preferably one step removes process (that is, use single composition to remove institute and remain to be removed material) and preferably do not need to reclaim the back planarization before carrying out with reprocessing in one step.In other words, as it will be apparent to those skilled in the art that some deposition processs and some material damage substrates, and therefore may need multiple solution and/or some planarizations successfully to reclaim substrate.The planarization meeting has the influence of the callable number of times of restricting substrate.
Should be appreciated that, contain at least one step and need use at least a multistep that removes composition disclosed herein to remove process suddenly.For example, the process of removing can be two step process, wherein first step comprises making to have substrate and at least a microelectronic component of waiting to remove material and as herein describedly in adequate condition (for example remove composition, as disclosed herein) contact the enough time down to remove this at least a material from microelectronic component, and with substrate polishing to eliminate damaged surfaces, wherein polishing condition is well known in the art.
In addition, the inventor finds that surprisingly same microelectronic component structure can be reprocessed, and for example, removes photoresist and ARC material from the microelectronic component structure, more than 10 times.For example, same structure can be through photoetching treatment and reprocess subsequently with the photoresist pattern that removes location of mistake more than or equal 2 times, preferably more than or equal 5 times and most preferably more than or equal 10 times, wherein this reprocessing can not damage layer to be kept in fact.In addition, the inventor surprisingly finds, the dorsal part of microelectronic component structure and/or beveled edge can easily clean, for example, remove the accumulation that contains polymer and/or metal from the dorsal part of microelectronic component structure and/or beveled edge and need not adopt method used this area (for example, physics polishing, dry plasma etch, burning etc.).
More on the one hand in, the goods that openly comprise microelectronic component, wherein this microelectronic component comprises use method recovery as herein described, reprocessing, recycling and/or the microelectronic component structure or the microelectronic component substrate that re-use, this method comprise make the microelectronic component structure with first or the composition that removes of second aspect under adequate condition, contact the enough time to remove at least a following material that is selected from fact: the low-k dielectric material, height-k dielectric material, etch stop layer, the metal stack material, nitride, silicide, oxide, ferroelectric material, barrier layer material, photoresist, post-etch residues, the ARC material, the accumulation that contains polymer, through doped region, and combination.Recycling or the microelectronic component structure that re-uses or microelectronic component substrate can comprise subsequently one or more in follow-up microelectronic component manufacture process deposition layer thereon, it comprise low-k dielectric layer, height-k dielectric material, etch stop layer, metal stack material, nitride layer, silicide layer, oxide skin(coating), ferroelectric layer, barrier layer material, in doped region and combination thereof one of at least.
More on the one hand in, set forth a kind of goods, wherein these goods comprise reprocessing microelectronic component structure or reprocessing microelectronic component substrate and at least aly are selected from following layers of additional materials: low-k dielectric material, height-k dielectric material, etch stop layer, metal stack material, nitride, silicide, oxide, ferroelectric material, barrier layer material, photoresist, ARC material, through doped region and combination thereof, wherein this at least a layers of additional materials is deposited on the microelectronic component structure or substrate after reprocessing.These goods can further comprise the intermediate layer that is positioned between microelectronic component structure or substrate and at least a layers of additional materials.
More on the one hand in, the method of making the goods that comprise microelectronic component is disclosed, wherein this microelectronic component comprises use method recovery as herein described, reprocessing, recycling, and/or microelectronic component structure that re-uses or microelectronic component substrate, this method comprise make the microelectronic component structure with first or the composition that removes of second aspect under adequate condition, contact the enough time to remove at least a following material that is selected from fact: the low-k dielectric material, height-k dielectric material, etch stop layer, the metal stack material, nitride, silicide, oxide, ferroelectric material, barrier layer material, photoresist, post-etch residues, the ARC material, the accumulation that contains polymer, through doped region, and combination.The method of making these goods can further be included in and in the follow-up microelectronic component manufacture process one or more layers is deposited on recycling or re-use on microelectronic component structure or the microelectronic component substrate, wherein should one or more layers comprise low-k dielectric layer, height-k dielectric material, etch stop layer, metal stack material, nitride layer, silicide layer, oxide skin(coating), ferroelectric layer, barrier layer, doped region and make up in one of at least.
In another aspect, the present invention relates to the dorsal part of cleaning microelectronic device architecture and/or the method for beveled edge, this method comprises: be positioned to use nitrogen and/or deionized water to spray in the instrument of the front side of protecting this structure this structure; And the dorsal part of this structure and/or beveled edge are contacted with first or the composition that removes of second aspect, wherein this removes composition and removes the accumulation that contains polymer from the dorsal part and/or the beveled edge of microelectronic component substrate in fact.
After the processing, composition as herein described can be through further processing to reduce the chemical oxygen demand (COD) of waste water stream in the manufacturing facility.
In another aspect, after using and before abandoning defoamer added to discard and remove in the composition.
Example 1
Be prepared as follows the dense composition that removes: 6.75 weight %NH4Cl, 43.6 weight % water, 30 weight %HF (49%), 15 weight %HEDP (60%), 4.5 weight %Dowfax3B2 (45%) (Dowfax3B2 buys and so use as 45 weight % solution) and 0.15 weight % defoamer, wherein this defoamer is
Figure BPA00001373058900331
RA20 (composite A),
Figure BPA00001373058900332
P1 (composite B), 17R2 (composite C),
Figure BPA00001373058900334
17R4 (composite D) or One of among the 25R2 (composite E).Each concentrated composition diluted (that is, 2 parts of concentrates are to 1 part of 30%H2O2) with 2: 1 usefulness 30% hydrogen peroxide before using.
Be prepared as follows the dense composition that removes of another group: 6.75 weight %NH4Cl, 47.5 weight % water, 30 weight %HF (49%), 15 weight %HEDP (60%), and 0.75 weight % defoamer, wherein this defoamer is RA20 (composite F),
Figure BPA00001373058900337
P1 (composite G),
Figure BPA00001373058900338
17R2 (composite H),
Figure BPA00001373058900339
17R4 (composite I) or
Figure BPA000013730589003310
One of among the 25R2 (composite J).Each concentrated composition diluted (that is, 2 parts of concentrates are to 1 part of 30%H2O2) with 2: 1 usefulness 30% hydrogen peroxide before using.
Composite K comprises 6.75 weight %NH4Cl, 43.45 weight % water, 30 weight %HF (49%), 15 weight %HEDP (60%), 4.5 weight %Dowfax3B2 (45%) and 0.6 weight % 25R2.Composite K diluted (that is, 2 parts of concentrates are to 1 part of 30%H2O2) with 2: 1 usefulness 30% hydrogen peroxide before using.
Composite L comprises 6.75 weight %NH4Cl, 43 weight % water, 30 weight %HF (49%), 15 weight %HEDP (60%), 4.5 weight %Dowfax3B2 (45%) and 0.3 weight %
Figure BPA000013730589003312
25R2.Composite L diluted (that is, 2 parts of concentrates are to 1 part of 30%H2O2) with 2: 1 usefulness 30% hydrogen peroxide before using.
In impregnated in the code-pattern wafer static state of SiN, TEOS and copper in the composite of H2O2 dilution under the room temperature (21 ± 1 ℃) and measuring separately etch-rate.Etch-rate the results are shown in the following table 1:
Table 1:SiN, TEOS and copper are through H 2O 2Etch-rate among composite A-C, E, F-H and the J of dilution
Figure BPA00001373058900341
Notice that all samples shows similar SiN, TEOS and copper etch-rate.
These composites are implemented the test of bubbling, under indicated temperature, composite rocked for 5 seconds for this reason and measure the height of foam above solution surface in bottle.The results are shown among the table 2-4.Contrast does not have defoamer and replaces with extra water.
Table 2: through H 2O 2Composite A-C, E, F-H and the J-K of dilution at room temperature bubble
Figure BPA00001373058900342
Table 3: through H 2O 2Composite A, B, E and the L of dilution bubbles down in 40 ℃
Figure BPA00001373058900351
Table 4: through H 2O 2Composite A, B, E and the L of dilution bubbles down in 50 ℃
Can find out that all defoamers only just controlled to about 1cm with the foaming of composition in 2 minutes.
Also implement the copper load test.For example, by being with a USG Cu thickness
Figure BPA00001373058900353
The 200mm wafer be dipped in and at room temperature keep realizing being equivalent to 1500 diameter 300mm in 5 minutes in the 50g solution and USG Cu thickness is The copper load of wafer.After measured, comprise
Figure BPA00001373058900355
RA20, P1, and
Figure BPA00001373058900357
The composite of 25R2 at room temperature shows most preferably load performance to 1000 suitable wafers, does not wherein observe obvious pit and almost do not have particle on treated copper test specimen.
Example 2
Be prepared as follows the dense composition that removes: 4.5 weight %NH4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), 3.04 weight %Dowfax3B2 (45%), 0.104 weight %
Figure BPA00001373058900358
The additional material of amount shown in 25R2,33.4 weight %H2O2 (30%), the table 5, and all the other are water, and wherein this additional material is diethylene glycol monobutyl ether (hereinafter being called BC), DPG list propyl ether (hereinafter being called DPGPE) or propylene glycol (hereinafter being called PG).The foaming described in the embodiment 1 is at room temperature highly tested and be the results are shown in the table 5.
Table 5: difference removes composition foaming at room temperature
Figure BPA00001373058900361
Example 3
Prepare following composite:
Composite M:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), super defoamer 225, the 33.4 weight %H of 3 weight %Dowfax3B2 (45%), 0.1 weight % 2O 2(30%), 29 weight % water
Composite N:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), 3 weight %Dowfax3B2 (45%), 0.1 weight % 31R2,33.4 weight %H 2O 2(30%), 29 weight % water
Composite O:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), 3 weight %Dowfax3B2 (45%), 0.5 weight %
Figure BPA00001373058900371
25R2,33.4 weight %H 2O 2(30%), 2 weight % toluenesulfonic acid sodium salts, 26.6 weight % water
Composite P:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), super defoamer 225, the 33.4 weight %H2O2 (30%) of 3 weight %Dowfax3B2 (45%), 0.07 weight %, 29.03 weight % water
Composite Q:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), super defoamer 225, the 33.4 weight %H of 3 weight %Dowfax3B2 (45%), 0.02 weight % 2O 2(30%), 29.08 weight % water
Composite R:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), super defoamer 225, the 33.4 weight %H of 3 weight %Dowfax3B2 (45%), 0.07 weight % 2O 2(30%), 0.1 weight %
Figure BPA00001373058900372
25R2,28.93 weight % water
Composite S:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), super defoamer 225, the 33.4 weight %H of 3 weight %Dowfax3B2 (45%), 0.02 weight % 2O 2(30%), 0.1 weight %
Figure BPA00001373058900373
25R2,28.98 weight % water composite T:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), 3 weight %Dowfax3B2 (45%), 0.3 weight %
Figure BPA00001373058900374
31R2,33.4 weight %H 2O 2(30%), 2.5 weight %PG, 26.3 weight % water
Composite U:4.5 weight %NH 4Cl, 20 weight %HF (49%), 10 weight %HEDP (60%), 3 weight %Dowfax3B2 (45%), 0.3 weight %
Figure BPA00001373058900375
31R2,33.4 weight %H 2O 2(30%), 5 weight %PG, 23.8 weight % water
The foaming described in the embodiment 1 is at room temperature highly tested and be the results are shown in the table 6.
Table 6: difference removes composition foaming at room temperature
Example 4
Composite E described in the preparation example 1.Before using, it is diluted (that is, 2 parts of concentrates are to 1 part of 30%H2O2) with 2: 1 usefulness 30% hydrogen peroxide.Make and contain H 2O 2Composite load copper ion, as indicated in the table 7.With the tungsten wafer (on the thick barrier layer of about 80nm approximately Thick) under 21 ℃ in composition dipping 5 or 10 minutes, remove and with the DI water rinse and obtain the electron micrograph of wafer.
Table 7: composite AB-AE
Figure BPA00001373058900383
The Cu ion is by with 1 200mm Cu wafer
Figure BPA00001373058900385
Be dissolved in and obtain among the 50g composite E
With reference to the electron micrograph among Figure 1A-1E (it corresponds respectively to and the relevant result of dipping in composite E and AB-AE), as can be seen in the copper ion of the concentration existence that is low to moderate 0.4 weight % (with the total weight of composition) at room temperature only in 10 minutes, can remove fully tungsten layer and below barrier layer.
Example 5
Prepare following composite:
Composite BA:40 weight %HF (49%), 0.1 weight %Brij, 35,59.9 weight % water
Composite BB:40 weight %HF (49%), 0.5 weight %Brij, 35,59.5 weight % water
Composite BC:40 weight %HF (49%), 1 weight %Brij, 35,59 weight % water
Composite BD:40 weight %HF (49%), 0.1 weight %PEG-PPG-PEG block copolymer, 59.9 weight % water
Composite BE:40 weight %HF (49%), 0.5 weight %PEG-PPG-PEG block copolymer, 59.5 weight % water
Composite BF:40 weight %HF (49%), 1 weight %PEG-PPG-PEG block copolymer, 59 weight % water
Composite BG:40 weight %HF (49%), 0.1 weight %PPG-PEG-PPG block copolymer, 59.9 weight % water
Composite BH: 40 weight %HF (49%), 0.5 weight %PPG-PEG-PPG block copolymer, 59.5 weight % water
Composite BI:40 weight %HF (49%), 1 weight %PPG-PEG-PPG block copolymer, 59 weight % water
Composite BJ:40 weight %HF (49%), 0.1 weight %DDBSA, 59.9 weight % water
Composite BK:40 weight %HF (49%), 0.5 weight %DDBSA, 59.5 weight % water
Composite BL:40 weight %HF (49%), 1 weight %DDBSA, 59 weight % water
Composite BM:40 weight %HF (49%), 0.1 weight %Biosoft S-100,59.9 weight % water
Composite BN:40 weight %HF (49%), 0.5 weight %Biosoft S-100,59.5 weight % water
Composite BO:40 weight %HF (49%), 1 weight %Biosoft S-100,59 weight % water
Under 70 ℃ with the k value more than or equal 2.7 carbonado the F-20 test specimen (Advantiv,
Figure BPA00001373058900401
) in composite BA-BO, flooded 20 minutes.Implement twice retest for each sample at each test specimen.When finishing in 20 minutes, manually draw chemicals and place centrifuge tube to carry out visual analysis from the unit.With test specimen and solution with (under suitable situation) complete film keep, obviously classification is carried out in residual, slightly residual (hardly as seen) or full scale clearance.Use the result of carbonado k>2.7 to be provided in the table 8.
Table 8: the result that carbonado test specimen (k>2.7) floods in composite BA-BO
Composite Result's (test specimen) Result's (solution)
BA Full scale clearance Clarification fully
BA Full scale clearance Clarification fully
BB Slightly residual Clarification fully
BB Full scale clearance Clarification fully
BC Full scale clearance Clarification fully
BC Full scale clearance Clarification fully
BD Full scale clearance Clarification fully
BD Full scale clearance Clarification fully
BE Full scale clearance Clarification fully
BE Full scale clearance Clarification fully
BF Full scale clearance Clarification fully
BF Full scale clearance Clarification fully
BG Slightly residual Clarification fully
BG Slightly residual Clarification fully
BH Full scale clearance Clarification fully
BH Slightly residual Clarification fully
BI Slightly residual Clarification fully
BI Slightly residual Clarification fully
BJ Full scale clearance The brown residue
BJ Full scale clearance The brown residue
BK Full scale clearance The brown residue
BK Full scale clearance The brown residue
BL Full scale clearance The brown residue
BL Full scale clearance The brown residue
BM Full scale clearance Floating residue
BM Full scale clearance Have residual in the solution
BN Full scale clearance Double-colored phase
BN Full scale clearance Double-colored phase
BO Full scale clearance Double-colored phase
BO Full scale clearance Double-colored phase
As can be seen, the composition that comprises Brij 35 or PEG-PPG-PEG block copolymer successfully removes all carbonados and gained solution does not have residue from test specimen.In addition, the composition that comprises DDBSA and Biosoft S-100 successfully removes all carbonados from test specimen.
It should be noted that when with the k value being 2.4 carbonado test specimen test composite the composite that comprises PEG-PPG-PEG or DDBSA removes all carbonados and resulting composition does not have residue from test specimen effectively.
Example 6
Preparing following composite CA and CB is used to use the COD combustion technology to carry out the COD test.Particularly, this measurements determination makes the quantity of the compound oxidation requisite oxygen through reducing in the water sample.Oxidant, catalyst and sample were handled 2 hours down at 150 ℃:
Composite CA:40 weight %HF (49%), 3 weight %PEG-PPG-PEG block copolymers, 57 weight % water
Composite CB:40 weight %HF (49%), 5 weight %PEG-PPG-PEG block copolymers, 55 weight % water
Composite CA and CB were with 250: 1,500: 1 and 1000: 1 dilute with waters and measure COD value (representing with mg/L).The result is provided in the following table in 9.
Table 9: the COD value of diluted composite CA and CB
Composite Dilution COD mean value (mg/L)
CA 250∶1 307.4
CA 250∶1 296.0
CA 500∶1 103.2
CA 500∶1 148.8
CA 500∶1 104.3
CA 1000∶1 65.5
CA 1000∶1 75.2
CB 250∶1 459.6
CB 250∶1 315.2
CB 500∶1 224.0
CB 500∶1 255.8
CB 1000∶1 118.6
CB 1000∶1 101.3
For showing that mega sonic wave in the validity that removes aspect the material, is prepared as follows composite DA:
Composite DA:20.1 weight %HF, 57.5 weight % butyl carbitol, 1.5 weight % sulfolane, 10 weight %H 2O 2, 10.9 weight % water
Under 35 ℃, be immersed in p-SiCOH among the composite DA and stand mega sonic wave and handled 10 minutes.For the k value is 3.0,2.7,2.4 and 2.2 p-SiCOH, and all p-SiCOH are all divested and do not have any residue residue.And residual surface is level and smooth.Equally, use down mega sonic waves at 35 ℃, composite DA promptly removes carbonado II from crystal column surface in only in 10 minutes.
Therefore,, should be understood that purposes of the present invention is therefore not limited, but can extend to and contain many others, feature, and embodiment although this paper sets forth the present invention with reference to concrete aspect of the present invention, feature and exemplary embodiments.Therefore, being intended to protection range with claims correspondingly is interpreted as and extensively comprises all this aspects of belonging in its spirit and the scope, feature, and embodiment.

Claims (19)

1. remove composition, it comprises at least a etchant, at least a surfactant/source of polymer, water and optional at least a defoamer.
2. claim 1 removes composition, it comprises defoamer, and wherein this defoamer comprises and is selected from following material: the admixture of ethylene oxide/propylene oxide block copolymer, alcohol alkoxylates, fatty alcohol alkoxy compound, phosphate and nonionic emulsifier and combination thereof.
Claim 2 remove composition, it further comprises at least a chloride source.
Claim 2 remove composition, it further comprises at least a chelating agent.
Claim 2 remove composition, it further comprises at least a chelating agent and at least a chloride source.
In the claim 1 to 5 each remove composition, it further comprises at least a oxidant.
As described above in the claim each remove composition, wherein this at least a etchant comprises HF; And
Wherein this at least a surfactant/source of polymer comprises and is selected from following material: fluoroalkyl surfactants, the ethoxylation fluorine surfactant, polyethylene glycol, polypropylene glycol, polyglycol ether, polypropylene glycol ether, carboxylate, DBSA and salt thereof, other LABS (LABSA) or its salt, polyacrylate polymers, dinonyl phenyl polyoxyethylene, organosilicon polymer, the organosilicon polymer of modification, acetylene is glycol, the acetylene of modification is glycol, alkylammonium salt, the alkylammonium salt of modification, the alkyl phenol polyglycidyl ether, sodium alkyl sulfate, the alkylsurfuric acid ammonium, alkyl (C 10-C 18) ammonium carboxylate salt, sodium sulfosuccinate and ester thereof, alkyl (C 10-C 18) sulfonate sodium, two anion sulfoacid salt surfactants, softex kw, hexadecyltrimethylammonium hydrogensulphate, the carboxylic acid ammonium, ammonium sulfate, amine oxide, N-dodecyl-N, the N-dimethyl betaine, betaine, sulfobetaines, alkyl ammonium group propylthio hydrochlorate, polyethylene glycol (PEG), poly(ethylene oxide) (PEO), PVP (PVP), hydroxyethylcellulose (HEC), acrylamide polymer, polyacrylic acid, carboxymethyl cellulose (CMC), sodium carboxymethylcellulose (Na CMC), hydroxypropyl methylcellulose, PVP K30, latex powder, ECN7NF, the propyl cellulose polymer, cellulose ether, water-soluble resin, the phosphate of alkoxy fatty alcohols, nonyl phenol ethoxylate, fatty alcohol alkoxy compound, alcohol alkoxylates, polyoxyethylene glycol lauryl ether, the ethylene oxide/propylene oxide block copolymer, and combination.
In the claim 1 to 6 each remove composition, wherein this at least a etchant comprise HF and wherein this at least a surfactant/source of polymer comprise and be selected from following material: two anion sulfoacid salt surfactants, PPG-PEG-PPG block copolymer, PEG-PPG-PEG block copolymer and combination thereof.
In the claim 4 to 6 each remove composition, wherein this at least a chelating agent comprises and is selected from following material: acetyl pyruvate, 1,1,1-three fluoro-2, the 4-pentanedione, 1,1,1,5,5,5-hexafluoro-2, the 4-pentanedione, formates, acetate, two (trimethyl silyl acid amides) tetramer, glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamic acid, valine, lysine, citric acid, acetate, maleic acid, oxalic acid, malonic acid, butanedioic acid, phosphonic acids, hydroxy ethylene diphosphonic acid (HEDP), 1-hydroxyl ethane-1, the 1-di 2 ethylhexyl phosphonic acid, nitrilo--three (methylene phosphonic acid), NTA, iminodiacetic acid, Etidronic Acid, ethylenediamine, ethylenediamine tetra-acetic acid (EDTA), (1,2-cyclohexylidene two nitrilo-s) tetraacethyl (CDTA), uric acid, tetraglyme, five methyl diethylentriamine (PMDETA), 1,3,5-triazine-2,4,6-three mercaptan trisodium-salt solutions, 1,3,5-triazine-2,4,6-three mercaptan three ammonium salt solutions, sodium diethyldithiocarbamate, by dibasic dithiocar-bamate, ammonium sulfate, monoethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060s, diethylene-triamine pentaacetic acid, the trimethylene diamine tetraacethyl, 2 hydroxy pyrimidine 1-oxide, the ethylene diamine disuccinic acid, sodium triphosphate, and combination.
In the claim 4 to 6 each remove composition, wherein this at least a chelating agent comprises phosphonate derivative.
11. claim 3 or 6 remove composition, wherein this at least a chloride source comprises hydrochloric acid, alkali metal chloride, alkaline earth metal chloride, ammonium chloride, alkyl ammomium chloride and combination thereof.
12. claim 2 remove composition, wherein said composition comprises HF, at least a defoamer, at least a two anion sulfoacid salt surfactants, and water.
13. claim 5 remove composition, wherein said composition comprises HF, ammonium chloride, at least a defoamer, at least a two anion sulfoacid salt surfactants, at least a phosphonate derivative, and water.
14. claim 5 remove composition, it further comprises at least a oxidant, and wherein said composition comprises HF, ammonium chloride, at least a defoamer, at least a alkyl diphenyl ether disulfonate surfactant, at least a phosphonate derivative, peroxide compound, reaches water.
15. claim 1 remove composition, wherein said composition comprises HF, water and at least a surfactant/source of polymer, and this surfactant/source of polymer is selected from PEG-PPG-PEG block copolymer, PPG-PEG-PPG block copolymer, polyoxyethylene glycol dodecyl ether surface active agent and combination thereof.
16. as described above in the claim each remove composition, wherein said composition further comprises and is selected from following material residues: post-etch residues, low-k dielectric material residues, height-k dielectric material residue, barrier layer material residue, ferroelectric residue, nitride residue, silicide residue, oxide residue, the accumulation residue that contains polymer, ARC material residues, doped region residue, other material residues and combination thereof.
17. the method for recycling microelectronic component structure, this method comprises:
Make in the microelectronic component structure that comprises microelectronic component substrate and at least a removable material and the aforementioned claim each the composition that removes contact the enough time to obtain the reusing microelectronic component substrate that maybe can re-use being enough to remove from this microelectronic component structure in fact under the condition of this at least a material, this removable material is selected from: post-etch residues, the low-k dielectric material, height-k dielectric material, the etch-stop material, the metal stack material, barrier layer material, ferroelectric material, silicide material, nitride material, oxide material, photoresist, bottom antireflective coating (BARC), sacrificial anti-reflective coating (SARC), the accumulation that contains polymer, other material, through doped region, and combination.
18. the method for claim 17, it further comprises but at least a deposition materials is deposited on this substrate that can re-use, but wherein this at least a deposition materials is selected from: low-k dielectric material, height-k dielectric material, etch-stop material, metal stack material, barrier layer material, ferroelectric material, silicide material, nitride material, oxide material, photoresist, bottom antireflective coating (BARC), sacrificial anti-reflective coating (SARC), other material and combination thereof.
19. external member, it comprises one or more and is used to form the following reagent that removes composition in one or more containers, wherein this removes composition and comprises at least a etchant, at least a surfactant/source of polymer, water, optional at least a chelating agent, optional at least a oxidant, optional at least a chloride source, and optional at least a defoamer, wherein this external member is suitable for forming and is applicable to that at least a removable material is had the composition that removes that the microelectronic component structure of this material removes from it, and this removable material is selected from: post-etch residues, the low-k dielectric material, height-k dielectric material, the etch-stop material, the metal stack material, barrier layer material, ferroelectric material, silicide material, nitride material, oxide material, photoresist, bottom antireflective coating (BARC), sacrificial anti-reflective coating (SARC), the accumulation that contains polymer, other material, through doped region, and combination.
CN2009801463328A 2008-10-02 2009-10-01 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates Pending CN102217042A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10235208P 2008-10-02 2008-10-02
US61/102,352 2008-10-02
US14498609P 2009-01-15 2009-01-15
US61/144,986 2009-01-15
PCT/US2009/059199 WO2010039936A2 (en) 2008-10-02 2009-10-01 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates

Publications (1)

Publication Number Publication Date
CN102217042A true CN102217042A (en) 2011-10-12

Family

ID=42074210

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801463328A Pending CN102217042A (en) 2008-10-02 2009-10-01 Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates

Country Status (6)

Country Link
EP (1) EP2342738A4 (en)
JP (1) JP2012504871A (en)
KR (1) KR20110063845A (en)
CN (1) CN102217042A (en)
TW (1) TWI485110B (en)
WO (1) WO2010039936A2 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104498951A (en) * 2014-12-11 2015-04-08 深圳新宙邦科技股份有限公司 Oxydol etching solution for copper-molybdenum alloy films
CN104603077A (en) * 2012-05-10 2015-05-06 康宁股份有限公司 Glass etching media and methods
CN105017973A (en) * 2014-04-25 2015-11-04 台湾积体电路制造股份有限公司 CMP slurry solution for hardened fluid material
CN105683336A (en) * 2013-06-06 2016-06-15 高级技术材料公司 Compositions and methods for selectively etching titanium nitride
CN106222756A (en) * 2016-09-30 2016-12-14 杭州飞鹿新能源科技有限公司 Additive and application process thereof for diamond wire cutting fine-hair maring using monocrystalline silicon slice
CN107148664A (en) * 2014-11-13 2017-09-08 三菱瓦斯化学株式会社 The cleaning fluid comprising alkaline-earth metal for clean semiconductor components and the cleaning method using its semiconductor element
CN107533971A (en) * 2015-07-23 2018-01-02 中央硝子株式会社 Wet-type etching method and etching solution
CN109988509A (en) * 2017-12-29 2019-07-09 浙江新创纳电子科技有限公司 A kind of lithium tantalate reduction piece polishing fluid and its preparation method and application
CN112967930A (en) * 2021-02-07 2021-06-15 西安微电子技术研究所 Method for stripping metallized layer of SiC wafer
WO2021135808A1 (en) * 2019-12-31 2021-07-08 安集微电子科技(上海)股份有限公司 Chemical-mechanical polishing solution and use method thereof
CN113412324A (en) * 2018-12-03 2021-09-17 富士胶片电子材料美国有限公司 Etching composition
CN113448185A (en) * 2021-07-22 2021-09-28 福建省佑达环保材料有限公司 Developing solution composition used in silicon-based OLED (organic light emitting diode) manufacturing process
CN113463105A (en) * 2021-08-06 2021-10-01 立邦涂料(重庆)化工有限公司 High-permeability aluminum fin environment-friendly cleaning agent
CN113690401A (en) * 2021-10-26 2021-11-23 中南大学 Zinc phosphate-silane composite passive film modified zinc metal negative electrode and preparation method and application thereof
CN114264623A (en) * 2021-12-22 2022-04-01 河北新立中有色金属集团有限公司 Method for measuring trace phosphorus in cast aluminum alloy
CN115960609A (en) * 2022-12-30 2023-04-14 浙江奥首材料科技有限公司 Etching solution for etching oxide layer on surface of wafer with high selectivity, preparation method and application thereof
CN116023945A (en) * 2022-12-27 2023-04-28 浙江奥首材料科技有限公司 Etching liquid composition, etching liquid and preparation method thereof

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8164190B2 (en) 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
US20120091100A1 (en) * 2010-10-14 2012-04-19 S.O.I.Tec Silicon On Insulator Technologies Etchant for controlled etching of ge and ge-rich silicon germanium alloys
CN102533124A (en) * 2010-12-31 2012-07-04 上海硅酸盐研究所中试基地 Polishing solution for silicon carbide substrate
DE102011000322A1 (en) 2011-01-25 2012-07-26 saperatec GmbH Separating medium, method and system for separating multilayer systems
JP2012238849A (en) * 2011-04-21 2012-12-06 Rohm & Haas Electronic Materials Llc Improved polycrystalline texturing composition and method
US20140370643A1 (en) * 2011-08-22 2014-12-18 1366 Technologies Inc Formulation for acidic wet chemical etching of silicon wafers
JP5913869B2 (en) 2011-08-31 2016-04-27 林純薬工業株式会社 Etching solution composition and etching method
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
EP2814895A4 (en) 2012-02-15 2015-10-07 Entegris Inc Post-cmp removal using compositions and method of use
JP5548224B2 (en) * 2012-03-16 2014-07-16 富士フイルム株式会社 Semiconductor substrate product manufacturing method and etching solution
JP2015517691A (en) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド Composition and process for stripping photoresist from a surface comprising titanium nitride
US9487885B2 (en) 2012-06-14 2016-11-08 Tivra Corporation Substrate structures and methods
US9879357B2 (en) 2013-03-11 2018-01-30 Tivra Corporation Methods and systems for thin film deposition processes
JP2014057039A (en) * 2012-08-10 2014-03-27 Fujifilm Corp Process of manufacturing semiconductor substrate product and etchant
US8821215B2 (en) * 2012-09-07 2014-09-02 Cabot Microelectronics Corporation Polypyrrolidone polishing composition and method
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102241109B1 (en) * 2013-03-21 2021-04-16 디아이씨 가부시끼가이샤 Defoaming agent, surfactant composition, coating composition, and resist composition
WO2014178423A1 (en) 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching solution used in same, and production method for semiconductor substrate product
WO2014178426A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching liquid and etching liquid kit to be used in said method, and semiconductor substrate product manufacturing method
WO2014178424A1 (en) * 2013-05-02 2014-11-06 富士フイルム株式会社 Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
JP6130810B2 (en) * 2013-05-02 2017-05-17 富士フイルム株式会社 Etching solution and etching solution kit, etching method using the same, and method for manufacturing semiconductor substrate product
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US9633831B2 (en) * 2013-08-26 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition for polishing a sapphire surface and methods of using same
EP2843723B1 (en) * 2013-08-29 2018-01-31 Sumitomo Chemical Company Limited Method for manufacturing niobate-system ferroelectric thin film device
JP6366952B2 (en) 2013-08-29 2018-08-01 住友化学株式会社 Manufacturing method of niobic acid ferroelectric thin film element
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9416297B2 (en) 2013-11-13 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing method using slurry composition containing N-oxide compound
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
TWI662379B (en) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) * 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI558850B (en) * 2014-03-29 2016-11-21 精密聚合物股份有限公司 The processing liquid for electronic components and the production method of electronic components
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6501218B2 (en) * 2015-03-18 2019-04-17 株式会社Adeka Etching solution composition and etching method
TWI782893B (en) * 2015-07-09 2022-11-11 美商恩特葛瑞斯股份有限公司 Method, kit and composition for selectively removing silicon germanide material
KR101806882B1 (en) * 2015-08-20 2018-01-10 주식회사 태크녹스 Composition for cleaning solar cell panel and auto-cleaning solar cell panel using the same
JP2017216444A (en) * 2016-05-31 2017-12-07 ナガセケムテックス株式会社 Etchant
KR102404226B1 (en) * 2016-10-31 2022-06-02 주식회사 이엔에프테크놀로지 ETCHANT composition
CN111512239B (en) 2018-01-25 2024-05-03 默克专利股份有限公司 Photoresist remover composition
US11678433B2 (en) 2018-09-06 2023-06-13 D-Wave Systems Inc. Printed circuit board assembly for edge-coupling to an integrated circuit
US11873564B2 (en) * 2018-10-02 2024-01-16 Hutchinson Technology Incorporated Etch chemistry for metallic materials
US11647590B2 (en) 2019-06-18 2023-05-09 D-Wave Systems Inc. Systems and methods for etching of metals
KR20220016516A (en) 2019-07-05 2022-02-09 후지필름 가부시키가이샤 Methods of processing compositions, kits, and substrates
KR102192954B1 (en) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 Composition for cleaning polymer
TWI741911B (en) * 2020-12-16 2021-10-01 環球晶圓股份有限公司 Method for removing epitaxial layer
CN112812076B (en) * 2021-01-13 2022-02-01 西南石油大学 Bis-sulfonic acid-based surfactant, clean fracturing fluid and preparation method thereof
CN113150752A (en) * 2021-03-04 2021-07-23 山东祺龙海洋石油钢管股份有限公司 High-efficiency sand-carrying agent for crossing
WO2024127794A1 (en) * 2022-12-13 2024-06-20 株式会社ダイセル Surface treatment agent and method for manufacturing etched silicon substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803956A (en) * 1994-07-28 1998-09-08 Hashimoto Chemical Company, Ltd. Surface treating composition for micro processing
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
CN1506496A (en) * 2002-12-06 2004-06-23 美格株式会社 Etchant
CN1678961A (en) * 2002-08-22 2005-10-05 大金工业株式会社 Removing solution
CN1875142A (en) * 2003-10-29 2006-12-06 宝洁公司 Processing system and method of fabric product
CN101233601A (en) * 2005-06-13 2008-07-30 高级技术材料公司 Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
CN101243141A (en) * 2005-08-11 2008-08-13 巴斯福股份公司 Method for producing pigment preparations

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
KR100390553B1 (en) * 2000-12-30 2003-07-07 주식회사 동진쎄미켐 method of controlling metal-layer etching process and method of regenerating etchant composition using near infrared spectrometer
US6900003B2 (en) * 2002-04-12 2005-05-31 Shipley Company, L.L.C. Photoresist processing aid and method
JP4799843B2 (en) * 2003-10-17 2011-10-26 三星電子株式会社 Etching composition having high etching selectivity, manufacturing method thereof, selective etching method of oxide film using the same, and manufacturing method of semiconductor device
KR100675284B1 (en) * 2005-02-01 2007-01-26 삼성전자주식회사 Microelectronic cleaning compositions and methods of fabricating semiconductor devices using the same
SG166102A1 (en) * 2007-03-31 2010-11-29 Advanced Tech Materials Methods for stripping material for wafer reclamation

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803956A (en) * 1994-07-28 1998-09-08 Hashimoto Chemical Company, Ltd. Surface treating composition for micro processing
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
CN1678961A (en) * 2002-08-22 2005-10-05 大金工业株式会社 Removing solution
CN1506496A (en) * 2002-12-06 2004-06-23 美格株式会社 Etchant
CN1875142A (en) * 2003-10-29 2006-12-06 宝洁公司 Processing system and method of fabric product
CN101233601A (en) * 2005-06-13 2008-07-30 高级技术材料公司 Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
CN101243141A (en) * 2005-08-11 2008-08-13 巴斯福股份公司 Method for producing pigment preparations

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104603077B (en) * 2012-05-10 2019-01-29 康宁股份有限公司 Glass etching medium and method
CN104603077A (en) * 2012-05-10 2015-05-06 康宁股份有限公司 Glass etching media and methods
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN105683336A (en) * 2013-06-06 2016-06-15 高级技术材料公司 Compositions and methods for selectively etching titanium nitride
CN111394100A (en) * 2013-06-06 2020-07-10 恩特格里斯公司 Compositions and methods for selectively etching titanium nitride
CN105017973B (en) * 2014-04-25 2018-10-23 台湾积体电路制造股份有限公司 The CMP slurry solution of fluent material for hardening
CN105017973A (en) * 2014-04-25 2015-11-04 台湾积体电路制造股份有限公司 CMP slurry solution for hardened fluid material
CN107148664A (en) * 2014-11-13 2017-09-08 三菱瓦斯化学株式会社 The cleaning fluid comprising alkaline-earth metal for clean semiconductor components and the cleaning method using its semiconductor element
CN104498951A (en) * 2014-12-11 2015-04-08 深圳新宙邦科技股份有限公司 Oxydol etching solution for copper-molybdenum alloy films
CN107533971A (en) * 2015-07-23 2018-01-02 中央硝子株式会社 Wet-type etching method and etching solution
CN107533971B (en) * 2015-07-23 2021-01-26 中央硝子株式会社 Wet etching method and etching solution
CN106222756A (en) * 2016-09-30 2016-12-14 杭州飞鹿新能源科技有限公司 Additive and application process thereof for diamond wire cutting fine-hair maring using monocrystalline silicon slice
CN109988509A (en) * 2017-12-29 2019-07-09 浙江新创纳电子科技有限公司 A kind of lithium tantalate reduction piece polishing fluid and its preparation method and application
CN109988509B (en) * 2017-12-29 2021-07-09 浙江新创纳电子科技有限公司 Lithium tantalate reduction sheet polishing solution and preparation method and application thereof
US11912921B2 (en) 2018-12-03 2024-02-27 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
CN113412324B (en) * 2018-12-03 2022-12-02 富士胶片电子材料美国有限公司 Etching composition
CN113412324A (en) * 2018-12-03 2021-09-17 富士胶片电子材料美国有限公司 Etching composition
CN115651656A (en) * 2018-12-03 2023-01-31 富士胶片电子材料美国有限公司 Etching composition
WO2021135808A1 (en) * 2019-12-31 2021-07-08 安集微电子科技(上海)股份有限公司 Chemical-mechanical polishing solution and use method thereof
CN112967930B (en) * 2021-02-07 2023-05-12 西安微电子技术研究所 Metallized layer stripping method of SiC wafer
CN112967930A (en) * 2021-02-07 2021-06-15 西安微电子技术研究所 Method for stripping metallized layer of SiC wafer
CN113448185B (en) * 2021-07-22 2022-08-05 福建省佑达环保材料有限公司 Developing solution composition used in silicon-based OLED (organic light emitting diode) manufacturing process
CN113448185A (en) * 2021-07-22 2021-09-28 福建省佑达环保材料有限公司 Developing solution composition used in silicon-based OLED (organic light emitting diode) manufacturing process
CN113463105A (en) * 2021-08-06 2021-10-01 立邦涂料(重庆)化工有限公司 High-permeability aluminum fin environment-friendly cleaning agent
CN113690401A (en) * 2021-10-26 2021-11-23 中南大学 Zinc phosphate-silane composite passive film modified zinc metal negative electrode and preparation method and application thereof
CN113690401B (en) * 2021-10-26 2021-12-31 中南大学 Zinc phosphate-silane composite passive film modified zinc metal negative electrode and preparation method and application thereof
CN114264623A (en) * 2021-12-22 2022-04-01 河北新立中有色金属集团有限公司 Method for measuring trace phosphorus in cast aluminum alloy
CN116023945A (en) * 2022-12-27 2023-04-28 浙江奥首材料科技有限公司 Etching liquid composition, etching liquid and preparation method thereof
CN116023945B (en) * 2022-12-27 2024-06-07 浙江奥首材料科技有限公司 Etching liquid composition, etching liquid and preparation method thereof
CN115960609A (en) * 2022-12-30 2023-04-14 浙江奥首材料科技有限公司 Etching solution for etching oxide layer on surface of wafer with high selectivity, preparation method and application thereof
CN115960609B (en) * 2022-12-30 2023-10-24 浙江奥首材料科技有限公司 Etching solution for etching oxide layer on surface of wafer with high selectivity, preparation method and application thereof

Also Published As

Publication number Publication date
WO2010039936A3 (en) 2010-07-01
WO2010039936A2 (en) 2010-04-08
JP2012504871A (en) 2012-02-23
EP2342738A4 (en) 2013-04-17
EP2342738A2 (en) 2011-07-13
KR20110063845A (en) 2011-06-14
TWI485110B (en) 2015-05-21
TW201022148A (en) 2010-06-16

Similar Documents

Publication Publication Date Title
CN102217042A (en) Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
US20100112728A1 (en) Methods for stripping material for wafer reclamation
EP1975987A2 (en) Methods for stripping material for wafer reclamation
EP3588535B1 (en) Post chemical mechanical planarization (cmp) cleaning
WO2008157345A2 (en) Wafer reclamation compositions and methods
US8642526B2 (en) Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TWI299885B (en) Post chemical-mechanical planarization (cmp) cleaning composition
KR101891363B1 (en) Composition for and method of suppressing titanium nitride corrosion
EP1310989B1 (en) Detergent composition
CN100499018C (en) Method and composition for removing residue from microstructure of body
EP1577934A1 (en) Alkaline post-chemical mechanical planarization cleaning compositions
US6375754B1 (en) Processing compositions and methods of using same
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US20220064490A1 (en) Polishing liquid and chemical mechanical polishing method
US8399391B2 (en) Photoresist residue removal composition
JP2015203047A (en) Substrate cleaning liquid for semiconductor device and method for cleaning substrate for semiconductor device
US20080163897A1 (en) Two step process for post ash cleaning for cu/low-k dual damascene structure with metal hard mask

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20111012