JP2011222843A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2011222843A
JP2011222843A JP2010092021A JP2010092021A JP2011222843A JP 2011222843 A JP2011222843 A JP 2011222843A JP 2010092021 A JP2010092021 A JP 2010092021A JP 2010092021 A JP2010092021 A JP 2010092021A JP 2011222843 A JP2011222843 A JP 2011222843A
Authority
JP
Japan
Prior art keywords
wafer
dicing
semiconductor device
manufacturing
main surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010092021A
Other languages
Japanese (ja)
Inventor
Shuichi Okuda
秀一 奥田
Hiromi Inagawa
浩巳 稲川
Hidemasa Kagii
秀政 鍵井
Hajime Yui
肇 油井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2010092021A priority Critical patent/JP2011222843A/en
Publication of JP2011222843A publication Critical patent/JP2011222843A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To solve the problem that a complex process such as re-pasting a remaining wafer to a dicing tape after a peripheral ring is cut off using a rotary blade and the like from the rear side of the wafer, relating to a process technology in which, at the time point when a device formation process on a wafer surface is completed while reduction in film thickness of the wafer is being performed, shifting is made to a wafer rear-surface step and a dicing step while a ring-like thick wall portion is formed at the peripheral part of the rear surface of wafer.SOLUTION: In a wafer periphery ring process, after the inner portion of the rear surface of a wafer is made to be a target thickness which is required for a device, shifting is made to a dicing step or the like. During the process, the rear surface of the wafer where a wafer peripheral ring or the like is formed is pasted to a dicing tape in such manner as follows its shape, the wafer peripheral ring is cut/separated from the front surface side of the wafer, and then, under that state, a wafer remaining on the dicing tape is subjected to a dicing process to be separated into respective chips.

Description

本発明は、半導体装置(または半導体集積回路装置)の製造方法におけるウエハダイシング周辺技術に適用して有効な技術に関する。   The present invention relates to a technique effective when applied to a wafer dicing peripheral technique in a method of manufacturing a semiconductor device (or semiconductor integrated circuit device).

日本特開2003−332271号公報(特許文献1)または、これに対応する米国特許公開2003−215985号公報(特許文献2)には、ウエハの裏面内部領域のみを薄膜化することによって、周辺部を厚いまま残してリング状枠部とし、ウエハのそりを防止する周辺リング状ウエハダイシング方法の一例が開示されている。そこに示されている方法は、まず、ウエハの裏面に沿うようにダイシングテープを貼り付けた後、ウエハの裏面の凹部にステージを挿入して支えとした状態で、ウエハの表面から、先ず、ダイシングブレードによるダイシングを実行し、その後、リング状枠部を除去するというものである。   In Japanese Patent Laid-Open No. 2003-332271 (Patent Document 1) or US Patent Publication No. 2003-215985 (Patent Document 2) corresponding thereto, the peripheral portion is formed by thinning only the back surface inner region of the wafer. An example of a peripheral ring-shaped wafer dicing method for preventing warpage of the wafer is disclosed by leaving the substrate as thick as a ring-shaped frame portion. The method shown there first, after pasting the dicing tape along the back surface of the wafer, with the stage inserted into the recess on the back surface of the wafer to support it, Dicing with a dicing blade is performed, and then the ring-shaped frame portion is removed.

日本特開2008−294287号公報(特許文献3)または、これに対応する米国特許公開2008−293221号公報(特許文献4)には、ウエハの裏面内部領域のみを薄膜化することによって、周辺部を厚いまま残してリング状枠部とし、ウエハのそりを防止する周辺リング状ウエハダイシング方法の一例が開示されている。そこにおいては、まず、ウエハの表面に保護テープを貼り付けた状態で、ウエハの裏面からブレードによりリング状枠部を除去し、その後、ウエハの裏面にダイシングテープを貼り付けるとともに、先の保護テープを剥がす。最後に、ウエハの表面側からダイシングブレードでダイシングを実行するというものである。   In Japanese Patent Laid-Open No. 2008-294287 (Patent Document 3) or US Patent Publication No. 2008-293221 (Patent Document 4) corresponding thereto, a peripheral portion is formed by thinning only an inner region on the back surface of a wafer. An example of a peripheral ring-shaped wafer dicing method for preventing warpage of the wafer is disclosed by leaving the substrate as thick as a ring-shaped frame portion. First, with the protective tape attached to the front surface of the wafer, the ring-shaped frame portion is removed from the back surface of the wafer with a blade, and then the dicing tape is attached to the back surface of the wafer. Remove. Finally, dicing is performed with a dicing blade from the front side of the wafer.

特開2003−332271号公報JP 2003-332271 A 米国特許公開2003−215985号公報US Patent Publication No. 2003-215985 特開2008−294287号公報JP 2008-294287 A 米国特許公開2008−293221号公報US Patent Publication No. 2008-293221

ウエハの薄膜化が進行する中で、ウエハ表面へのデバイス形成プロセスがほぼ完了した時点で、ウエハの裏面の周辺部にリング状の肉厚の部分を残し、ウエハ裏面の内部をデバイスに要求される最終的な厚さ又はそれに近い厚さにした後、ウエハ裏面工程やダイシング工程に移行するプロセス技法(「ウエハ周辺リングプロセス」という)が開発されている。   As the wafer thinning progresses, when the device formation process on the wafer surface is almost completed, a ring-shaped thick part is left around the back surface of the wafer, and the inside of the wafer back surface is required for the device. A process technique (referred to as a “wafer peripheral ring process”) has been developed in which the final thickness or a thickness close thereto is transferred to a wafer back surface process or a dicing process.

このウエハ周辺リングプロセスにおいては、前記引用文献に開示されているように、周辺リングを残したまま、ダイシングを実行したり(先行ダイシング方式)、ウエハの裏面側から回転ブレード等により、周辺リングを切断分離した後、再度、残ったウエハをダイシングテープに張り替える等の複雑な処理(先行リング除去&張替え方式)が提案されている。しかし、先行ダイシング方式では、周辺に肉厚の周辺リングがあるためにダイシング自体の実行が困難であり、先行リング除去&張替え方式では、プロセスが複雑になるという問題があった。   In this wafer peripheral ring process, as disclosed in the cited document, dicing is performed while leaving the peripheral ring (preceding dicing method), or the peripheral ring is formed by a rotating blade or the like from the back side of the wafer. There has been proposed a complicated process (removal and replacement method of a leading ring) such as replacing the remaining wafer with dicing tape again after cutting and separating. However, in the preceding dicing method, since there is a thick peripheral ring in the periphery, it is difficult to execute dicing itself, and in the preceding ring removal & replacement method, the process is complicated.

本願発明は、これらの課題を解決するためになされたものである。   The present invention has been made to solve these problems.

本発明の目的は、信頼性の高い半導体装置の製造プロセスを提供することにある。   An object of the present invention is to provide a manufacturing process of a highly reliable semiconductor device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願の一つの発明は、ウエハ裏面の内部をデバイスに要求される最終的な厚さ又はそれに近い厚さにした後、ウエハ裏面工程やダイシング工程に移行するウエハ周辺リングプロセスにおいて、ウエハ周辺リング(円環状領域)およびその内部の円形リセス領域が形成されたウエハの裏面をその形状に沿うように、ダイシングテープに貼り付けた状態で、ウエハの表面側からウエハ周辺リングを切断することで、ウエハの内部領域及びダイシングテープからウエハ周辺リングを分離した後、その状態でダイシングテープ上に残ったウエハをダイシング処理することにより、個々のチップに分離するものである。   That is, one invention of the present application relates to a wafer peripheral ring process in which the inside of the wafer back surface is made to have a final thickness required for the device or a thickness close thereto, and then transferred to the wafer back surface process or the dicing process. By cutting the wafer peripheral ring from the front surface side of the wafer with the ring (annular region) and the back surface of the wafer in which the circular recess region is formed, attached to the dicing tape so as to follow the shape After the wafer peripheral ring is separated from the internal area of the wafer and the dicing tape, the wafer remaining on the dicing tape in that state is diced to separate individual chips.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、ウエハ裏面の内部をデバイスに要求される最終的な厚さ又はそれに近い厚さにした後、ウエハ裏面工程やダイシング工程に移行するウエハ周辺リングプロセスにおいて、ウエハ周辺リング(円環状領域)およびその内部の円形リセス領域が形成されたウエハの裏面をその形状に沿うように、ダイシングテープに貼り付けた状態で、ウエハの表面側からウエハ周辺リングを切断することで、ウエハの内部領域及びダイシングテープからウエハ周辺リングを分離した後、その状態でダイシングテープ上に残ったウエハをダイシング処理することにより、個々のチップに分離するので、単純なプロセスにより、薄膜ウエハのダイシング処理を実行することができる。   That is, in the wafer peripheral ring process in which the interior of the back surface of the wafer is made to have a final thickness required for the device or a thickness close thereto, and then transferred to the wafer back surface process or the dicing process, the wafer peripheral ring (annular region) and The wafer peripheral area and dicing are cut by cutting the wafer peripheral ring from the front side of the wafer with the back surface of the wafer on which the circular recess area is formed attached to the dicing tape so as to follow the shape. After the wafer peripheral ring is separated from the tape, the wafer remaining on the dicing tape in that state is separated into individual chips by dicing, so that the thin film wafer can be diced by a simple process. it can.

本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(ウエハ表面デバイス形成工程完了時点)を説明するためのウエハ上面図である。It is a wafer top view for demonstrating the wafer processing process (at the time of completion of a wafer surface device formation process) in the manufacturing method of the semiconductor device of one embodiment of this application. 図1のX’−X断面に対応するウエハ模式断面図(本願においては、図示の都合上、ウエハの厚さ方向を誇張して描いている。また、ウエハのベベル部は図示が複雑になるので垂直の側面で代用させている。)である。1 is a schematic cross-sectional view of a wafer corresponding to the X′-X cross section of FIG. 1 (in the present application, the thickness direction of the wafer is exaggerated for convenience of illustration. Also, the bevel portion of the wafer is complicated in illustration. Therefore, the vertical side is substituted.) 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(表面保護テープ貼り付け時点)を説明するためのウエハ下面図である。It is a wafer bottom view for demonstrating the wafer processing process (at the time of surface protection tape affixing) in the manufacturing method of the semiconductor device of one embodiment of this application. 図3のX−X’断面に対応するウエハ模式断面図である。FIG. 4 is a schematic wafer cross-sectional view corresponding to the X-X ′ cross section of FIG. 3. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(予備バックグラインディング工程)を説明するためのウエハ模式断面である。It is a wafer schematic cross section for demonstrating the wafer processing process (preliminary back grinding process) in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(円形リセス領域形成工程)を説明するためのウエハ下面図である。It is a wafer bottom view for demonstrating the wafer processing process (circular recess area | region formation process) in the manufacturing method of the semiconductor device of one embodiment of this application. 図6のX−X’断面に対応するウエハ模式断面図である。FIG. 7 is a schematic wafer cross-sectional view corresponding to the X-X ′ cross section of FIG. 6. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(ストレスリリーフ工程)を説明するためのウエハ模式断面である。It is a wafer schematic cross section for demonstrating the wafer processing process (stress relief process) in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(保護テープ剥離工程)を説明するためのウエハ模式断面である。It is a wafer schematic cross section for demonstrating the wafer processing process (protective tape peeling process) in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(裏面メタル電極形成工程)を説明するためのウエハ模式断面である。It is a wafer schematic cross section for demonstrating the wafer processing process (back surface metal electrode formation process) in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(真空容器中でのダイシングテープ貼り付け工程開始時点)を説明するためのウエハ模式断面である。It is a wafer schematic cross section for demonstrating the wafer processing process (at the time of the dicing tape sticking process start in a vacuum vessel) in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(真空容器中でのダイシングテープ貼り付け工程完了時点)を説明するためのウエハ模式断面である。It is a wafer schematic cross section for demonstrating the wafer processing process (the time of completion of the dicing tape sticking process in a vacuum vessel) in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(リングカット工程開始時点)を説明するためのウエハ模式断面である。It is a wafer schematic cross section for demonstrating the wafer processing process (ring-cut process start time) in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(リングカット工程完了時点)を説明するためのウエハ上面図である。It is a wafer top view for demonstrating the wafer processing process (at the time of completion of a ring cut process) in the manufacturing method of the semiconductor device of one embodiment of this application. 図14のX’−X断面に対応するウエハ模式断面図である。FIG. 15 is a schematic cross-sectional view of a wafer corresponding to the X′-X cross section of FIG. 14. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(円環状領域取り外し工程)を説明するためのウエハ模式断面である。It is a wafer schematic cross section for demonstrating the wafer processing process (annular area | region removal process) in the manufacturing method of the semiconductor device of one embodiment of this application. 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(ダイシング工程途中)を説明するためのウエハ上面図である。It is a wafer top view for demonstrating the wafer processing process (during the dicing process) in the manufacturing method of the semiconductor device of one embodiment of this application. 図17のX’−X断面に対応するウエハ模式断面図(なお、図2と同様に上下方向の距離は誇張されて大きく描かれている)である。FIG. 18 is a schematic cross-sectional view of a wafer corresponding to the X′-X cross-section of FIG. 17 (note that the vertical distance is exaggerated and drawn as in FIG. 2). 本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(ダイシング工程完了時点)を説明するためのウエハ上面図である。It is a wafer top view for demonstrating the wafer processing process (dicing process completion time) in the manufacturing method of the semiconductor device of one embodiment of this application. 図19のX’−X断面に対応するウエハ模式断面図である。FIG. 20 is a schematic cross-sectional view of a wafer corresponding to the X′-X cross section of FIG. 19.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下の工程を含む半導体装置の製造方法:
(a)ウエハの第1の主面側に複数の半導体チップ領域を形成する工程;
(b)前記工程(a)の後、前記ウエハの前記第1の主面に保護テープを貼り付ける工程;
(c)前記ウエハの前記第1の主面に前記保護テープが貼り付けられた状態で、前記ウエハの第2の主面側の周辺部に第1の厚さを有する円環状領域を形成し、前記第2の主面の内部領域に前記第1の厚さよりも薄い第2の厚さを有する円形リセス領域を形成する工程;
(d)前記工程(c)の後、前記保護テープを除去する工程;
(e)前記工程(d)の後、前記ウエハの前記第2の主面に金属膜を成膜する工程;
(f)前記工程(e)の後、前記ウエハの前記第2の主面に、その形状に倣うように、ダイシングテープを貼り付ける工程;
(g)前記ウエハの前記第2の主面に前記ダイシングテープが貼り付けられた状態で、前記円環状領域を前記内部領域および前記ダイシングテープから分離する工程;
(h)前記工程(g)の後、前記ウエハの前記第2の主面に前記ダイシングテープが貼り付けられた状態で、前記ウエハに対して、ダイシング処理を実行することにより、前記複数の半導体チップ領域を個々の半導体チップに分離する工程。
1. A semiconductor device manufacturing method including the following steps:
(A) forming a plurality of semiconductor chip regions on the first main surface side of the wafer;
(B) After the step (a), a step of attaching a protective tape to the first main surface of the wafer;
(C) An annular region having a first thickness is formed in a peripheral portion on the second main surface side of the wafer in a state where the protective tape is attached to the first main surface of the wafer. Forming a circular recess region having a second thickness smaller than the first thickness in an inner region of the second main surface;
(D) a step of removing the protective tape after the step (c);
(E) a step of forming a metal film on the second main surface of the wafer after the step (d);
(F) After the step (e), a step of attaching a dicing tape to the second main surface of the wafer so as to follow the shape;
(G) separating the annular region from the inner region and the dicing tape in a state where the dicing tape is attached to the second main surface of the wafer;
(H) After the step (g), the dicing process is performed on the wafer in a state where the dicing tape is attached to the second main surface of the wafer. A process of separating the chip area into individual semiconductor chips.

2.前記1項の半導体装置の製造方法において、前記工程(h)において、前記ダイシングテープの周辺部はダイシングフレームに固定されている。   2. In the method of manufacturing a semiconductor device according to the item 1, the peripheral portion of the dicing tape is fixed to a dicing frame in the step (h).

3.前記1項の半導体装置の製造方法において、前記工程(f)から(h)において、前記ダイシングテープの周辺部はダイシングフレームに固定されている。   3. In the method of manufacturing a semiconductor device according to the item 1, the peripheral portion of the dicing tape is fixed to a dicing frame in the steps (f) to (h).

4.前記1から3項のいずれか一つの半導体装置の製造方法において、前記工程(f)は、真空容器内で実行される。   4). 4. In the method for manufacturing a semiconductor device according to any one of items 1 to 3, the step (f) is performed in a vacuum container.

5.前記1から4項のいずれか一つの半導体装置の製造方法において、前記工程(c)は、前記ウエハの前記第2の主面を部分的にグラインディング処理を施すことによって実行される。   5. 5. In the method for manufacturing a semiconductor device according to any one of 1 to 4, the step (c) is executed by partially grinding the second main surface of the wafer.

6.前記1から5項のいずれか一つの半導体装置の製造方法において、前記工程(g)において、前記円環状領域の前記内部領域からの分離は、回転ブレードによって実行される。   6). 6. In the method for manufacturing a semiconductor device according to any one of 1 to 5, in the step (g), the annular region is separated from the inner region by a rotating blade.

7.前記1から6項のいずれか一つの半導体装置の製造方法において、前記工程(h)における前記ダイシング処理は、回転ブレードによって実行される。   7). 7. In the method for manufacturing a semiconductor device according to any one of 1 to 6, the dicing process in the step (h) is performed by a rotating blade.

8.前記1から7項のいずれか一つの半導体装置の製造方法において、前記工程(e)は、スパッタリング成膜によって実行される。   8). In the method for manufacturing a semiconductor device according to any one of 1 to 7, the step (e) is performed by sputtering film formation.

9.前記1から8項のいずれか一つの半導体装置の製造方法において、更に、以下の工程を含む:
(i)前記工程(c)の後であって、前記工程(d)の前に、前記ウエハの前記第2の主面に対して、ストレスリリーフ処理を施す工程。
9. The method for manufacturing a semiconductor device according to any one of 1 to 8 further includes the following steps:
(I) A step of performing stress relief treatment on the second main surface of the wafer after the step (c) and before the step (d).

10.前記9項の半導体装置の製造方法において、ストレスリリーフ処理は、ウエットエッチング処理である。   10. In the method for manufacturing a semiconductor device according to the item 9, the stress relief process is a wet etching process.

〔本願における記載形式、基本的用語、用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of sections for convenience, if necessary. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

更に、本願において、「半導体装置」というときは、主に、各種トランジスタ(能動素子)などの単体デバイスや、これらを中心に、抵抗、コンデンサ等を半導体チップ等(たとえば単結晶シリコン基板)上に集積したものをいう。なお、単体といっても、実際は、微小な素子を複数集積したものもある。ここで、各種トランジスタの代表的なものとしては、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)に代表されるMISFET(Metal Insulator Semiconductor Field Effect Transistor)や、IGBT(Insulated gate Bipolar Transistor)を例示することができる。また、「MOS」といっても、絶縁膜を酸化物に限定しているわけではない。   Furthermore, in the present application, the term “semiconductor device” mainly refers to a single device such as various transistors (active elements), and mainly a resistor, a capacitor, etc. on a semiconductor chip or the like (for example, a single crystal silicon substrate). It is a collection. In addition, even if it is called a single unit, there are actually a plurality of small elements integrated. Here, as a typical example of various transistors, a MISFET (Metal Insulator Semiconductor Transistor which can be a MISFET represented by a MOSFET (Metal Oxide Semiconductor Field Effect Transistor) or a transistor, an IGB transistor which can be an IGBT transistor, an IBB transistor, an IGB transistor, an IBB transistor, an IGB transistor, an IGB transistor, an IB transistor, an IGB transistor, an IGBT, and an IGBT. . Also, “MOS” does not limit the insulating film to oxide.

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかに、そうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。   2. Similarly, in the description of the embodiment and the like, the material, composition, etc. may be referred to as “X consisting of A”, etc., except when clearly stated otherwise and clearly from the context, except for A It does not exclude what makes an element one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say.

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体装置(半導体集積回路装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOI基板、LCDガラス基板等の絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5. “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor device (same as a semiconductor integrated circuit device and an electronic device) is formed, but an insulating substrate such as an epitaxial wafer, an SOI substrate, an LCD glass substrate, and the like. Needless to say, a composite wafer such as a semiconductor layer is also included.

6.本願において、「パワー系半導体装置」とは、たとえば、パワーMOSFET,IGBT,LDMOSFET(Laterally Diffused MOSFET)、パワーダイオード等、および、これらのうち少なくとも一つを有する集積回路を指す。「パワーMOS系半導体装置」、「IGBT系半導体装置」、「LDMOS系半導体装置」等についても同様である。   6). In the present application, the “power semiconductor device” refers to, for example, a power MOSFET, IGBT, LDMOSFET (Laterally Diffused MOSFET), a power diode, and the like, and an integrated circuit having at least one of these. The same applies to “power MOS semiconductor device”, “IGBT semiconductor device”, “LDMOS semiconductor device”, and the like.

7.本願において、「ダイシング」または「ダイシング処理」というときは、回転ブレードによるものだけでなく、レーザ等による場合も含む。また、「レーザ等による場合」とは、レーザによる変質層の形成によるもの、レーザによるグルービングを利用するもの、および、これらと回転ブレードによるものとの組み合わせプロセスを含む。   7). In the present application, “dicing” or “dicing process” includes not only a rotating blade but also a laser or the like. Further, “in the case of using a laser or the like” includes a process in which an altered layer is formed by a laser, a process using grooving by a laser, and a process of combining these with a rotating blade.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

また、添付図面においては、却って、煩雑になる場合または空隙との区別が明確である場合には、断面であってもハッチング等を省略する場合がある。これに関連して、説明等から明らかである場合等には、平面的に閉じた孔であっても、背景の輪郭線を省略する場合がある。更に、断面でなくとも、空隙でないことを明示するために、ハッチングを付すことがある。   In the accompanying drawings, hatching or the like may be omitted even in a cross section when it becomes complicated or when the distinction from the gap is clear. In relation to this, when it is clear from the description etc., the contour line of the background may be omitted even if the hole is planarly closed. Furthermore, even if it is not a cross section, it may be hatched to clearly indicate that it is not a void.

1.本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセスの説明(主に図1から図20)
ここでは、薄膜ウエハのハンドリングを中心に説明するため、具体的には、比較的耐圧の低いパワーMOSFETを想定したウエハプロセスを例にとって説明するが、以下の工程は、より耐圧の高いパワーMOSFET等に適用できることは言うまでもない。また、以下のプロセスは、パワーMOSFETに限らず、IGBT,バイポーラパワートランジスタ、パワーダイオードその他のパワー系半導体装置にも適用できることは言うまでもない。
1. Description of the wafer processing process in the method of manufacturing a semiconductor device according to an embodiment of the present application (mainly FIGS. 1 to 20)
Here, in order to explain mainly the handling of a thin film wafer, specifically, a wafer process assuming a power MOSFET having a relatively low withstand voltage will be described as an example. Needless to say, it can be applied. Needless to say, the following processes can be applied not only to power MOSFETs but also to power semiconductor devices such as IGBTs, bipolar power transistors, power diodes and the like.

図1は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(ウエハ表面デバイス形成工程完了時点)を説明するためのウエハ上面図である。図2は図1のX’−X断面に対応するウエハ模式断面図である。図3は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(表面保護テープ貼り付け時点)を説明するためのウエハ下面図である。図4は図3のX−X’断面に対応するウエハ模式断面図である。図5は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(予備バックグラインディング工程)を説明するためのウエハ模式断面である。図6は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(円形リセス領域形成工程)を説明するためのウエハ下面図である。図7は図6のX−X’断面に対応するウエハ模式断面図である。図8は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(ストレスリリーフ工程)を説明するためのウエハ模式断面である。図9は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(保護テープ剥離工程)を説明するためのウエハ模式断面である。図10は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(裏面メタル電極形成工程)を説明するためのウエハ模式断面である。図11は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(真空容器中でのダイシングテープ貼り付け工程開始時点)を説明するためのウエハ模式断面である。図12は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(真空容器中でのダイシングテープ貼り付け工程完了時点)を説明するためのウエハ模式断面である。図13は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(リングカット工程開始時点)を説明するためのウエハ模式断面である。図14は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(リングカット工程完了時点)を説明するためのウエハ上面図である。図15は図14のX’−X断面に対応するウエハ模式断面図である。図16は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(円環状領域取り外し工程)を説明するためのウエハ模式断面である。図17は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(ダイシング工程途中)を説明するためのウエハ上面図である。図18は図17のX’−X断面に対応するウエハ模式断面図である。図19は本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセス(ダイシング工程完了時点)を説明するためのウエハ上面図である。図20は図19のX’−X断面に対応するウエハ模式断面図である。これらに基づいて、本願の一実施の形態の半導体装置の製造方法におけるウエハ処理プロセスを説明する。   FIG. 1 is a wafer top view for explaining a wafer processing process (at the time of completion of a wafer surface device forming step) in a method for manufacturing a semiconductor device according to an embodiment of the present application. FIG. 2 is a schematic wafer cross-sectional view corresponding to the X′-X cross section of FIG. 1. FIG. 3 is a wafer bottom view for explaining the wafer processing process (at the time of attaching the surface protection tape) in the method of manufacturing a semiconductor device according to the embodiment of the present application. FIG. 4 is a schematic wafer cross-sectional view corresponding to the X-X ′ cross section of FIG. 3. FIG. 5 is a schematic cross-sectional view of a wafer for explaining a wafer processing process (preliminary backgrinding step) in the semiconductor device manufacturing method according to the embodiment of the present application. FIG. 6 is a wafer bottom view for explaining a wafer processing process (circular recess region forming step) in the method of manufacturing a semiconductor device according to the embodiment of the present application. FIG. 7 is a schematic wafer cross-sectional view corresponding to the X-X ′ cross section of FIG. 6. FIG. 8 is a schematic cross-sectional view of a wafer for explaining a wafer processing process (stress relief process) in the semiconductor device manufacturing method according to the embodiment of the present application. FIG. 9 is a schematic cross-sectional view of a wafer for explaining a wafer processing process (protective tape peeling step) in the method of manufacturing a semiconductor device according to one embodiment of the present application. FIG. 10 is a schematic cross-sectional view of a wafer for explaining a wafer processing process (back surface metal electrode forming step) in the method of manufacturing a semiconductor device according to one embodiment of the present application. FIG. 11 is a schematic cross-sectional view of a wafer for explaining a wafer processing process (at the start of a dicing tape attaching process in a vacuum vessel) in the method for manufacturing a semiconductor device according to an embodiment of the present application. FIG. 12 is a schematic cross-sectional view of a wafer for explaining a wafer processing process (at the time of completion of a dicing tape attaching process in a vacuum vessel) in the semiconductor device manufacturing method according to the embodiment of the present application. FIG. 13 is a schematic cross-sectional view of a wafer for explaining a wafer processing process (at the start of the ring-cut process) in the method for manufacturing a semiconductor device according to one embodiment of the present application. FIG. 14 is a wafer top view for explaining a wafer processing process (when the ring-cut process is completed) in the semiconductor device manufacturing method according to the embodiment of the present application. FIG. 15 is a schematic cross-sectional view of a wafer corresponding to the X′-X cross section of FIG. 14. FIG. 16 is a schematic cross-sectional view of a wafer for explaining a wafer processing process (annular region removing step) in the method of manufacturing a semiconductor device according to the embodiment of the present application. FIG. 17 is a wafer top view for explaining the wafer processing process (during the dicing process) in the method of manufacturing a semiconductor device according to the embodiment of the present application. FIG. 18 is a schematic wafer cross-sectional view corresponding to the X′-X cross section of FIG. 17. FIG. 19 is a wafer top view for explaining a wafer processing process (when the dicing process is completed) in the semiconductor device manufacturing method according to the embodiment of the present application. FIG. 20 is a schematic wafer cross-sectional view corresponding to the X′-X cross section of FIG. 19. Based on these, the wafer processing process in the method of manufacturing a semiconductor device according to an embodiment of the present application will be described.

ここでは、200ファイのN−型エピタキシャルウエハ1(N+型単結晶シリコンウエハにN−型シリコンエピタキシャル層を形成したもの)を原材料ウエハ(ウエハ厚は、たとえば500から900マイクロメータ程度)として使用する例を想定して説明するが、ウエハの径は300ファイでも450ファイでも、その他でもよい。また、必要があれば、P型エピタキシャルウエハ、シリコン系以外の半導体ウエハ又は基板であってもよい。なお、ウエハの厚さ(初期ウエハ厚さ)については、任意であるが、個々では、説明の都合上、たとえば、725マイクロメートル程度とする。   Here, a 200-phi N− type epitaxial wafer 1 (N + type single crystal silicon wafer formed with an N− type silicon epitaxial layer) is used as a raw material wafer (the wafer thickness is about 500 to 900 micrometers, for example). As an example, the wafer diameter may be 300 phi, 450 phi, or others. If necessary, it may be a P-type epitaxial wafer, a semiconductor wafer other than silicon, or a substrate. Note that the thickness of the wafer (initial wafer thickness) is arbitrary, but for the convenience of explanation, for example, it is about 725 micrometers.

ウエハ表面プロセスがほぼ完成したウエハ1を図1に示す。図1に示すように、ウエハ1は、ノッチ3を有するほぼ円形を呈しており、その表側主面1a(第1の主面)の内部領域には、複数のチップ領域2が形成されている。   FIG. 1 shows a wafer 1 in which the wafer surface process is almost completed. As shown in FIG. 1, the wafer 1 has a substantially circular shape with a notch 3, and a plurality of chip regions 2 are formed in the inner region of the front main surface 1a (first main surface). .

次に、図1のX’−X断面を図2に示す。図2に示すように、この段階では、ウエハ1の裏側主面1b(第2の主面)には、(図示しない不純物領域等を除き)何も形成されていないが、ウエハ1の表側主面1a(第1の主面)には、たとえば、ソース領域、ゲート電極、ソース電極等の半導体素子主要部4が各半導体チップ領域2(半導体チップ)に対応して設けられている。   Next, FIG. 2 shows a cross section taken along line X'-X in FIG. As shown in FIG. 2, at this stage, nothing is formed on the back side main surface 1 b (second main surface) of the wafer 1 (except for an impurity region not shown), but the front side main surface of the wafer 1 is not formed. On the surface 1a (first main surface), for example, semiconductor element main parts 4 such as a source region, a gate electrode, and a source electrode are provided corresponding to each semiconductor chip region 2 (semiconductor chip).

次に、表面保護テープ貼り付け工程を説明する。表面保護テープ5(表面保護粘着シート)を貼り付けた状態のウエハ1を図3(破線は表側主面1a上の半導体チップ領域2である)及び図4(図3のX−X’断面である)に示す。図3に示すように、図1と同様に、基本的に何も形成されていない。一方、ウエハ1の表側主面1aには、図4に示すように、そのほぼ全表面を覆うように、片面粘着テープ5(表面保護テープ)が貼り付けられている。   Next, the surface protection tape attaching process will be described. The wafer 1 with the surface protective tape 5 (surface protective adhesive sheet) attached is shown in FIG. 3 (broken line is the semiconductor chip region 2 on the front main surface 1a) and FIG. 4 (in the XX ′ cross section in FIG. 3). Is). As shown in FIG. 3, nothing is basically formed as in FIG. On the other hand, as shown in FIG. 4, a single-sided adhesive tape 5 (surface protective tape) is attached to the front main surface 1a of the wafer 1 so as to cover almost the entire surface thereof.

次に、予備バックグラインディング工程に移る。この工程では、図5に示すように、ウエハ1の表側主面1aに表面保護テープ5(表面保護粘着シート)を貼り付けた状態で、研削刃52、研削刃保持板53等からなるグラインディングホイール51を加圧状態で相対的に回転および平行移動させることによって、ウエハ1の裏面1b(通常、ウエハも自転している)を全面的に均一にバックグラインディング処理(「全面バックグラインディング処理」という)し、研削目標厚さ6にまでウエハ厚さを減少させる。この、研削目標厚さ6も、必要に応じて変更可能であるが、ここでは、たとえば、650マイクロメートル程度とする。すなわち、この例では、削り量は、75マイクロメートル程度となる。なお、この工程は、初期ウエハ厚さによっては不要となる。   Next, it moves to a preliminary back grinding process. In this step, as shown in FIG. 5, a grinding blade 52, a grinding blade holding plate 53, etc., with the surface protective tape 5 (surface protective adhesive sheet) adhered to the front main surface 1a of the wafer 1. By rotating and translating the wheel 51 relatively in a pressurized state, the back surface 1b of the wafer 1 (usually, the wafer is also rotating) is uniformly back-grounded on the entire surface ("the entire surface back-grinding process"). And the wafer thickness is reduced to a grinding target thickness of 6. The target grinding thickness 6 can also be changed as necessary, but here, for example, it is about 650 micrometers. That is, in this example, the shaving amount is about 75 micrometers. This step is not necessary depending on the initial wafer thickness.

次に、ウエハ内部領域薄膜化処理工程(「ウエハ部分薄膜化処理」または「部分バックグラインディング処理」という)に移る。図6及び図7に示すように、ウエハ1の裏面1bの周辺に円環状領域7(ウエハ周辺領域)を残して、ウエハ内部領域8(ほぼ全ての半導体チップ領域2を含むほぼ円形の領域)をウエハ1の裏面から先と同様なグラインディングホイール51を加圧状態で相対的に回転および平行移動(先と同様に、通常、ウエハも自転させている)させることによって、部分バックグラインディング処理を施す。これにより、ウエハ内部領域8を円形リセス領域8とするとともに、ウエハ1の周辺部に肉厚の円環状領域7を残す。部分バックグラインディング処理が完了した時点での円形リセス領域8(ウエハ内部領域)の厚さは、任意であるが、ここでは、たとえば、50マイクロメートル程度(これは第2の厚さである。範囲としては、20から200マイクロメートル)とする。従って、ここでの削り量は、600マイクロメートル程度となる。また、部分バックグラインディング処理が完了した時点での円環状領域7の厚さ(第1の厚さ)は、この例では、650マイクロメートル程度であり、その幅は、比較的任意であるが、たとえば、ベベル部の幅を除いて2.5ミリメートル程度(好適な範囲としては、たとえば、200ファイの場合は1.5から7ミリメートル程度を例示することができる)である。   Next, the process proceeds to a wafer inner region thinning process (referred to as “wafer partial thinning process” or “partial backgrinding process”). As shown in FIGS. 6 and 7, the wafer inner region 8 (substantially circular region including almost all semiconductor chip regions 2) leaving an annular region 7 (wafer peripheral region) around the back surface 1b of the wafer 1. A partial backgrinding process is performed by relatively rotating and translating a grinding wheel 51 similar to the above from the back surface of the wafer 1 in a pressurized state (usually, the wafer is also rotated as usual). Apply. As a result, the wafer inner region 8 is changed to the circular recess region 8 and the thick annular region 7 is left in the peripheral portion of the wafer 1. The thickness of the circular recess region 8 (the wafer inner region) at the time when the partial back grinding process is completed is arbitrary, but here, for example, about 50 micrometers (this is the second thickness). The range is 20 to 200 micrometers). Therefore, the amount of cutting here is about 600 micrometers. In addition, the thickness (first thickness) of the annular region 7 at the time when the partial back grinding process is completed is about 650 micrometers in this example, and the width thereof is relatively arbitrary. For example, it is about 2.5 millimeters excluding the width of the bevel portion (as a suitable range, for example, in the case of 200 phi, about 1.5 to 7 millimeters can be exemplified).

次に、主に部分バックグラインディング処理によって、ウエハ1の裏面1bに形成された変質層を除去するために、ウエハ1の裏面1bに対してストレスリリーフ処理を実行する。このストレスリリーフ処理は、たとえば、図8に示すように、表面保護テープ5が貼り付けられたウエハ1の表側主面1aをスピンテーブル54に吸着した状態で、回転させ、その状態で、ウエハ1の裏側主面1b、すなわち、円形リセス領域8の表面に、ノズル55等から薬液56(シリコンエッチング液)を供給することによって行われる。なお、ストレスリリーフ処理は、ウエットエッチングに限らず、ドライポリッシュやCMP(Chemical Mechanical Polishing)等のその他の方法でもよい。なお、シリコンエッチング液としては、たとえば弗酸と硝酸の混酸等を例示することができる。このウエットエッチングの際のエッチング量としては、0.5から3マイクロメートル程度、望ましくは、1から2マイクロメートル程度を例示することができる。   Next, a stress relief process is performed on the back surface 1b of the wafer 1 in order to remove the altered layer formed on the back surface 1b of the wafer 1 mainly by a partial backgrinding process. In this stress relief process, for example, as shown in FIG. 8, the front side main surface 1a of the wafer 1 to which the surface protective tape 5 is attached is rotated while being attracted to the spin table 54, and in this state, the wafer 1 is rotated. This is carried out by supplying a chemical liquid 56 (silicon etching liquid) from the nozzle 55 or the like to the back main surface 1b of this, that is, the surface of the circular recess region 8. The stress relief treatment is not limited to wet etching, and may be other methods such as dry polishing and CMP (Chemical Mechanical Polishing). Examples of the silicon etching solution include a mixed acid of hydrofluoric acid and nitric acid. Examples of the etching amount during the wet etching include about 0.5 to 3 micrometers, preferably about 1 to 2 micrometers.

次に、図9に示すように、表面保護テープ5(表面保護粘着シート)をウエハ1の表側主面1aから剥離(除去)する。これは、後の裏面処理が、摂氏数百度程度以上における熱処理を伴うからである。   Next, as shown in FIG. 9, the surface protective tape 5 (surface protective adhesive sheet) is peeled (removed) from the front main surface 1 a of the wafer 1. This is because the subsequent backside treatment involves heat treatment at about several hundred degrees Celsius or higher.

次に、図10に示すように、裏面金属電極9(たとえば、ウエハに近い層から、チタン膜/ニッケル膜/金膜などを例示することができる)の成膜工程が、スパッタリング成膜等により実行される。このスパッタリング成膜等の際には、部分薄膜化されたウエハ1は、たとえば、耐熱性のリング状サセプタ57(材料としては、たとえば、石英、耐熱金属、その他耐熱性のセラミックスなど)に収容された状態で、処理されるようにすれば、ハンドリングが容易である。この際、ウエハ1には、肉厚の円環状領域7があるので、その形状を維持することができる。なお、スパッタリング成膜処理装置のウエハステージ等に留意すれば、このようなサセプタを使用しないでも、処理可能である。ただし、装置構造が複雑となる恐れがある。また、ウエハ1の裏面1bに耐熱性の補強板を貼り付けて処理しても良いが、この場合は、工程が複雑になるほか、補強板材料が高価である。   Next, as shown in FIG. 10, the film forming process of the back surface metal electrode 9 (for example, a titanium film / nickel film / gold film can be exemplified from a layer close to the wafer) is performed by sputtering film forming or the like. Executed. In this sputtering film formation, the partially thinned wafer 1 is accommodated in, for example, a heat-resistant ring-shaped susceptor 57 (for example, quartz, heat-resistant metal, other heat-resistant ceramics, etc.). If it is processed in the state, it is easy to handle. At this time, since the wafer 1 has the thick annular region 7, the shape thereof can be maintained. If attention is paid to the wafer stage of the sputtering film forming apparatus, the processing can be performed without using such a susceptor. However, the device structure may be complicated. Further, a heat-resistant reinforcing plate may be attached to the back surface 1b of the wafer 1, but in this case, the process becomes complicated and the reinforcing plate material is expensive.

この裏面金属電極の成膜工程の後(ダイシング工程の前)に、ウエハプローブテストを必要に応じて実行する。また、必要があれば、ウエハプローブテストは、全面バックグラインディング処理とウエハ部分薄膜化処理の間に実行してもよい。ただし、裏面金属電極の成膜工程の後の方が、裏面金属電極が測定に利用できる分、測定精度が高い。   After the back surface metal electrode film forming step (before the dicing step), a wafer probe test is performed as necessary. If necessary, the wafer probe test may be executed between the entire back grinding process and the wafer partial thinning process. However, the measurement accuracy is higher in the latter part of the film forming step of the back metal electrode because the back metal electrode can be used for measurement.

次に、図11に示すように、真空容器59内でダイシングテープ63(この場合、ダイシングテープの周辺部は、たとえば、ほぼ円環状のダイシングフレーム62に予め、粘着固定されている。なお、後で固定しても良い)へのウエハ1の裏面1bの貼り付けを実行する。この場合、ダイシングテープ63(片側粘着テープ)は、ウエハ1の裏面1bとの間にほぼ間隙を作らないように、その形状に倣って粘着(接着)させる必要がある。従って、第1段階として、図11に示すように、ウエハ表面保護ステージ58上(ウエハの表面を傷つけたり汚染したりしないように、たとえば、フルオロカーボン系の樹脂等で形成した表面を有するウエハステージが好適である。なお、ウエハ1の表面1aに保護テープを再度貼り付けても良いが、その場合は工程が複雑となる)に、ウエハ1を下向きに保持し、その上にダイシングフレーム62付のダイシングテープ63を乗せた状態で、オーリング60で封じられた真空容器59内に収容して、真空排気孔61から排気することによって真空状態とする。なお、ダイシングテープ63としては、たとえば、90マイクロメートル程度の厚さのUV硬化型テープを例示することができる。また、ダイシングフレーム62としては、たとえば、ステンレス製の厚さ1.2ミリメートル程度、内径(内部の円形開口の直径)250ミリメートル程度の物を例示することができる。   Next, as shown in FIG. 11, the dicing tape 63 (in this case, the peripheral portion of the dicing tape is, for example, adhesively fixed in advance to a substantially annular dicing frame 62 in the vacuum container 59. The rear surface 1b of the wafer 1 may be attached to the substrate 1). In this case, the dicing tape 63 (one-sided adhesive tape) needs to be adhered (adhered) according to its shape so as not to form a substantially gap with the back surface 1b of the wafer 1. Therefore, as a first stage, as shown in FIG. 11, a wafer stage having a surface formed of, for example, a fluorocarbon resin so as not to damage or contaminate the wafer surface is provided. Note that the protective tape may be re-applied to the surface 1a of the wafer 1, but in this case, the process is complicated), and the wafer 1 is held downward, and the dicing frame 62 attached thereon is provided. With the dicing tape 63 placed, it is housed in a vacuum container 59 sealed with an O-ring 60 and evacuated from the vacuum exhaust hole 61 to be in a vacuum state. An example of the dicing tape 63 is a UV curable tape having a thickness of about 90 micrometers. Moreover, as the dicing frame 62, for example, a stainless steel product having a thickness of about 1.2 millimeters and an inner diameter (diameter of an internal circular opening) of about 250 millimeters can be exemplified.

次に図12に示すように、大気開放すると、円形リセス領域8、円環状領域7、およびダイシングテープ63で囲まれた空間のみが、真空のままとなるので、ダイシングテープ63は伸張して、ウエハ1の裏面形状に倣って、密着する(円形リセス領域8と円環状領域7の段差は、この場合は、僅か、0.6ミリメートル程度である)。   Next, as shown in FIG. 12, when the atmosphere is released, only the space surrounded by the circular recess region 8, the annular region 7, and the dicing tape 63 remains in a vacuum, so that the dicing tape 63 expands, Following the shape of the back surface of the wafer 1, it comes into close contact (the step between the circular recess region 8 and the annular region 7 is only about 0.6 mm in this case).

ウエハ1の裏面へのダイシングテープ63の貼り付けが完了すると、ウエハ1、ダイシングテープ63およびダイシングフレーム62等からなるウエハ等複合体を真空容器59から取り出して、次のリングカット工程(円環状領域切断工程)に移る。   When the attachment of the dicing tape 63 to the back surface of the wafer 1 is completed, the wafer or other composite composed of the wafer 1, the dicing tape 63, the dicing frame 62, etc. is taken out from the vacuum vessel 59, and the next ring cutting step (annular region) Move to cutting step.

図13に示すように、たとえばウエハ裏面保持テーブル65(真空吸着テーブル)上に、ウエハ等複合体をセットした状態で、ウエハ1の表側主面1a側から、回転ブレード64により、円環状領域7と円形リセス領域8の円周状境界に沿って、切断する。   As shown in FIG. 13, for example, in a state where a composite such as a wafer is set on a wafer back surface holding table 65 (vacuum suction table), an annular region 7 is formed by a rotating blade 64 from the front main surface 1 a side of the wafer 1. And cut along the circumferential boundary of the circular recess region 8.

すなわち、図14及び図15に示すように、円環状領域7と円形リセス領域8の円周状境界に沿って、切断することにより円形分離溝10を形成する。このことによって、円環状領域7と円形リセス領域8とが物理的に分離されることとなった。   That is, as shown in FIGS. 14 and 15, a circular separation groove 10 is formed by cutting along a circumferential boundary between the annular region 7 and the circular recess region 8. As a result, the annular region 7 and the circular recess region 8 are physically separated.

次に、図16に示すように、円環状領域7をダイシングテープ63から分離することによって、円環状領域7をウエハ等複合体から分離する。このことによって、ウエハ等複合体には、円形リセス領域8(ウエハ内部領域)、ダイシングテープ63およびダイシングフレーム62のみが残ることとなる。以後、円形リセス領域8(ウエハ内部領域)が実質的にウエハ1となる。   Next, as shown in FIG. 16, the annular region 7 is separated from the composite such as a wafer by separating the annular region 7 from the dicing tape 63. As a result, only the circular recess region 8 (the wafer inner region), the dicing tape 63 and the dicing frame 62 remain in the composite body such as a wafer. Thereafter, the circular recess region 8 (the wafer inner region) becomes the wafer 1 substantially.

次に、図17及び図18に示すように、ウエハ1の裏面1bがダイシングテープ63に貼り付けられた状態で(ウエハ1はダイシングテープ63を介してダイシングフレーム62に保持されている)、たとえば、先ずY方向の回転ブレード64によるダイシング処理が行われ、ダイシング溝11が形成される。ここで、図16の段階では、ダイシングテープ63に若干たるみが残っているが、ダイシングの際には、若干、ダイシングフレーム62が下方に押し下げられる結果、たるみは解消する。通常、ダイシング方式としては、粘着剤層を含むダイシングテープ63の一部まで達する切り込み深さを有するフルカット方式で実行される。しかし、必要に応じて、その他のセミフルカット方式でもハーフカット方式でもよい。ただし、フルカット方式がチッピングの少なさおよびピックアップのし易さ等の点でもっとも有利である。   Next, as shown in FIGS. 17 and 18, the back surface 1 b of the wafer 1 is attached to the dicing tape 63 (the wafer 1 is held by the dicing frame 62 via the dicing tape 63), for example. First, dicing processing is performed by the rotating blade 64 in the Y direction, and the dicing groove 11 is formed. Here, in the stage of FIG. 16, some slack remains in the dicing tape 63, but during dicing, the dicing frame 62 is slightly pushed down, so that the slack is eliminated. Usually, as the dicing method, a full cut method having a cutting depth reaching a part of the dicing tape 63 including the adhesive layer is performed. However, other semi-full cut methods or half cut methods may be used as required. However, the full cut method is most advantageous in terms of less chipping and ease of pickup.

次に、図19及び図20に示すように、ウエハ1の裏面1bがダイシングテープ63に貼り付けられた状態で(ウエハ1はダイシングテープ63を介してダイシングフレーム62に保持されている)、X方向の回転ブレード64によるダイシング処理が行われ、更にX方向ダイシング溝11が形成される。   Next, as shown in FIGS. 19 and 20, with the back surface 1b of the wafer 1 attached to the dicing tape 63 (the wafer 1 is held by the dicing frame 62 via the dicing tape 63), X The dicing process is performed by the rotating blade 64 in the direction, and the X direction dicing groove 11 is further formed.

これにより、複数の半導体チップ領域が、個々の半導体チップに分離されたことになる。   As a result, the plurality of semiconductor chip regions are separated into individual semiconductor chips.

2.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
2. Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

例えば、前記実施の形態においては、主にパワー系半導体装置を例にとり、具体的に説明したが、本発明はそれに限定されるものではなく、一般の半導体集積回路装置(裏面メタル電極のないものを含む)にも、ほぼ同様に適用できることは言うまでもない。   For example, in the above-described embodiment, the power semiconductor device has been mainly described as an example. However, the present invention is not limited thereto, and a general semiconductor integrated circuit device (without a back surface metal electrode) It is needless to say that the present invention can be applied almost similarly.

また、前記実施の形態においては、ダイシングの例として、主に回転ブレードダイシングを例にとり具体的に説明したが、本発明はそれに限定されるものではなく、レーザを用いたダイシングにも同様に適用できることは言うまでもない。   Further, in the above-described embodiment, specific description has been made by taking mainly rotating blade dicing as an example of dicing, but the present invention is not limited thereto, and is similarly applied to dicing using a laser. Needless to say, you can.

1 ウエハ
1a ウエハの第1の主面(表側主面)
1b ウエハの第2の主面(裏側主面)
2 半導体チップ領域(半導体チップ)
3 ノッチ
4 半導体素子主要部
5 表面保護テープ(表面保護粘着シート)
6 研削目標厚さ
7 ウエハ周辺領域(円環状領域)
8 ウエハ内部領域(円形リセス領域)
9 裏面メタル電極
10 円形分離溝
11 ダイシング溝
51 グラインディングホイール
52 研削刃
53 研削刃保持板
54 スピンテーブル
55 薬液ノズル
56 エッチング液
57 リング状サセプタ
58 ウエハ表面保護ステージ
59 真空容器
60 オーリング
61 真空排気孔
62 ダイシングフレーム
63 ダイシングテープ
64 回転ブレード
65 ウエハ裏面保持テーブル
66 ダイシングテーブル
1 Wafer 1a First main surface (front side main surface) of wafer
1b Second main surface of wafer (back side main surface)
2 Semiconductor chip area (semiconductor chip)
3 Notch 4 Main part of semiconductor element 5 Surface protective tape (surface protective adhesive sheet)
6 Target grinding thickness 7 Wafer peripheral area (annular area)
8 Wafer internal area (circular recess area)
9 Back side metal electrode 10 Circular separation groove 11 Dicing groove 51 Grinding wheel 52 Grinding blade 53 Grinding blade holding plate 54 Spin table 55 Chemical solution nozzle 56 Etching solution 57 Ring-shaped susceptor 58 Wafer surface protection stage 59 Vacuum vessel 60 O-ring 61 Vacuum exhaust Hole 62 Dicing frame 63 Dicing tape 64 Rotating blade 65 Wafer back surface holding table 66 Dicing table

Claims (10)

以下の工程を含む半導体装置の製造方法:
(a)ウエハの第1の主面側に複数の半導体チップ領域を形成する工程;
(b)前記工程(a)の後、前記ウエハの前記第1の主面に保護テープを貼り付ける工程;
(c)前記ウエハの前記第1の主面に前記保護テープが貼り付けられた状態で、前記ウエハの第2の主面側の周辺部に第1の厚さを有する円環状領域を形成し、前記第2の主面の内部領域に前記第1の厚さよりも薄い第2の厚さを有する円形リセス領域を形成する工程;
(d)前記工程(c)の後、前記保護テープを除去する工程;
(e)前記工程(d)の後、前記ウエハの前記第2の主面に金属膜を成膜する工程;
(f)前記工程(e)の後、前記ウエハの前記第2の主面に、その形状に倣うように、ダイシングテープを貼り付ける工程;
(g)前記ウエハの前記第2の主面に前記ダイシングテープが貼り付けられた状態で、前記円環状領域を前記内部領域および前記ダイシングテープから分離する工程;
(h)前記工程(g)の後、前記ウエハの前記第2の主面に前記ダイシングテープが貼り付けられた状態で、前記ウエハに対して、ダイシング処理を実行することにより、前記複数の半導体チップ領域を個々の半導体チップに分離する工程。
A semiconductor device manufacturing method including the following steps:
(A) forming a plurality of semiconductor chip regions on the first main surface side of the wafer;
(B) After the step (a), a step of attaching a protective tape to the first main surface of the wafer;
(C) An annular region having a first thickness is formed in a peripheral portion on the second main surface side of the wafer in a state where the protective tape is attached to the first main surface of the wafer. Forming a circular recess region having a second thickness smaller than the first thickness in an inner region of the second main surface;
(D) a step of removing the protective tape after the step (c);
(E) a step of forming a metal film on the second main surface of the wafer after the step (d);
(F) After the step (e), a step of attaching a dicing tape to the second main surface of the wafer so as to follow the shape;
(G) separating the annular region from the inner region and the dicing tape in a state where the dicing tape is attached to the second main surface of the wafer;
(H) After the step (g), the dicing process is performed on the wafer in a state where the dicing tape is attached to the second main surface of the wafer. A process of separating the chip area into individual semiconductor chips.
前記1項の半導体装置の製造方法において、前記工程(h)において、前記ダイシングテープの周辺部はダイシングフレームに固定されている。     In the method of manufacturing a semiconductor device according to the item 1, the peripheral portion of the dicing tape is fixed to a dicing frame in the step (h). 前記1項の半導体装置の製造方法において、前記工程(f)から(h)において、前記ダイシングテープの周辺部はダイシングフレームに固定されている。     In the method of manufacturing a semiconductor device according to the item 1, the peripheral portion of the dicing tape is fixed to a dicing frame in the steps (f) to (h). 前記3項の半導体装置の製造方法において、前記工程(f)は、真空容器内で実行される。     In the method for manufacturing a semiconductor device according to the item 3, the step (f) is performed in a vacuum vessel. 前記4項の半導体装置の製造方法において、前記工程(c)は、前記ウエハの前記第2の主面を部分的にグラインディング処理を施すことによって実行される。     In the method of manufacturing a semiconductor device according to the item 4, the step (c) is performed by partially grinding the second main surface of the wafer. 前記5項の半導体装置の製造方法において、前記工程(g)において、前記円環状領域の前記内部領域からの分離は、回転ブレードによって実行される。     In the method of manufacturing a semiconductor device according to the item 5, in the step (g), the annular region is separated from the inner region by a rotating blade. 前記6項の半導体装置の製造方法において、前記工程(h)における前記ダイシング処理は、回転ブレードによって実行される。     In the method of manufacturing a semiconductor device according to item 6, the dicing process in the step (h) is executed by a rotating blade. 前記7項の半導体装置の製造方法において、前記工程(e)は、スパッタリング成膜によって実行される。     In the method for manufacturing a semiconductor device according to the item 7, the step (e) is performed by sputtering film formation. 前記8項の半導体装置の製造方法において、更に、以下の工程を含む:
(i)前記工程(c)の後であって、前記工程(d)の前に、前記ウエハの前記第2の主面に対して、ストレスリリーフ処理を施す工程。
The method for manufacturing a semiconductor device according to the item 8, further includes the following steps:
(I) A step of performing stress relief treatment on the second main surface of the wafer after the step (c) and before the step (d).
前記9項の半導体装置の製造方法において、ストレスリリーフ処理は、ウエットエッチング処理である。     In the method for manufacturing a semiconductor device according to the item 9, the stress relief process is a wet etching process.
JP2010092021A 2010-04-13 2010-04-13 Method of manufacturing semiconductor device Pending JP2011222843A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010092021A JP2011222843A (en) 2010-04-13 2010-04-13 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010092021A JP2011222843A (en) 2010-04-13 2010-04-13 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2011222843A true JP2011222843A (en) 2011-11-04

Family

ID=45039407

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010092021A Pending JP2011222843A (en) 2010-04-13 2010-04-13 Method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2011222843A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013161863A (en) * 2012-02-02 2013-08-19 Mitsubishi Electric Corp Method of manufacturing semiconductor device
JP2014093493A (en) * 2012-11-07 2014-05-19 Lintec Corp Device and method for attaching sheet
JP2014170798A (en) * 2013-03-01 2014-09-18 Disco Abrasive Syst Ltd Method for processing wafer
JP2014207386A (en) * 2013-04-15 2014-10-30 株式会社ディスコ Wafer processing method
WO2016170579A1 (en) * 2015-04-20 2016-10-27 三菱電機株式会社 Semiconductor device manufacturing method
WO2020235102A1 (en) * 2019-05-23 2020-11-26 三菱電機株式会社 Dicing tape sticking method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005123568A (en) * 2003-09-26 2005-05-12 Disco Abrasive Syst Ltd Method of processing wafer
JP2009141024A (en) * 2007-12-04 2009-06-25 Furukawa Electric Co Ltd:The Adhesive tape
JP2009206417A (en) * 2008-02-29 2009-09-10 Fuji Electric Device Technology Co Ltd Method for manufacturing semiconductor device, and device for manufacturing semiconductor device
JP2010062375A (en) * 2008-09-04 2010-03-18 Disco Abrasive Syst Ltd Method of processing wafer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005123568A (en) * 2003-09-26 2005-05-12 Disco Abrasive Syst Ltd Method of processing wafer
JP2009141024A (en) * 2007-12-04 2009-06-25 Furukawa Electric Co Ltd:The Adhesive tape
JP2009206417A (en) * 2008-02-29 2009-09-10 Fuji Electric Device Technology Co Ltd Method for manufacturing semiconductor device, and device for manufacturing semiconductor device
JP2010062375A (en) * 2008-09-04 2010-03-18 Disco Abrasive Syst Ltd Method of processing wafer

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013161863A (en) * 2012-02-02 2013-08-19 Mitsubishi Electric Corp Method of manufacturing semiconductor device
DE102012223093B4 (en) 2012-02-02 2018-11-29 Mitsubishi Electric Corp. Method for producing a semiconductor device
JP2014093493A (en) * 2012-11-07 2014-05-19 Lintec Corp Device and method for attaching sheet
JP2014170798A (en) * 2013-03-01 2014-09-18 Disco Abrasive Syst Ltd Method for processing wafer
JP2014207386A (en) * 2013-04-15 2014-10-30 株式会社ディスコ Wafer processing method
CN107533963A (en) * 2015-04-20 2018-01-02 三菱电机株式会社 The manufacture method of semiconductor device
JPWO2016170579A1 (en) * 2015-04-20 2017-11-02 三菱電機株式会社 Manufacturing method of semiconductor device
US20180033694A1 (en) * 2015-04-20 2018-02-01 Mitsubishi Electric Corporation Semiconductor device manufacturing method
WO2016170579A1 (en) * 2015-04-20 2016-10-27 三菱電機株式会社 Semiconductor device manufacturing method
US11380585B2 (en) 2015-04-20 2022-07-05 Mitsubishi Electric Corporation Semiconductor device manufacturing method
WO2020235102A1 (en) * 2019-05-23 2020-11-26 三菱電機株式会社 Dicing tape sticking method
JPWO2020235102A1 (en) * 2019-05-23 2021-11-11 三菱電機株式会社 How to apply dicing tape
JP7107436B2 (en) 2019-05-23 2022-07-27 三菱電機株式会社 How to apply dicing tape

Similar Documents

Publication Publication Date Title
US8507362B2 (en) Process of forming ultra thin wafers having an edge support ring
JP2011222843A (en) Method of manufacturing semiconductor device
JP5599342B2 (en) Manufacturing method of semiconductor device
US9076859B2 (en) Method of manufacturing semiconductor chips
TWI524404B (en) Packaging substrate processing methods
JP2003332271A (en) Semiconductor wafer and method of manufacturing semiconductor device
CN105190844A (en) Method for manufacturing semiconductor device
TW200410304A (en) Process for manufacturing thin semiconductor chip
US11201126B2 (en) Method of producing a substrate and system for producing a substrate
JP2002100589A (en) Production method for semiconductor device
JP2009212439A (en) Method of manufacturing semiconductor device and semiconductor manufacturing apparatus
JP5893887B2 (en) Manufacturing method of semiconductor device
JP2008258412A (en) Method for singulating silicon wafer
CN108231646A (en) A kind of manufacturing method of semiconductor devices
US8536709B1 (en) Wafer with eutectic bonding carrier and method of manufacturing the same
TWI592982B (en) Method of manufacturing semiconductor device
JP2005129652A (en) Method of manufacturing semiconductor device
CN105765701B (en) The manufacturing method of semiconductor device
JP5167089B2 (en) Support apparatus, support method, dicing apparatus, and dicing method
US11302538B2 (en) Semiconductor device manufacturing method
US20200321236A1 (en) Edge ring removal methods
JP2019009198A (en) Wafer processing method
JP4572529B2 (en) Manufacturing method of semiconductor device
JPS6323655B2 (en)
JP2005294773A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140605