JP2010287768A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
JP2010287768A
JP2010287768A JP2009141066A JP2009141066A JP2010287768A JP 2010287768 A JP2010287768 A JP 2010287768A JP 2009141066 A JP2009141066 A JP 2009141066A JP 2009141066 A JP2009141066 A JP 2009141066A JP 2010287768 A JP2010287768 A JP 2010287768A
Authority
JP
Japan
Prior art keywords
wiring
cell
input
layer
cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2009141066A
Other languages
Japanese (ja)
Inventor
Hitoshi Yoshikuni
仁志 吉國
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Memory Japan Ltd
Original Assignee
Elpida Memory Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Elpida Memory Inc filed Critical Elpida Memory Inc
Priority to JP2009141066A priority Critical patent/JP2010287768A/en
Publication of JP2010287768A publication Critical patent/JP2010287768A/en
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device capable of wiring between cells disposed in different cell columns using a wiring layer used for wiring in a cell internally, without using a wiring layer used for wiring, and to provide a method of manufacturing the same. <P>SOLUTION: The semiconductor device includes cell columns where standard cells are disposed side by side, comprising a first and a second column arranged by touching in the side of direction of the columns extending in parallel mutually. A first I/O functional wiring of a first cell disposed in a first cell, which is one of an input wiring, an output wiring, and an I/O wiring disposed in the first cell column, is connected to a second I/O functional wiring of a second cell disposed in the second cell column on the same wiring layer. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、半導体装置及び半導体装置の製造方法に関する。特に、スタンダードセルを用いた半導体装置の配置配線に関する。   The present invention relates to a semiconductor device and a method for manufacturing the semiconductor device. In particular, the present invention relates to placement and routing of a semiconductor device using standard cells.

従来、半導体装置のマスクレイアウト設計において、あらかじめレイアウト設計に必要とされる基本的な組み合わせ回路や順序回路を標準的なスタンダードセルとして用意しておき、そのスタンダートセルを用いて設計を行うスタンダートセル方式の半導体装置が広く用いられている。また、スタンダートセルを用いたマスクレイアウト設計において、設計の効率や設計された半導体装置の性能を高めるために様々な工夫が提案されている。   Conventionally, in a mask layout design of a semiconductor device, a standard cell system in which basic combinational circuits and sequential circuits required for layout design are prepared as standard standard cells and the design is performed using the standard cells. These semiconductor devices are widely used. Further, in the mask layout design using the standard cell, various ideas have been proposed in order to improve the design efficiency and the performance of the designed semiconductor device.

特許文献1には、自動配置配線ツールを用いた半導体集積回路の設計において、配線引き回しが少なく、レイアウト面積及び配線容量が小さい半導体集積回路を効率よく設計する方法として、所定のスタンダードセルを複合化し新たなスタンダードセルを用いて、半導体集積回路の設計を行なう方法が記載されている。   In Patent Document 1, in designing a semiconductor integrated circuit using an automatic placement and routing tool, as a method for efficiently designing a semiconductor integrated circuit with a small wiring layout and a small layout area and wiring capacity, a predetermined standard cell is combined. A method for designing a semiconductor integrated circuit using a new standard cell is described.

また、特許文献2には、外部指示情報ファイルに記載された隣接配置制約に基づいて、複数のセルに対して隣接配置制約を設け、レイアウト時に、該隣接配置制約が設定された複数のセルを隣接するように配置し、該セル間をローカルインターコネクトによって接続する論理自動設計支援方法が記載されている。   Further, in Patent Document 2, an adjacent placement constraint is provided for a plurality of cells based on the adjacent placement constraint described in the external instruction information file, and a plurality of cells for which the adjacent placement constraint is set are arranged at the time of layout. A logic automatic design support method is described in which the cells are arranged adjacent to each other and the cells are connected by a local interconnect.

特開2006−139765号公報JP 2006-139765 A 特開2001−338006号公報JP 2001-338006 A

以下の分析は本発明により与えられる。特許文献1によれば、クリティカルパス等について、特定のセルの組み合わせを見出して複合的な新たなセルを生成するので、多数のクリティカルパスについて、任意に多数のセルの組み合わせについて適用しようとすると効率が低下すると考えられる。また、特許文献2のようにセルを隣接配置するとしても隣接配置したセルとセルとの間の配線は、セル内の配線に用いられる下層配線ではなく、セル間の配線に用いられる上層配線を用いて行われるので、隣接セル間の配線にも上層配線を用いることにより他の離れたセル間の配線の配線経路を圧迫する。   The following analysis is given by the present invention. According to Patent Document 1, a specific combination of cells is found for a critical path or the like and a composite new cell is generated. Therefore, it is efficient to apply an arbitrary number of combinations of cells to a number of critical paths. Is expected to decrease. Further, even if the cells are arranged adjacently as in Patent Document 2, the wiring between the cells arranged adjacent to each other is not the lower layer wiring used for the wiring in the cell, but the upper layer wiring used for the wiring between the cells. Therefore, the upper layer wiring is also used for wiring between adjacent cells, thereby compressing the wiring path of wiring between other separated cells.

発明者の知見によれば、入力や出力が2系統以上ある論理回路は、複数のセル列(セル棚)を用いれば効率的に配置配線できる場合があるが、上記特許文献1や特許文献2には、隣接配置するセルを単一のセル列に配置することしか開示されていない。   According to the inventor's knowledge, a logic circuit having two or more systems of inputs and outputs can be arranged and wired efficiently by using a plurality of cell rows (cell shelves). Discloses only arranging adjacent cells in a single cell column.

本発明の1つの側面による半導体装置は、各々複数のスタンダードセルが並べて配置された第1及び第2のセル列であって、互いに平行に列が延びる長辺方向の辺で接して配置された第1及び第2のセル列を備え、前記第1のセル列に配置された複数の第1のスタンダードセルのうちの1つのセルの入力配線、出力配線、入出力配線のいずれかの配線である第1の入出力機能配線が、前記第2のセル列に配置された複数の第2のスタンダードセルのうちの少なくとも1つのセルの第2の入出力機能配線と、前記第1の入出力機能配線と同一の配線層で接続されている。   A semiconductor device according to one aspect of the present invention is a first and second cell row in which a plurality of standard cells are arranged side by side, and is arranged in contact with each other in a long side direction extending in parallel with each other. 1st and 2nd cell column, and one of the input wiring, the output wiring, and the input / output wiring of one of the plurality of first standard cells arranged in the first cell column. A first input / output function wiring includes a second input / output function wiring of at least one cell of the plurality of second standard cells arranged in the second cell column, and the first input / output function. They are connected in the same wiring layer as the functional wiring.

また、本発明の他の側面による半導体装置の製造方法であって、複数のスタンダードセルを第1の方向と前記第1の方向に直交する第2の方向との其々に沿ってマトリックス状に配置する第1のセル配置処理と、前記第1の方向に沿って互いに隣接して配置されたスタンダードセル間を前記複数のスタンダードセルの各々の入力配線、出力配線、入出力配線のいずれかの配線である入出力機能配線と同一の層の配線層を用いて接続する第1の配線処理と、前記第2の方向に沿って互いに隣接して配置されたスタンダードセル対の少なくとも1対のセル間を前記入出力機能配線と同一の層の配線層を用いて接続する第2の配線処理と、を備える半導体装置の設計方法を含む。   According to another aspect of the present invention, there is provided a method for manufacturing a semiconductor device, wherein a plurality of standard cells are arranged in a matrix along a first direction and a second direction orthogonal to the first direction. Any one of the input wiring, output wiring, and input / output wiring of each of the plurality of standard cells between the first cell placement processing to be placed and the standard cells placed adjacent to each other along the first direction. A first wiring process connected using a wiring layer of the same layer as the input / output function wiring as a wiring, and at least one pair of standard cell pairs arranged adjacent to each other along the second direction And a second wiring process for connecting between them using the same wiring layer as the input / output function wiring.

本発明のさらに他の側面による自動配置配線用スタンダードセルライブラリのデータ構造は、自動配置配線用スタンダードセルライブラリに含まれるセルのデータ構造であって、それぞれのセルはセル境界内に配置された実レイアウトデータと、セルの入力配線、出力配線、入出力配線のいずれかである入出力機能配線に接続されセル境界に配線グリッド上で接する仮想境界配線であり、前記セルの第1のセル境界と前記第1のセル境界に直交する第2のセル境界との其々に対応して設けられた複数の認識層と、を備え、前記認識層は、隣接して配置される他のセルとの相対配置位置が決定された後で、他のセルの同電位の認識層又は配線と接する場合には、実配線データに変換され、他のセルの異電位の認識層又は配線と接する場合には、実配線に変換されずに削除されるように構成されている。   A data structure of a standard cell library for automatic placement and routing according to still another aspect of the present invention is a data structure of cells included in the standard cell library for automatic placement and routing, and each cell is arranged within a cell boundary. Layout data and virtual boundary wiring that is connected to an input / output function wiring that is one of input wiring, output wiring, and input / output wiring of the cell and is in contact with a cell boundary on a wiring grid, and the first cell boundary of the cell A plurality of recognition layers provided corresponding to each of the second cell boundaries orthogonal to the first cell boundary, and the recognition layer is connected to other cells arranged adjacent to each other. After the relative placement position is determined, when it comes into contact with a recognition layer or wiring of the same potential of another cell, it is converted to actual wiring data, and when it comes into contact with a recognition layer or wiring of a different potential of another cell , It is configured to be removed without being converted to the wiring.

本発明によれば、スタンダードセルの入出力機能配線と同一の配線層でセル間を接続するので、上層配線の配線経路を圧迫することなく、少ない面積で配線を行うことができる。   According to the present invention, since the cells are connected by the same wiring layer as the input / output function wiring of the standard cell, wiring can be performed with a small area without pressing the wiring path of the upper layer wiring.

(a)は配置配線の対象とする回路の回路図、(b)は単一のセル列を用いて配置配線した場合のレイアウトイメージ図、(c)は、複数のセル列を用いて配置配線した場合のレイアウトイメージ図である。(A) is a circuit diagram of a circuit to be placed and routed, (b) is a layout image diagram when placed and wired using a single cell row, and (c) is placed and routed using a plurality of cell rows. It is a layout image figure in the case. 本発明の一実施例による半導体装置の製造方法における配置配線処理を示すフロー図である。It is a flowchart which shows the arrangement | positioning wiring process in the manufacturing method of the semiconductor device by one Example of this invention. (a)〜(c)はそれぞれ本発明の一実施例に用いるインバータセルの平面図である。(A)-(c) is a top view of the inverter cell used for one Example of this invention, respectively. (a)、(b)はそれぞれ本発明の一実施例に用いるNANDセルの平面図である。(A), (b) is a top view of the NAND cell used for one Example of this invention, respectively. (a)、(b)はそれぞれ本発明の一実施例に用いる別なNANDセルの平面図である。(A), (b) is a top view of another NAND cell used for one Example of this invention, respectively. (a)、(b)はそれぞれ本発明の一実施例に用いるNORセルの平面図である。(A), (b) is a top view of the NOR cell used for one Example of this invention, respectively. (a)、(b)はそれぞれ本発明の一実施例に用いる別なNORセルの平面図である。(A), (b) is a top view of another NOR cell used for one Example of this invention, respectively. 本発明の一実施例により図1(a)の回路を配置配線したレイアウト図である。FIG. 2 is a layout diagram in which the circuit of FIG. 1A is arranged and wired according to an embodiment of the present invention. 本発明の一実施例に用いるインバータセルの(a)は配置配線前の平面図であり、(b)は第2金属配線層まで配線した後の平面図、(c)は第2金属配線まで配線した後のCC’断面図である。(A) of the inverter cell used for one Example of this invention is a top view before arrangement | positioning wiring, (b) is a top view after wiring to a 2nd metal wiring layer, (c) is to a 2nd metal wiring. It is CC 'sectional drawing after wiring.

実施例の詳細について説明する前に、本発明の前提となる検討結果と発明の概要について説明する。なお、概要の説明において引用する図面及び図面の符号は実施形態の一例として示すものであり、それにより本発明による実施形態のバリエーションを制限するものではない。   Before describing the details of the embodiments, the examination results and the outline of the present invention will be described. In the description of the outline, the drawings and the reference numerals of the drawings are shown as examples of the embodiments, and the variations of the embodiments according to the present invention are not limited thereby.

図1(a)は、自動配置配線の対象となる回路の一例である。図1(a)はクリティカルパスに含まれる回路で配線長を極力短くする必要がある回路であるとする。図1(a)では、インバータ回路Aの出力信号netAと、NAND回路Bの出力信号netCがNOR回路Cに入力され、NOR回路の出力信号netBがインバータ回路Dにより反転され出力信号OUTCとして外部に出力している。   FIG. 1A is an example of a circuit that is an object of automatic placement and routing. FIG. 1A is a circuit that is included in a critical path and requires a wiring length as short as possible. In FIG. 1A, the output signal netA of the inverter circuit A and the output signal netC of the NAND circuit B are input to the NOR circuit C, and the output signal netB of the NOR circuit is inverted by the inverter circuit D and output to the outside as the output signal OUTC. Output.

図1(b)は、図1(a)の回路を単一のセル列を用いて配置配線した例である。NOR回路Cの入力信号が2系統あるので、NOR回路Cの前段にインバータ回路AとNAND回路Bとを配置してその出力信号NetAとNetCをNOR回路Cへ接続している。しかし、インバータ回路AとNOR回路Cとの間には、NAND回路Bが配置されているため、インバータ回路Aの出力信号NetAは、NAND回路Bを跨いで配線しなければ、NOR回路Cへ接続することができない。   FIG. 1B is an example in which the circuit of FIG. 1A is arranged and wired using a single cell column. Since there are two systems of input signals to the NOR circuit C, the inverter circuit A and the NAND circuit B are arranged in the preceding stage of the NOR circuit C, and the output signals NetA and NetC are connected to the NOR circuit C. However, since the NAND circuit B is arranged between the inverter circuit A and the NOR circuit C, the output signal NetA of the inverter circuit A is connected to the NOR circuit C unless it is wired across the NAND circuit B. Can not do it.

一方、図1(c)のようにインバータ回路AとNAND回路Bを配置するセル列(セル棚)とNOR回路Cとインバータ回路Dを配置するセル列を分ければ、NetAは、NAND回路Bを跨がなくともNOR回路Cに接続できるため、配線長を短くし、信号の伝播時間を短くし、レイアウトをコンパクトにすることが可能である。しかし、一般的には、セル列間の配線には、上層配線を用いなければ接続することができず、上層配線を用いて配線すると他の配線の上層配線を用いた自由な配線を制約することになってしまう。   On the other hand, if the cell row (cell shelf) in which the inverter circuit A and the NAND circuit B are arranged and the cell row in which the NOR circuit C and the inverter circuit D are arranged are separated as shown in FIG. Since it can be connected to the NOR circuit C without straddling, the wiring length can be shortened, the signal propagation time can be shortened, and the layout can be made compact. However, in general, the wiring between the cell columns cannot be connected unless the upper layer wiring is used, and if the upper layer wiring is used, the free wiring using the upper layer wiring of other wiring is restricted. It will be.

本発明の一実施形態の半導体装置は、例えば、図8に示すように、スタンダードセルが並べて配置されたセル列である第1のセル列と第2のセル列が互いに平行に配置される。また、第1のセル列と第2のセル列はセル列が延びる方向の辺で接して配置される。例えば、図8において、インバータ回路AとNAND回路Bが配置されたセル列と、NOR回路Cとインバータ回路Dが配置されたセル列は互いに平行に配置され、セル列が延びる方向の辺で接して配置されている。さらに、第1のセル列に配置された第1のセルの第1の入出力機能配線(例えば、インバータ回路AやNAND回路Bの出力配線)が第2のセル列に配置された第2のセルの第2の入出力機能配線(NOR回路Cの入力配線)と、入出力機能配線と同一の配線層で接続されている(例えばnetAは入出力機能配線と同一配線層のOU14とILR54Mを経由して接続)。したがって、離れたセル間の配線に用いられる上層配線を用いることなく、セル内の配線に用いる下層配線のみを用いて異なるセル列に配置されたセルを接続することができる。また、このセル間を接続する配線は、あらかじめセルのデータとして設けられたセル内の配線であり、元々セルにない配線をセル間の配線として配線する従来のセル間配線とは異なる。   In the semiconductor device of one embodiment of the present invention, for example, as shown in FIG. 8, a first cell column and a second cell column, which are cell columns in which standard cells are arranged side by side, are arranged in parallel to each other. Further, the first cell row and the second cell row are arranged in contact with each other at the side in the direction in which the cell row extends. For example, in FIG. 8, the cell row in which the inverter circuit A and the NAND circuit B are arranged, and the cell row in which the NOR circuit C and the inverter circuit D are arranged are arranged in parallel with each other, and are in contact with each other in the extending direction of the cell row. Are arranged. Further, the first input / output function wiring of the first cell arranged in the first cell column (for example, the output wiring of the inverter circuit A or the NAND circuit B) is arranged in the second cell column. The second input / output function wiring of the cell (input wiring of the NOR circuit C) is connected to the same wiring layer as the input / output function wiring (for example, netA connects OU14 and ILR54M of the same wiring layer as the input / output function wiring). Connected via). Therefore, cells arranged in different cell columns can be connected using only the lower layer wiring used for the wiring in the cell without using the upper layer wiring used for wiring between the separated cells. Further, the wiring connecting the cells is a wiring in the cell provided as cell data in advance, and is different from the conventional inter-cell wiring in which wiring originally not in the cell is wired as wiring between cells.

また、第1及び第2のセル(例えば図8のAとC、又はBとC)は、それぞれのセル境界で接して配置され、それぞれ対応する入出力機能配線がセル境界まで延伸して配線されている(例えば、図8のOU14とILR54M、又はOUR45とILR62Mで接続)。第1のセルと第2のセルがセル境界で接して配置されているので、セル内配線のみで接続することができる。   In addition, the first and second cells (for example, A and C in FIG. 8 or B and C in FIG. 8) are arranged in contact with each cell boundary, and the corresponding input / output function wiring extends to the cell boundary and is wired. (For example, OU14 and ILR54M in FIG. 8 or OUR45 and ILR62M are connected). Since the first cell and the second cell are arranged in contact with each other at the cell boundary, they can be connected only by the intra-cell wiring.

また、このセル間を接続するセル内配線は、セル列間の配線では用いられない配線層の配線である。図9に示すようにセル内の配線は第1配線層M1を用いて行い、外部からセルへの電源の供給、セル間の配線は、第2配線層M2以上の上層配線を用いて行う。   Further, the intra-cell wiring connecting the cells is a wiring in a wiring layer that is not used in the wiring between the cell columns. As shown in FIG. 9, wiring in the cell is performed using the first wiring layer M1, and power supply from the outside to the cell and wiring between the cells are performed using the upper wiring above the second wiring layer M2.

第1、第2のセルを含むセル(A〜D)は、入出力機能配線に接続されたセル内配線であり、かつ、それぞれのセル境界に規格化された位置で接する仮想境界配線(OU14、ILR54M、OUR45、ILR62M等)を備える。配置後の状態によって、仮想境界配線が他のセルの異電位の配線に接している場合は削除され(図8でオープンと記載されている箇所)、他のセルの同電位の配線に接している場合は前記仮想境界配線が実セル内配線に変換されている(ショートと記載されている箇所)。仮想境界配線(又は認識層)は、あらかじめスタンダートセルに備わっているセル内の配線であるが、実際に配線されるか、否かは、周囲に配置されるセルによって決まる。したがって、同一の半導体集積回路に用いられる同一のセルであっても、仮想境界配線の領域にセル内配線があるセルとないセルとが存在する場合がある。各セルが隣接するセルに接続可能なマスクオプションを備えていると考えてもよい。   The cells (A to D) including the first and second cells are intra-cell wirings connected to the input / output function wirings, and are virtual boundary wirings (OU14) that contact each cell boundary at a standardized position. , ILR54M, OUR45, ILR62M, etc.). Depending on the state after placement, if the virtual boundary wiring is in contact with a wiring with a different potential in another cell, it is deleted (the part indicated as “open” in FIG. 8), and it is in contact with the wiring with the same potential in another cell. In the case where the virtual boundary wiring is present, the virtual boundary wiring is converted into the wiring in the actual cell (the portion indicated as short). The virtual boundary wiring (or the recognition layer) is a wiring in a cell provided in the standard cell in advance, and whether or not the wiring is actually wired depends on the cells arranged in the periphery. Therefore, even in the same cell used in the same semiconductor integrated circuit, there may be a cell with an intra-cell wiring and a cell without an intra-cell wiring in the virtual boundary wiring region. It may be considered that each cell has a mask option that can be connected to an adjacent cell.

また、本発明の一実施形態による半導体集積回路の自動配置配線方法は、図2を参照して、セルの入力配線、出力配線、入出力配線のいずれかである入出力機能配線に接続されセル境界に規格化された位置で接する仮想境界配線である認識層(例えば、図3(a)のIU13、IM11、IM12、IL14、OU13、OU14、OM13、OM12、OL15、OL16)を備えたスタンダードセルを用いた半導体集積回路の自動配置配線方法であって、互いに接続が必要な入出力機能配線に接続された認識層同士がセル境界で接するように、複数のセルを配置する隣接セル配置工程S1と、セル境界で接する認識層のうち、同電位の入出力機能配線に接続された認識層を実境界配線に変換し、実境界配線によってセル間を接続すると共に、異電位の入出力機能配線に接続された認識層を実境界配線に変換せずに削除する境界配線接続削除工程S3と、を含む。例えば、図8において、図1(a)の回路を実現するため、図8中でショートと記載した認識層は実境界配線に変換し、図8中でオープンと記載した認識層は削除する。   In addition, referring to FIG. 2, the automatic placement and routing method for a semiconductor integrated circuit according to an embodiment of the present invention is connected to an input / output function wiring that is one of an input wiring, an output wiring, and an input / output wiring of a cell. A standard cell including a recognition layer (for example, IU13, IM11, IM12, IL14, OU13, OU14, OM13, OM12, OL15, OL16 in FIG. 3A) that is a virtual boundary wiring that contacts the boundary at a standardized position. Is an automatic placement and routing method for a semiconductor integrated circuit using an adjacent cell placement step S1 in which a plurality of cells are placed such that recognition layers connected to input / output function wires that need to be connected to each other are in contact with each other at a cell boundary. If the recognition layer connected to the input / output function wiring of the same potential is converted to the actual boundary wiring among the recognition layers that are in contact at the cell boundary, the cells are connected by the actual boundary wiring. Includes the boundary lines connecting deletion process S3 be removed without converting the recognition layer connected to the input and output functions wiring of a different potential to the actual boundary line, the. For example, in FIG. 8, in order to realize the circuit of FIG. 1A, the recognition layer described as short in FIG. 8 is converted into an actual boundary wiring, and the recognition layer described as open in FIG. 8 is deleted.

認識層は、少なくとも、セルがセル列に配置されたときにセル列が伸びる方向と平行な辺でセル境界に接する認識層(例えば図3(a)ならば、OU13、OU14、IU13、OL15、OL16、IL14)を含み、隣接セル配置工程S1は、異なるセル列に配置されたセルの認識層同士が辺で接するように配置する。例えば図8のインバータセルAの認識層OU14はセル列が伸びる方向と平行な辺でセル境界(図8の破線)に接し、さらにその辺において、NORセルCの認識層ILR54Mと接するように配置する。   The recognition layer is at least a recognition layer in contact with the cell boundary at a side parallel to the direction in which the cell row extends when the cells are arranged in the cell row (for example, in the case of FIG. 3A, OU13, OU14, IU13, OL15, OL16, IL14), and the adjacent cell arrangement step S1 arranges the recognition layers of the cells arranged in different cell rows so as to contact each other at the side. For example, the recognition layer OU14 of the inverter cell A in FIG. 8 is arranged so as to be in contact with the cell boundary (broken line in FIG. 8) at a side parallel to the direction in which the cell row extends and to be in contact with the recognition layer ILR54M of the NOR cell C on that side. To do.

また、本発明の一実施形態による自動配置配線用スタンダードセルライブラリのデータ構造は、自動配置配線用スタンダードセルライブラリに含まれるセルのデータ構造であって、それぞれのセルはセル境界内に配置された実レイアウトデータと、セルの入力配線、出力配線、入出力配線のいずれかである入出力機能配線に接続されセル境界に配線グリッド上で接する仮想境界配線である認識層と、を備え、認識層は、隣接して配置される他のセルとの相対配置位置が決定された後で、他のセルの同電位の認識層又は配線と接する場合には、実配線データに変換され、他のセルの異電位の認識層又は配線と接する場合には、実配線に変換されずに削除されるように構成されている。例えば、図2のセル情報2に格納されるセル情報のデータには、セル境界内に配置された実レイアウトデータ以外に認識層(図3〜図8参照)のデータが含まれ、ステップS3の境界配線接続削除工程では、このセル情報2に含まれる認識層のデータが、隣接した配置された他のセルとの関係を考慮して実配線データに変換させるか、削除される。すなわち、セルのデータ構造として認識層を備えていることによって、セル内配線のみを用いて他のセルと接続できる。以下、実施例について、図面を参照して詳しく説明する。   In addition, the data structure of the automatic placement and routing standard cell library according to an embodiment of the present invention is a cell data structure included in the automatic placement and routing standard cell library, and each cell is placed within the cell boundary. A recognition layer comprising real layout data and a recognition layer that is a virtual boundary wiring that is connected to an input / output function wiring that is one of an input wiring, an output wiring, and an input / output wiring of the cell and is in contact with a cell boundary on a wiring grid. After the relative arrangement position with other cells arranged adjacent to each other is contacted with a recognition layer or wiring of the same potential of another cell, it is converted into actual wiring data, and the other cell When the contact layer is in contact with a different potential recognition layer or wiring, it is deleted without being converted into an actual wiring. For example, the cell information data stored in the cell information 2 of FIG. 2 includes the data of the recognition layer (see FIGS. 3 to 8) in addition to the actual layout data arranged in the cell boundary. In the boundary wiring connection deletion step, the data of the recognition layer included in the cell information 2 is converted into actual wiring data in consideration of the relationship with other adjacent cells arranged or deleted. That is, by providing the recognition layer as the cell data structure, it is possible to connect to other cells using only the intra-cell wiring. Hereinafter, embodiments will be described in detail with reference to the drawings.

図2は、実施例1による半導体装置の製造方法における配置配線処理のフロー図である。回路情報1は、配置配線の対象となる回路の接続情報が記載されたファイルである。セル情報2は、その回路で使用されているセルを含むセルライブラリのレイアウト情報が格納されたファイルである。セル情報2には、後で詳しく説明するようにセル内配線を用いて隣接セルと接続するための認識層の情報が含まれる。セル隣接情報3は、例えば、図1(a)の隣接配置制約F1のように論理を構成する上で、機能毎にグループをまとめ、更にそれらを構成する各セル間で入出力配線が最短で接続することができるようにするための制約条件が記載されたファイルである。図1(a)の隣接配置制約情報F1は、セル隣接情報3に含まれる一部の回路の隣接配置制約情報を回路図として表したものである。図1(a)の回路において、A〜Dの各素子間の入出力配線の長さを最短とするように隣接配置制約を設定する。   FIG. 2 is a flowchart of the placement and routing process in the semiconductor device manufacturing method according to the first embodiment. The circuit information 1 is a file in which connection information of a circuit to be placed and routed is described. Cell information 2 is a file in which layout information of a cell library including cells used in the circuit is stored. The cell information 2 includes information on a recognition layer for connecting to an adjacent cell using intra-cell wiring, as will be described in detail later. For example, the cell adjacency information 3 is configured as shown in FIG. 1 (a) adjacent arrangement constraint F1, groups are grouped for each function, and the input / output wiring is shortest between the cells constituting the cell. It is a file that describes the restrictions for enabling connection. The adjacent arrangement restriction information F1 in FIG. 1A represents the adjacent arrangement restriction information of a part of circuits included in the cell adjacency information 3 as a circuit diagram. In the circuit of FIG. 1A, the adjacent arrangement constraint is set so that the length of the input / output wiring between the elements A to D is minimized.

ステップS1の隣接セル配置工程では、セル隣接情報3により隣接配置が指定された回路について、回路情報1の回路の接続情報とセル情報2に含まれるセルの認識層の位置、認識層が接続される入出力機能配線、セル外形等を考慮して、隣接配置が指定された回路に対応するスタンダードセルをマトリックス状にセル配置する。なお、実施例1で配置配線に用いるセルは、そのセルが占める領域の境界であるセル境界に配線クリッド上で接する認識層を備えている。認識層はセル内配線で構成され、各セルの入出力機能配線に接続されているので、セルとセルとの認識層を接して配置すれば、認識層を介してセルの入出力機能配線間をセル内配線のみを介して接続することができる。したがって、この工程では、隣接配置するセルは、回路情報1に格納されている各セルの入出力機能配線の接続情報と、セル情報2に格納される入出力機能配線と入出力機能配線に接続される認識層の配置位置を考慮して、できるだけセル内の配線のみを用いて互いに接続できるように考慮して配置する。   In the adjacent cell placement step of step S1, the circuit connection information of the circuit information 1 and the recognition layer position of the cell included in the cell information 2 and the recognition layer are connected to the circuit whose neighbor placement is specified by the cell neighbor information 3. In consideration of input / output function wiring, cell outer shape, etc., standard cells corresponding to circuits for which adjacent arrangement is designated are arranged in a matrix. Note that the cell used for placement and routing in the first embodiment includes a recognition layer that is in contact with the cell boundary, which is the boundary of the region occupied by the cell, on the wiring grid. The recognition layer is composed of wiring in the cell and is connected to the input / output function wiring of each cell. Therefore, if the recognition layer between the cells is placed in contact, the input / output function wiring of the cell is connected via the recognition layer. Can be connected only via the in-cell wiring. Therefore, in this process, adjacent cells are connected to the input / output function wiring connection information of each cell stored in the circuit information 1 and the input / output function wiring and input / output function wiring stored in the cell information 2. In consideration of the position of the recognition layer to be arranged, the arrangement is made so that they can be connected to each other using only the wiring in the cell as much as possible.

ステップS2のセル配置工程では、ステップS1の隣接セル配置工程で配置されなかった残りのセルを、回路情報1とセル情報2を参照してマトリックス状に配置する。ステップS3の境界配線接続削除工程は、他のセルの認識層と接して配置されたセルの認識層について、回路情報1を参照し、認識層によって接続された入出力機能配線が同電位であるか否かを判断する。同電位であった場合には、認識層を通常のセル内配線と同一の扱いとなるように認識層の属性を変更する。また、異電位の場合は、配線がオープンになるように認識層の属性を変更する。具体的に、ステップS3の境界配線接続削除工程では、セル列に沿った方向(第1の方向)で他のセルの認識層と接して配置されたセルの認識層について入出力機能配線が同電位であるか否かを判断する処理と、セル列に直交した方向(第2の方向)で他のセルの認識層と接して配置されたセルの認識層について入出力機能配線が同電位であるか否かを判断する処理とを行なう。ステップS4のレイアウト配線工程では、ステップS3により、認識層を用いてセル内配線のみで接続された配線を除き、回路情報1の情報に基づいて、セル間の配線を行い、マスクレイアウトを完成し、レイアウトデータ4として出力する。さらにこのレイアウトデータ4に基づいて、周知の方法により半導体基板上にトランジスタや配線が形成され、半導体装置の製造が完成する。   In the cell placement step of step S2, the remaining cells that have not been placed in the adjacent cell placement step of step S1 are placed in a matrix with reference to circuit information 1 and cell information 2. In the boundary wiring connection deleting step in step S3, the circuit information 1 is referred to for the recognition layer of the cell arranged in contact with the recognition layer of another cell, and the input / output function wiring connected by the recognition layer has the same potential. Determine whether or not. If they are at the same potential, the attributes of the recognition layer are changed so that the recognition layer is handled in the same way as normal wiring in a cell. When the potential is different, the attribute of the recognition layer is changed so that the wiring is open. Specifically, in the boundary wiring connection deletion step in step S3, the input / output function wiring is the same for the recognition layer of the cell arranged in contact with the recognition layer of another cell in the direction along the cell row (first direction). The input / output function wiring has the same potential for the process of determining whether or not it is a potential and the recognition layer of a cell arranged in contact with the recognition layer of another cell in the direction (second direction) orthogonal to the cell row And processing for determining whether or not there is. In the layout wiring process of step S4, the wiring between the cells is performed based on the information of the circuit information 1 except for the wiring connected only by the intra-cell wiring using the recognition layer in step S3, thereby completing the mask layout. , Output as layout data 4. Further, based on the layout data 4, transistors and wirings are formed on the semiconductor substrate by a well-known method, thereby completing the manufacture of the semiconductor device.

次に、図3から図7を用いて実施例1の半導体集積回路の配置配線に用いられるレイアウト用セルの構成について説明する。図3は、インバータセルの平面図である。図3(a)のインバータセルINV1と図3(b)のインバータセルINV2とは、互いにトランジスタのチャンネル幅Wのサイズが異なるパターンを示したものである。どちらのインバータセルも同様に入力配線、出力配線、入出力配線のいずれかに接続された入出力配線をセルの境界部まで伸ばした構成となっている。なお、図3において、セルの境界部はセルを囲う矩形の破線で示される。図4から図9においても同様である。各セルは矩形で囲まれた破線内(セル境界内)にレイアウトパターンを備えている。また、図3(c)のインバータセルINV3はインバータセルINV1の入力配線I1を中央に寄せセル面積を縮小させた例である。ただし、インバータセルINV1、INV2がともに、縦方向の境界まで入力信号の配線が伸びており(IU13、IL14、IU23、IL24)、縦方向からも入力信号が接続できるのに対して、図3(c)のインバータセルINV3の入力信号は、横方向から入力信号が接続されるように構成されている点が異なる。なお、出力の取り出し口は、INV1、INV2と同様に横方向及び縦方向の両方向に存在するので、図3(c)のINV3は、横方向から入力された信号を縦方向に出力したい場合に用いることで、面積的にも配線的にも有利に働く。また、インバータセルINV2に対してもインバータセルINV3のように入力信号の接続口を制限し、入力配線形状を変更することでセル面積を抑えることができる。尚、本明細書では、図3から図7において、各々のセルについて破線で示した枠をセル境界と呼ぶ。   Next, the configuration of the layout cell used for the placement and routing of the semiconductor integrated circuit according to the first embodiment will be described with reference to FIGS. FIG. 3 is a plan view of the inverter cell. The inverter cell INV1 in FIG. 3A and the inverter cell INV2 in FIG. 3B show patterns in which the sizes of the channel widths W of the transistors are different from each other. Similarly, both inverter cells have a configuration in which an input / output wiring connected to any one of an input wiring, an output wiring, and an input / output wiring extends to the boundary portion of the cell. In FIG. 3, the cell boundary is indicated by a rectangular broken line surrounding the cell. The same applies to FIGS. 4 to 9. Each cell has a layout pattern in a broken line (in a cell boundary) surrounded by a rectangle. Further, the inverter cell INV3 in FIG. 3C is an example in which the cell area is reduced by bringing the input wiring I1 of the inverter cell INV1 to the center. However, in both inverter cells INV1 and INV2, the wiring of the input signal extends to the boundary in the vertical direction (IU13, IL14, IU23, IL24), and the input signal can be connected from the vertical direction as well, as shown in FIG. The input signal of the inverter cell INV3 in c) is different in that the input signal is connected from the lateral direction. Since the output extraction ports exist in both the horizontal direction and the vertical direction in the same manner as INV1 and INV2, INV3 in FIG. 3C is used to output a signal input from the horizontal direction in the vertical direction. By using it, it works advantageously in terms of area and wiring. Further, the connection area of the input signal is limited to the inverter cell INV2 as in the inverter cell INV3, and the cell area can be suppressed by changing the input wiring shape. In the present specification, in FIGS. 3 to 7, a frame indicated by a broken line for each cell is referred to as a cell boundary.

図3(a)のセルINV1の入力配線I1には、セル境界まで伸ばした入力配線I1と同種の配線層で定義される認識層IM11、IM12、IU13、IL14を備えている。また、出力配線O1には、同様にセル境界まで伸ばした出力配線O1と同種の配線層で定義される認識層OM11、OM12、OU13、OU14、OL15、OL16を備えている。これらの認識層は、セル境界において各セルで決められた配線グリット上でセル境界に接するように、あらかじめ決められた位置に配置されている。なお、これらの認識層は境界部で接する他のセルの認識層と同電位か異電位かを判断することによって、属性を変更することができるように構成されている。したがって、認識層の属性を変更することによって、セルの認識層に接するほかのセルの認識層が同電位の信号であった場合には、認識層をセル内の他の配線と同じ配線データとして扱い、認識層の属性が変更されたセル内の他の配線と同一層の配線(認識層から変換された配線)によって他のセルと接続される。一方、隣接する他のセルの認識層が異電位の信号であった場合には、認識層は削除され、隣接する他のセルとは、その認識層を介して接続されることはない。インバータセルINV2およびインバータセルINV3もインバータセルINV1と同様に各入出力機能配線に接続され、セル境界部に規格化された位置で接する認識層を備えている。この認識層が隣接配置された他のセルの認識層との電位の異同によって、認識層を介して他のセルと接続されたり、認識層が削除されたりするのは、インバータセルINV1と同様である。   The input wiring I1 of the cell INV1 in FIG. 3A includes recognition layers IM11, IM12, IU13, and IL14 defined by the same type of wiring layer as the input wiring I1 extending to the cell boundary. Similarly, the output wiring O1 includes recognition layers OM11, OM12, OU13, OU14, OL15, and OL16 defined by the same type of wiring layer as the output wiring O1 extended to the cell boundary. These recognition layers are arranged at predetermined positions so as to be in contact with the cell boundary on the wiring grid determined by each cell at the cell boundary. Note that these recognition layers are configured so that the attributes can be changed by determining whether the recognition layers have the same potential or different potentials as the recognition layers of other cells in contact with the boundary. Therefore, by changing the attribute of the recognition layer, if the recognition layer of another cell that is in contact with the recognition layer of the cell has the same potential signal, the recognition layer is set as the same wiring data as other wirings in the cell. It is connected to another cell by a wiring (wiring converted from the recognition layer) of the same layer as another wiring in the cell in which the attribute of the recognition layer is changed. On the other hand, when the recognition layer of another adjacent cell is a signal having a different potential, the recognition layer is deleted, and the other adjacent cell is not connected via the recognition layer. Similarly to the inverter cell INV1, the inverter cell INV2 and the inverter cell INV3 are connected to the respective input / output function wirings and include a recognition layer that is in contact with the cell boundary at a standardized position. Similar to the inverter cell INV1, the recognition layer is connected to another cell via the recognition layer or the recognition layer is deleted due to a difference in potential with the recognition layer of another cell adjacently disposed. is there.

なお、図3(b)において、認識層IU23、IM21、IM22、IL24はセルの入力配線I2に接続され、認識層OU23、OU24、OU25、OM21、OM22、OL26、OL27、OL28はセルの出力配線O2に接続されている。また、図3(c)において、認識層IM31、IM32はセルの入力配線I3に接続され、認識層OU33、OU34、OM31、OM32、OL35、OL36はセルの出力配線O3に接続されている。   In FIG. 3B, the recognition layers IU23, IM21, IM22, and IL24 are connected to the cell input wiring I2, and the recognition layers OU23, OU24, OU25, OM21, OM22, OL26, OL27, and OL28 are cell output wirings. Connected to O2. In FIG. 3C, the recognition layers IM31 and IM32 are connected to the cell input wiring I3, and the recognition layers OU33, OU34, OM31, OM32, OL35, and OL36 are connected to the cell output wiring O3.

なお、これらのインバータセルは、セル内の配線のみを用いて他のセルの入出力機能配線と接続するためには、認識層を介さなければ、接続できないが、上層のセル間配線を入力配線(I1、I2、I3)、又は出力配線(O1、O2、O3)に接続すれば、認識層を用いなくとも他のセルと接続することができる。以下に述べる図4〜図7のセルでも同様である。   In order to connect these inverter cells to the input / output function wiring of other cells using only the wiring in the cell, it is not possible to connect them through the recognition layer, but the upper inter-cell wiring is connected to the input wiring. By connecting to (I1, I2, I3) or the output wiring (O1, O2, O3), it is possible to connect to other cells without using a recognition layer. The same applies to the cells of FIGS. 4 to 7 described below.

図4(a)、(b)と図5(a)、(b)は、実施例1によるNANDセルの平面図である。図3(a)〜(c)のインバータセルと同様に、セルの入出力機能配線に接続され、セルの境界に配線グリッド上で接する認識層を備える。この認識層は、セル内の配線と同種の配線層として定義される仮想的な配線である。図4(a)のNAND1においては、入力配線IA1には認識層IMA11と認識層IMA12を、入力配線IA2には認識層IMA21を、出力配線OA1には認識層OMA11と認識層OUA12と認識層OUA13と認識層OUA14と認識層OLA15と認識層OLA16と認識層OLA17を各入出力配線からセル境界まで伸ばして配置する。これらの認識層はセル境界部で接する他の認識層と同電位か異電位かによって属性を変更することができ、同電位である場合は配線の接続を保障するように設定する。また、これらの認識層はセルの境界部においては、決められた規則で配置位置を設定しておき、他のセルの認識層と接して配置することが可能なように構成されている。図4(b)は、NANDセルNAND2の平面図である。NAND1では入力配線に接続されたセル内配線(認識層)がセル境界の左右の辺に配置されているのに対し、NAND2は、入力配線に接続されたセル内配線(認識層)は左右の一方にしか配置されておらず、他方に出力配線に接続された認識層を配置している。また、NAND2の認識層はNAND1と同様の仕様とする。また、図5(a)は、図4(a)のNAND1の入力配線に接続される認識層を横方向の辺以外に配置したNANDセルNAND3の平面図であり、図5(b)は、図4(b)のNAND2の入力配線に接続される認識層を横方向以外の辺に配置したNANDセルNAND4の平面図である。図4のNANDセルに対して図5のNANDセルはそれぞれ入力配線に接続される認識層を上下の辺に設け、上下に接する他のセルからの入力信号の接続に利用できるようにしているため、セル間の接続自由度は増すが、セルの面積が大きくなる。従って、図4のNANDセルと図5のNANDセルとをそれぞれ使い分けて配置配線の最適化を行う。   FIGS. 4A and 4B and FIGS. 5A and 5B are plan views of the NAND cell according to the first embodiment. Similar to the inverter cell of FIGS. 3A to 3C, a recognition layer connected to the input / output function wiring of the cell and in contact with the boundary of the cell on the wiring grid is provided. This recognition layer is a virtual wiring defined as a wiring layer of the same type as the wiring in the cell. In the NAND 1 in FIG. 4A, the recognition layer IMA11 and the recognition layer IMA12 are provided for the input wiring IA1, the recognition layer IMA21 is provided for the input wiring IA2, and the recognition layer OMA11, the recognition layer OUA12, and the recognition layer OUA13 are provided for the output wiring OA1. The recognition layer OUA14, the recognition layer OLA15, the recognition layer OLA16, and the recognition layer OLA17 are arranged to extend from each input / output wiring to the cell boundary. The attributes of these recognition layers can be changed depending on whether they are the same potential or different potentials with other recognition layers that are in contact with each other at the cell boundary portion. In addition, these recognition layers are configured such that the arrangement positions are set according to a predetermined rule at the boundary portion of the cell and can be arranged in contact with the recognition layers of other cells. FIG. 4B is a plan view of the NAND cell NAND2. In NAND1, the in-cell wiring (recognition layer) connected to the input wiring is arranged on the left and right sides of the cell boundary, whereas in NAND2, the in-cell wiring (recognition layer) connected to the input wiring is The recognition layer is arranged only on one side and connected to the output wiring on the other side. The recognition layer of NAND2 has the same specifications as NAND1. FIG. 5A is a plan view of the NAND cell NAND3 in which the recognition layer connected to the input wiring of the NAND 1 in FIG. 4A is arranged on a side other than the side in the horizontal direction, and FIG. FIG. 5 is a plan view of a NAND cell NAND4 in which recognition layers connected to the input wiring of the NAND2 of FIG. In contrast to the NAND cell of FIG. 4, the NAND cell of FIG. 5 has a recognition layer connected to the input wiring on the upper and lower sides so that it can be used for connection of input signals from other cells that are in contact with the upper and lower sides. The degree of freedom of connection between cells increases, but the area of the cells increases. Therefore, the placement and routing is optimized by using the NAND cell of FIG. 4 and the NAND cell of FIG.

なお、図4(b)のNANDセルNAND2では、認識層IMA31がセルの第1の入力配線IA3に接続され、認識層IMA41がセルの第2の入力配線IA4に接続され、認識層OUA23、OUA24、OUA25、OMA21、OMA22、OLA26、OLA27、OLA28がセルの出力配線OA2に接続されている。また、図5(a)のNANDセルNAND3では、認識層IUA53、IMA51、IMA52、ILA54がセルの第1の入力配線IA5に接続され、認識層IUA62、IMA61がセルの第2の入力配線IA6に接続され、認識層OUA32、OUA33、OUA34、OMA31、OLA35、OLA36、OLA37がセルの出力配線OA3に接続されている。また、図5(b)のNANDセルNAND4では、認識層IUA72、IMA71がセルの第1の入力配線IA7に接続され、認識層IMA81、ILA82がセルの第2の入力配線IA8に接続され、認識層OUA43、OUA44、OUA45、OMA41、OMA42、OLA46、OLA47、OLA48がセルの出力配線OA4に接続されている。   In the NAND cell NAND2 of FIG. 4B, the recognition layer IMA31 is connected to the first input wiring IA3 of the cell, the recognition layer IMA41 is connected to the second input wiring IA4 of the cell, and the recognition layers OUA23, OUA24. , OUA25, OMA21, OMA22, OLA26, OLA27, and OLA28 are connected to the cell output wiring OA2. In the NAND cell NAND3 of FIG. 5A, the recognition layers IUA53, IMA51, IMA52, and ILA54 are connected to the first input wiring IA5 of the cell, and the recognition layers IUA62 and IMA61 are connected to the second input wiring IA6 of the cell. The recognition layers OUA32, OUA33, OUA34, OMA31, OLA35, OLA36, OLA37 are connected to the cell output wiring OA3. Further, in the NAND cell NAND4 of FIG. 5B, the recognition layers IUA72 and IMA71 are connected to the first input wiring IA7 of the cell, and the recognition layers IMA81 and ILA82 are connected to the second input wiring IA8 of the cell and recognized. The layers OUA43, OUA44, OUA45, OMA41, OMA42, OLA46, OLA47, OLA48 are connected to the cell output wiring OA4.

図6(a)、(b)と図7(a)、(b)は、実施例1によるNORセルの平面図である。図3のインバータセル、図4、図5のNANDセルと同様に、セルの入出力機能配線に接続され、セルの境界に配線グリッド上で接する認識層を備える。この認識層は、セル内の配線と同種の配線層として定義される仮想的な配線である。セルの境界部で接する他のセルの認識層と同電位か異電位かによって接続属性を変更され、配線として他のセルと接続されるか、又は削除される。   FIGS. 6A and 6B and FIGS. 7A and 7B are plan views of the NOR cell according to the first embodiment. Similar to the inverter cell of FIG. 3 and the NAND cell of FIGS. 4 and 5, a recognition layer is provided which is connected to the input / output function wiring of the cell and contacts the boundary of the cell on the wiring grid. This recognition layer is a virtual wiring defined as a wiring layer of the same type as the wiring in the cell. The connection attribute is changed depending on whether it is the same potential or different potential as the recognition layer of another cell that is in contact with the boundary of the cell, and it is connected to another cell as a wiring or deleted.

図6(a)のNORセルNOR1は入力配線IR1を右側に、入力配線IR2を左側に設け、それぞれ認識層を介して右側と左側に隣接するセルから接続できるように、認識層IMR11、認識層IMR12および認識層IMR21を配置する。図6(b)のNORセルNOR2は、入力配線IR3および入力配線IR4のどちらも右側で接続できるように認識層IMR31および認識層IMR41を配置した例である。また、図7(a)のNORセルNOR3は図6(a)のNORセルNOR1の入力配線を横方向以外に接続できるように入力配線を追加した例である。また、図7(b)のNOR4は図6(b)のNORセルNOR2の入力配線を横方向以外に接続できるように入力配線を追加した例である。NANDセルのセルパターンと同様、図7(a)、(b)のNORセルのレイアウトパターンは図6(a)、(b)のNORセルのレイアウトパターンに比べてセル間の接続自由度は増すが、追加した入力配線分、セル面積が大きくなる。これらのセルをレイアウトのセル配置や配線の状態を考慮して使い分けをすることで、それぞれのセルのデメリットを緩和することができる。   The NOR cell NOR1 of FIG. 6A is provided with the input wiring IR1 on the right side and the input wiring IR2 on the left side, and the recognition layer IMR11, the recognition layer are connected to the right and left adjacent cells through the recognition layer, respectively. The IMR 12 and the recognition layer IMR21 are arranged. The NOR cell NOR2 in FIG. 6B is an example in which the recognition layer IMR31 and the recognition layer IMR41 are arranged so that both the input wiring IR3 and the input wiring IR4 can be connected on the right side. In addition, the NOR cell NOR3 in FIG. 7A is an example in which input wiring is added so that the input wiring of the NOR cell NOR1 in FIG. Further, NOR4 in FIG. 7B is an example in which input wiring is added so that the input wiring of the NOR cell NOR2 in FIG. Similar to the cell pattern of the NAND cell, the layout pattern of the NOR cell in FIGS. 7A and 7B increases the degree of freedom of connection between the cells compared to the layout pattern of the NOR cell in FIGS. 6A and 6B. However, the added input wiring and the cell area increase. By selectively using these cells in consideration of the cell arrangement of the layout and the state of wiring, the demerits of each cell can be alleviated.

なお、図6(a)のNORセルNOR1では、認識層IMR11、IMR12がセルの第1の入力配線IR1に接続され、認識層IMR21がセルの第2の入力配線IR2に接続され、認識層OUR12、OUR13、OUR14、OMR11、OLR15、OLR16、OLR17がセルの出力配線OR1に接続されている。また、図6(b)のNORセルNOR2では、認識層IMR31がセルの第1の入力配線IR3に接続され、認識層IMR41がセルの第2の入力配線IR4に接続され、認識層OUR23、OUR24、OUR25、OMR21、OMR22、OLR26、OLR27、OLR28がセルの出力配線OR2に接続されている。   In the NOR cell NOR1 in FIG. 6A, the recognition layers IMR11 and IMR12 are connected to the first input wiring IR1 of the cell, the recognition layer IMR21 is connected to the second input wiring IR2 of the cell, and the recognition layer OUR12. , OUR13, OUR14, OMR11, OLR15, OLR16, OLR17 are connected to the cell output wiring OR1. In the NOR cell NOR2 of FIG. 6B, the recognition layer IMR31 is connected to the first input wiring IR3 of the cell, the recognition layer IMR41 is connected to the second input wiring IR4 of the cell, and the recognition layers OUR23, OUR24. , OUR25, OMR21, OMR22, OLR26, OLR27, OLR28 are connected to the cell output wiring OR2.

さらに、図7(a)のNORセルNOR3では、認識層IUR53、IMR51、IMR52、ILR54がセルの第1の入力配線IR5に接続され、認識層IMR61、ILR62がセルの第2の入力配線IR6に接続され、認識層OUR32、OUR33、OUR34、OMR31、OLR35、OLR36、OLR37がセルの出力配線OR3に接続されている。また、図7(b)のNORセルNOR4では、認識層IUR72、IMR71がセルの第1の入力配線IR7に接続され、認識層IMR81、ILR82がセルの第2の入力配線IR8に接続され、認識層OUR43、OUR44、OUR45、OMR41、OMR42、OLR46、OLR47、OLR48がセルの出力配線OR4に接続されている。   Further, in the NOR cell NOR3 of FIG. 7A, the recognition layers IUR53, IMR51, IMR52, and ILR54 are connected to the first input wiring IR5 of the cell, and the recognition layers IMR61 and ILR62 are connected to the second input wiring IR6 of the cell. The recognition layers OUR32, OUR33, OUR34, OMR31, OLR35, OLR36, and OLR37 are connected to the cell output wiring OR3. In the NOR cell NOR4 of FIG. 7B, the recognition layers IUR72 and IMR71 are connected to the first input wiring IR7 of the cell, and the recognition layers IMR81 and ILR82 are connected to the second input wiring IR8 of the cell and recognized. The layers OUR43, OUR44, OUR45, OMR41, OMR42, OLR46, OLR47, OLR48 are connected to the cell output wiring OR4.

なお、以上説明した図3〜図7のスタンダードセルは、認識層以外のセル内配線が、セル境界の内側にセル境界から一定以上距離を離して配線されている。したがって、どのようなセルをどのように隣接配置しても、認識層以外の配線は、他のセルのセル内配線と接することはない。したがって、異電位の認識層を削除すればセルの境界部と他のセルの境界部とを距離を置かずに密着して配置しても他のセルと異電位の配線が短絡されてしまうことはない。   In the standard cells of FIGS. 3 to 7 described above, the intra-cell wiring other than the recognition layer is wired inside the cell boundary at a certain distance from the cell boundary. Therefore, no matter how the cells are arranged adjacent to each other, the wiring other than the recognition layer does not contact the intra-cell wiring of other cells. Therefore, if the recognition layer of the different potential is deleted, even if the boundary portion of the cell and the boundary portion of the other cell are arranged in close contact with each other without placing a distance, the wiring of the different potential with the other cell is short-circuited. There is no.

また、図3〜図7のスタンダードセルは、いずれかも1個以上の入力配線と1個以上の出力配線を備えた組み合わせ回路のスタンダードセルであるが、これ以外にもバスにつながる入出力回路等入力配線又は出力配線以外に1つの又は複数の入出力配線を備えたスタンダートセルを設けてもよい。いずれのスタンダードセルも、入力配線、出力配線、入出力配線のうち、いずれかの配線である入出力機能配線を備えている。   Each of the standard cells in FIGS. 3 to 7 is a combination cell standard cell having one or more input wirings and one or more output wirings. In addition, an input / output circuit connected to a bus, etc. A standard cell provided with one or a plurality of input / output wirings in addition to the input wiring or the output wiring may be provided. Each standard cell includes an input / output function wiring that is one of an input wiring, an output wiring, and an input / output wiring.

次に、上述の図3〜図7のスタンダードセルを用い、図1(a)に示す隣接配置制約F1で隣接配置が指定された回路について、図2のフロー図に従って配置配線した結果を図8に示す。尚、図8は、図2のステップS1の隣接セル配置工程において、マトリックス状に配置されたスタンダードセルの一部を示すものである。また、図8は、基本的に、インバータ回路Aとして図3(a)のインバータセルINV1を、インバータ回路Dとして図3(b)のインバータセルINV2、NAND回路Bとして図5(b)のNANDセルNAND4、NOR回路Cとして図7(a)のNORセルNOR3を、それぞれ用いたものである。ただし、インバータセルA、NORセルC、インバータセルDは、それぞれ、INV1、NOR3、INV2をミラー化して左右を反対にしたセルINV1M、NOR3M、INV2Mを用い、さらに、インバータセルA(INV1M)、NANDセルB(NAND4)はそれぞれ天地逆にして180度回転して配置している。   Next, FIG. 8 shows the result of arranging and wiring the circuit in which the adjacent arrangement is designated by the adjacent arrangement restriction F1 shown in FIG. 1A using the standard cell shown in FIGS. 3 to 7 according to the flowchart of FIG. Shown in FIG. 8 shows a part of standard cells arranged in a matrix in the adjacent cell arrangement step of step S1 in FIG. 8 basically includes the inverter cell INV1 of FIG. 3A as the inverter circuit A, the inverter cell INV2 of FIG. 3B as the inverter circuit D, and the NAND of FIG. 5B as the NAND circuit B. The NOR cell NOR3 of FIG. 7A is used as the cell NAND4 and the NOR circuit C, respectively. However, the inverter cell A, NOR cell C, and inverter cell D use cells INV1M, NOR3M, and INV2M in which INV1, NOR3, and INV2 are mirrored so that the left and right sides are reversed, and further, inverter cell A (INV1M), NAND Each of the cells B (NAND4) is rotated 180 degrees upside down.

図2の隣接セル配置工程ステップS1において、図1(a)の隣接配置制約F1で囲まれたインバータ回路A、NAND回路B、NOR回路C、インバータDの各入出力情報とインスタンス情報が抽出され、これらはセルを配置する際に極力セル境界を隣接させるように配置される。配置の際は入出力の関係から信号の流れ順に配置を優先させセルを配置していく。図8はその配置結果を示したものであり、隣接配置制約F1によって、各セルの境界を隣接させるようにセル配置する。ここで、スタンダードセル用のライブラリ内の全てのセルを予め上述のとおり入力配線及び出力配線の少なくともどちらか一方とセルの上下端との間に認識層を設けたセルとしておいてもよいし、ライブラリ内のセルは従来のスタンダードセルと同一の構成としておき、隣接配置制約F1で囲まれたブロックのレイアウトに使用するセルのみをセルの配置前に選択的に上述のとおり入力配線及び出力配線の少なくともどちらか一方とセルの上下端との間に認識層を設けたセルとしてもよい。どちらの場合でも、隣接配置制約F1で囲まれたブロックのセルを配置した時点で、入力配線及び出力配線の少なくともどちらか一方とセルの上下端との間に認識層を設けたセルとなっていればよい。セルの境界部においては、ある一定の配線ピッチに規格化された認識層が各セルの入出力配線と繋がるようにして接している。図8でセルAとセルBとの境界で接している認識層IM11と認識層IMA81はセルの入出力関係で同電位となるため、配線をショートさせるように各認識層の属性を変更する。セルAとセルBとの境界で接している認識層IM12と認識層IMA71はセルの入出力関係では異電位となるため、配線をショートさせないように各認識層の属性を変更する。同様に、セルAとセルCとの境界、セルBとセルCとの境界、セルBとセルDとの境界、及び、セルCとセルDとの境界においても、各々の境界で接している認識層の属性を、入出力関係に応じてショート又はオープンに変更する。その結果、図8に示すように、各セルの境界で接している認識層が同電位か異電位かで配線の接続パターンが形成され、入力INA、INBから出力OUTCまでの一連の入出力接続がセル内で用意した認識層で完了する。すなわち、認識層によってショートされた配線は、すべてセル内の認識層であり、セルを配置する前に各セルに存在していたデータである。同電位になる他のセルの認識層を接するように配置することにより、セルのデータ以外のセル間の配線を用いなくとも隣接するセル間を接続することができる。   In the adjacent cell placement step S1 in FIG. 2, input / output information and instance information of the inverter circuit A, NAND circuit B, NOR circuit C, and inverter D surrounded by the adjacent placement constraint F1 in FIG. These are arranged so that the cell boundaries are adjacent as much as possible when arranging the cells. At the time of arrangement, cells are arranged by giving priority to the arrangement in the order of signal flow from the input / output relationship. FIG. 8 shows the arrangement result, and the cells are arranged so that the boundaries of the cells are adjacent to each other by the adjacent arrangement constraint F1. Here, all the cells in the standard cell library may be preliminarily set as cells having a recognition layer between at least one of the input wiring and the output wiring and the upper and lower ends of the cell as described above. The cells in the library are configured in the same manner as the conventional standard cells, and only the cells used for the layout of the block surrounded by the adjacent layout constraint F1 are selectively selected before the cell placement, as described above. A cell in which a recognition layer is provided between at least one of the cells and the upper and lower ends of the cell may be used. In either case, when a cell in a block surrounded by the adjacent placement constraint F1 is placed, the cell has a recognition layer between at least one of the input wiring and the output wiring and the upper and lower ends of the cell. Just do it. At the cell boundary, the recognition layer standardized at a certain wiring pitch is in contact with the input / output wiring of each cell. In FIG. 8, since the recognition layer IM11 and the recognition layer IMA81 that are in contact with each other at the boundary between the cell A and the cell B have the same potential due to the input / output relationship of the cells, the attributes of each recognition layer are changed so that the wiring is short-circuited. Since the recognition layer IM12 and the recognition layer IMA71 that are in contact with each other at the boundary between the cell A and the cell B have different potentials in the input / output relationship of the cells, the attribute of each recognition layer is changed so that the wiring is not short-circuited. Similarly, the boundary between the cell A and the cell C, the boundary between the cell B and the cell C, the boundary between the cell B and the cell D, and the boundary between the cell C and the cell D are in contact with each other at each boundary. Change the attribute of the recognition layer to short or open according to the input / output relationship. As a result, as shown in FIG. 8, a wiring connection pattern is formed depending on whether the recognition layer in contact with each cell boundary is the same potential or different potential, and a series of input / output connections from the inputs INA and INB to the output OUTC. Is completed in the recognition layer prepared in the cell. That is, all the wirings that are short-circuited by the recognition layer are the recognition layers in the cell, and are data that existed in each cell before placing the cell. By arranging the recognition layers of other cells having the same potential in contact with each other, adjacent cells can be connected without using wiring between cells other than the cell data.

次に、図8、図9を用いてスタンダードセル周辺の配線構造について説明する。図8に示すように、スタンダードセル周辺には、各セル列が延伸する方向に沿って延びる電源配線が配置される。電源配線には、グランド配線を含む。具体的には、第2配線層の電源配線M2(VDD)と第2配線層のグランド配線M2(GND)が含まれる。図9は、実施例1のスタンダートセルを用いた半導体集積回路の立体構造を示す図面である。図9(a)は、図3(b)のインバータセルINV2について、第1配線層以下の構造を示す平面図であり、図9(b)は、図9(a)にビアV1と第2配線層を配線した後の平面図であり、図9(c)は、そのCC’断面図である。図9(b)では、ビアV1及び第2配線層と第1配線層以下の構造との相対位置がわかるように、第2配線層を半透明にして表示している。また、図9(c)では、層間絶縁膜及びゲート配線層は省略している。図9に示すように、スタンダードセルへの電源、グランドの供給は、第2配線層M2から行われる。電源VDDは、第2配線層の電源配線M2(VDD)からビアV1を介して第1配線層の電源配線M1(VDD)に配線され、さらにそこからPMOSトランジスタのソースとなるP型拡散層P+に給電される。また、グランドGNDは、第2配線層のグランド配線M2(GND)からビアV1を介して第1配線層のグランド配線M1(GND)に配線され、さらにそこからNMOSトランジスタのソースとなるN型拡散層N+に給電される。また、M2−1〜M2−3は、離れたセル間の配線に用いられる第2配線層の配線である。なお、この実施例では、第1配線層はタングステンの配線層で、セル内の配線に用いられる。また、第2配線層はアルミ配線層である。また、第2配線層の上にもさらに配線層を設けて離れたセル間の接続や、電源やグランド配線の強化に用いてもよい。上記構成によれば、各スタンダードセルへの電源の供給は第2配線層M2を用いて行うので、隣接して並んで配置された他のセル列のセルともセル内の配線(第1配線M1)のみを用いて接続することができる。すなわち、セル内配線(第1配線層)をセル列が延びる方向とは直交する方向(図9では左右方向)にセル境界の端まで延ばして配線してもセルへの電源、グランドの供給が妨げられることはない。   Next, the wiring structure around the standard cell will be described with reference to FIGS. As shown in FIG. 8, power supply wiring extending along the direction in which each cell row extends is arranged around the standard cell. The power supply wiring includes ground wiring. Specifically, the power supply wiring M2 (VDD) of the second wiring layer and the ground wiring M2 (GND) of the second wiring layer are included. FIG. 9 is a drawing showing a three-dimensional structure of a semiconductor integrated circuit using the standard cell of the first embodiment. FIG. 9A is a plan view showing the structure below the first wiring layer for the inverter cell INV2 of FIG. 3B, and FIG. FIG. 9C is a plan view after wiring layers are wired, and FIG. 9C is a CC ′ sectional view thereof. In FIG. 9B, the second wiring layer is displayed semi-transparently so that the relative positions of the via V1 and the second wiring layer and the structure below the first wiring layer can be understood. In FIG. 9C, the interlayer insulating film and the gate wiring layer are omitted. As shown in FIG. 9, the power and ground are supplied to the standard cell from the second wiring layer M2. The power supply VDD is wired from the power supply wiring M2 (VDD) of the second wiring layer to the power supply wiring M1 (VDD) of the first wiring layer via the via V1, and further from there, the P-type diffusion layer P + serving as the source of the PMOS transistor Is supplied with power. The ground GND is wired from the ground wiring M2 (GND) of the second wiring layer to the ground wiring M1 (GND) of the first wiring layer via the via V1, and from there, the N-type diffusion that becomes the source of the NMOS transistor Power is supplied to layer N +. Further, M2-1 to M2-3 are wirings of the second wiring layer used for wiring between distant cells. In this embodiment, the first wiring layer is a tungsten wiring layer and is used for wiring in the cell. The second wiring layer is an aluminum wiring layer. Further, a wiring layer may be further provided on the second wiring layer, and it may be used for connection between distant cells or for strengthening a power supply or ground wiring. According to the above configuration, since the power supply to each standard cell is performed using the second wiring layer M2, the cells in the other cell columns arranged adjacent to each other can be connected to the wiring in the cell (the first wiring M1). ) Only. That is, even if the wiring in the cell (first wiring layer) is extended to the end of the cell boundary in the direction orthogonal to the direction in which the cell row extends (the left-right direction in FIG. 9), the power and ground are supplied to the cell. There is no hindrance.

なお、上記実施例1の半導体集積回路の自動配置配線方法は、EWSやパーソナルコンピュータ等の汎用的なコンピュータ上で動作するコンピュータプログラムによっても実行することが可能である。図2のフロー図において、回路情報1、セル情報2、セル隣接情報3は、コンピュータの記憶装置にファイルとして格納し、この記憶装置に格納した情報に基づいて、コンピュータプログラムにより、ステップS1〜S4の隣接セル配置工程、セル配置工程、境界配線接続削除工程、レイアウト配線工程の処理を進めることができる。また、各工程でのレイアウト途中の情報もレイアウトデータ4又は、図示しない一時的な保存ファイルを用いて記憶することにより処理を進めることができる。また、コンピュータプログラムは、インターネット等の通信回線を通じてコンピュータにインストールすることもできるし、DVD、CD、フラッシュメモリなどの記憶媒体を介してコンピュータにインストールすることができる。   The automatic placement and routing method of the semiconductor integrated circuit according to the first embodiment can also be executed by a computer program that operates on a general-purpose computer such as an EWS or a personal computer. In the flowchart of FIG. 2, circuit information 1, cell information 2, and cell neighbor information 3 are stored as files in a computer storage device, and steps S1 to S4 are executed by a computer program based on the information stored in the storage device. The adjacent cell placement process, cell placement process, boundary wiring connection deletion process, and layout wiring process can be advanced. In addition, information in the middle of the layout in each process can be stored by using the layout data 4 or a temporary storage file (not shown) so that the processing can proceed. The computer program can be installed in the computer through a communication line such as the Internet, or can be installed in the computer via a storage medium such as a DVD, CD, or flash memory.

また、セル情報2には、セル境界での認識層の位置及び認識層が接続される入出力機能配線名の情報が含まれており、この情報を用いて、ステップS1の隣接セル配置工程では、複数のセルの同電位の入出力機能配線につながる認識層が互いに接するように配置することもできる。また、セル情報2には、同じ機能を有するセルについて認識層の位置や数について異なる複数種類のセルを設け、隣接セル配置工程では、認識層を用いてセル内配線のみによってセル間を接続することを考慮し、同じ機能を有する複数種類のセルの中から最適なセルを選択してもよい。たとえば、インバータ回路であれば、図3(a)のINV1を用いるか、図3(c)のINV3を用いるか、さらには、INV1やINV2の右左を反転させ、ミラー化したセルを用いるか選択してもよい。NOR回路やNAND回路についても同様である。   The cell information 2 includes information on the position of the recognition layer at the cell boundary and the name of the input / output function wiring to which the recognition layer is connected. Using this information, in the adjacent cell placement step of step S1 The recognition layers connected to the input / output function wirings having the same potential in a plurality of cells can be arranged so as to be in contact with each other. In the cell information 2, a plurality of types of cells having different recognition layer positions and numbers are provided for cells having the same function, and in the adjacent cell placement step, cells are connected only by intra-cell wiring using the recognition layer. In view of this, an optimal cell may be selected from a plurality of types of cells having the same function. For example, in the case of an inverter circuit, it is possible to select whether to use INV1 in FIG. 3A, INV3 in FIG. 3C, or invert right and left of INV1 or INV2 and use a mirrored cell. May be. The same applies to the NOR circuit and NAND circuit.

また、ステップS3の境界配線接続削除工程では、ステップS1又はステップS2でセルが配置された位置の情報と、セル情報に含まれる認識層の位置及び認識層が接続される入出力機能配線の情報によって、認識層を介して互いに接続されることになるセルとその入出力機能配線を認識することができる。これが、回路情報1に含まれる回路の接続情報と一致すれば、認識層によるセル内配線によってセル間を接続するように、認識層の属性を変更し、認識層のデータが実配線データに変換されて、セル内の配線のみによって、隣接するセルの入出力機能配線同士が接続される。一方、回路情報1に含まれない接続関係であれば、認識層をそのまま接続してしまうと異電位の信号がショートしてしまうことになるので、その認識層のデータが実配線データに変換されないように認識層の属性を変更し配線データからは、その認識層のデータは削除される。   Further, in the boundary wiring connection deletion step in step S3, information on the position where the cell is arranged in step S1 or step S2, information on the position of the recognition layer included in the cell information and information on the input / output function wiring to which the recognition layer is connected Thus, it is possible to recognize cells and their input / output function wirings that are to be connected to each other via the recognition layer. If this matches the circuit connection information included in the circuit information 1, the attributes of the recognition layer are changed so that the cells are connected by intra-cell wiring by the recognition layer, and the data of the recognition layer is converted into actual wiring data. Thus, the input / output function wirings of adjacent cells are connected only by the wirings in the cells. On the other hand, if the connection relationship is not included in the circuit information 1, if the recognition layer is connected as it is, a signal with a different potential is short-circuited, and therefore the data in the recognition layer is not converted into actual wiring data. In this way, the recognition layer data is changed and the recognition layer data is deleted from the wiring data.

また、セルライブラリの情報に元々認識層が含まれていない場合には、ステップS1の隣接セル配置工程において、セル隣接情報3と回路情報1を参照して隣接配置する必要があるセルを抽出し、隣接配置する必要があるセルについて、認識層のデータの含まれないセル情報から認識層のデータを付加したセル情報のデータを生成して認識層を付加したセル情報をセル情報2として格納し、後の処理を進めることができる。   Further, when the recognition layer is not originally included in the cell library information, in the adjacent cell placement step of step S1, the cells that need to be placed adjacent to each other are extracted with reference to the cell neighbor information 3 and the circuit information 1. For the cells that need to be adjacently arranged, cell information data with the recognition layer data added is generated from the cell information not including the recognition layer data, and the cell information with the recognition layer added is stored as cell information 2. Later processing can proceed.

以上の実施例では、特に、図1(a)を例に入力信号が2系統以上あり、単一のセル列に配置した場合は、配線が長くなってしまう回路の例について、説明した。これ以外にも、出力信号が複数系統あり、分岐した複数の信号にそれぞれゲートを付加しなければならない場合にも、同様に、単一のセル列に配置すると、配線が長くなってしまう場合がある。この様な場合にも、本発明を用いて、複数のセル列に配置されたセルについてセル内配線のみを用いて配線接続することができる。   In the above-described embodiment, an example of a circuit in which the wiring becomes long when there are two or more input signals in FIG. In addition to this, when there are multiple systems of output signals and gates must be added to each of the branched signals, similarly, if they are arranged in a single cell row, the wiring may become long. is there. Even in such a case, the present invention can be used to wire-connect cells arranged in a plurality of cell rows using only the intra-cell wiring.

特に、本発明においては、セルを異なるセル列に配置する場合においても、セル配置をした段階で下位の配線でセル境界の接続を行うことができ、隣接配線の接続を考慮したセル配置の最適化をすることができる。したがって、上位配線で接続する必要な配線をできるだけ減らすことができ、レイアウトで配線する上位配線の配線エリアの自由度が高くなり、配線効率があがる。   In particular, in the present invention, even when cells are arranged in different cell columns, cell boundaries can be connected with lower wirings at the stage of cell arrangement, and the optimum cell arrangement considering the connection of adjacent wirings Can be made. Therefore, it is possible to reduce the number of necessary wirings to be connected by the upper wiring as much as possible, increase the degree of freedom of the wiring area of the upper wiring to be wired in the layout, and increase the wiring efficiency.

以上、実施例について説明したが、本発明は上記実施例の構成にのみ制限されるものでなく、本発明の範囲内で当業者であればなし得るであろう各種変形、修正を含むことは勿論である。   Although the embodiments have been described above, the present invention is not limited only to the configurations of the above embodiments, and of course includes various modifications and corrections that can be made by those skilled in the art within the scope of the present invention. It is.

1:回路情報
2:セル情報
3:セル隣接情報
4:レイアウトデータ
A、D:インバータ回路
B:NAND回路
C:NOR回路
netA、netB、netC:出力信号
F1:隣接配置制約
IU13、IU23、IM11、IM12、IM21、IM22、IM31、IM32、IL14、IL24、OU13、OU14、OU23、OU24、OU25、OU33、OU34、OM11、OM12、OM21、OM22、OM31、OM32、OL15、OL16、OL26、OL27、OL28、OL35、OL36、IUA53、IUA62、IUA72、IMA11、IMA12、IMA21、IMA31、IMA41、IMA51、IMA52、IMA61、IMA71、IMA81、ILA54、ILA82、OUA12、OUA13、OUA14、OUA23、OUA24、OUA25、OUA32、OUA33、OUA34、OUA43、OUA44、OUA45、OMA11、OMA21、OMA22、OMA31、OMA41、OMA42、OLA15、OLA16、OLA17、OLA26、OLA27、OLA28、OLA35、OLA36、OLA37、OLA46、OLA47、OLA48、IUR53、IUR72、IMR11、IMR12、IMR21、IMR31、IMR41、IMR51、IMR52、IMR61、IMR71、IMR81、ILR54、OUR12、OUR13、OUR14、OUR23、OUR24、OUR25、OUR32、OUR33、OUR34、OUR43、OUR44、OUR45、OMR11、OMR21、OMR22、OMR31、OMR41、OMR42、OLR15、OLR16、OLR17、OLR26、OLR27、OLR28、OLR35、OLR36、OLR37、OLR46、OLR47、OLR48、OLR62、OLR82:認識層(仮想境界配線)
I1、I2、I3、IA1、IA2、IA3、IA4、IA5、IA6、IA7、IA8、IR1、IR2、IR3、IR4、IR5、IR6、IR7、IR8:入力配線
O1、O2、O3、OA1、OA2、OA3、OA4、OR1、OR2、OR3、OR4:出力配線
M1(VDD):第1配線層の電源配線
M1(GND):第1配線層のグランド配線
M2(VDD):第2配線層の電源配線
M2(GND):第2配線層のグランド配線
M2−1、M2−2、M2−3、M2−4:第2配線層の配線
V1:第1配線層の配線と第2配線層の配線とを接続するビア
1: Circuit information 2: Cell information 3: Cell adjacency information 4: Layout data A, D: Inverter circuit B: NAND circuit C: NOR circuit netA, netB, netC: Output signal F1: Adjacent layout constraint IU13, IU23, IM11, IM12, IM21, IM22, IM31, IM32, IL14, IL24, OU13, OU14, OU23, OU24, OU25, OU33, OU34, OM11, OM12, OM21, OM22, OM31, OM32, OL15, OL16, OL26, OL27, OL28, OL35, OL36, IUA53, IUA62, IUA72, IMA11, IMA12, IMA21, IMA31, IMA41, IMA51, IMA52, IMA61, IMA71, IMA81, ILA54, ILA82, OUA12, UA13, OUA14, OUA23, OUA24, OUA25, OUA32, OUA33, OUA34, OUA43, OUA44, OUA45, OMA11, OMA21, OMA22, OMA31, OMA41, OMA42, OLA15, OLA16, OLA17, OLA26, OLA26, OLA26 OLA37, OLA46, OLA47, OLA48, IUR53, IUR72, IMR11, IMR12, IMR21, IMR31, IMR41, IMR51, IMR52, IMR61, IMR71, IMR81, ILR54, OUR12, OUR13, OUR14, OUR23, OUR23, OUR23, RUR24, UR24, UR24, OUR34, OUR43, OUR44, OUR45, OMR11, OMR 1, OMR22, OMR31, OMR41, OMR42, OLR15, OLR16, OLR17, OLR26, OLR27, OLR28, OLR35, OLR36, OLR37, OLR46, OLR47, OLR48, OLR62, OLR82: recognition layer (imaginary boundary line)
I1, I2, I3, IA1, IA2, IA3, IA4, IA5, IA6, IA7, IA8, IR1, IR2, IR3, IR4, IR5, IR6, IR7, IR8: input wiring O1, O2, O3, OA1, OA2, OA3, OA4, OR1, OR2, OR3, OR4: output wiring M1 (VDD): power wiring of the first wiring layer M1 (GND): ground wiring of the first wiring layer M2 (VDD): power wiring of the second wiring layer M2 (GND): ground wiring of the second wiring layer M2-1, M2-2, M2-3, M2-4: wiring of the second wiring layer V1: wiring of the first wiring layer and wiring of the second wiring layer Connecting via

Claims (19)

各々複数のスタンダードセルが並べて配置された第1及び第2のセル列であって、互いに平行に列が延びる長辺方向の辺で接して配置された第1及び第2のセル列を備え、
前記第1のセル列に配置された複数の第1のスタンダードセルのうちの1つのセルの入力配線、出力配線、入出力配線のいずれかの配線である第1の入出力機能配線が、前記第2のセル列に配置された複数の第2のスタンダードセルのうちの少なくとも1つのセルの第2の入出力機能配線と、前記第1の入出力機能配線と同一の配線層で接続されていることを特徴とする半導体装置。
A first and second cell row each having a plurality of standard cells arranged side by side, the first and second cell rows arranged in contact with each other in the long side direction extending in parallel to each other;
A first input / output function wiring which is one of an input wiring, an output wiring and an input / output wiring of one of the plurality of first standard cells arranged in the first cell row; A second input / output function wiring of at least one cell of the plurality of second standard cells arranged in the second cell row is connected to the first input / output function wiring in the same wiring layer; A semiconductor device characterized by comprising:
前記複数の第1のスタンダードセルのうちの前記少なくとも1つのセルと前記複数の第2のスタンダードセルのうちの前記少なくとも1つのセルとは、それぞれのセルのセル境界の少なくとも一部を互いに接して配置されることを特徴とする請求項1に記載の半導体装置。   The at least one cell of the plurality of first standard cells and the at least one cell of the plurality of second standard cells are in contact with each other at least a part of a cell boundary of each cell. The semiconductor device according to claim 1, wherein the semiconductor device is arranged. 前記第1の入出力機能配線及び前記第2の入出力機能配線は、各々の配線が含まれるスタンダードセルの前記セル境界まで延伸して配線されていることを特徴とする請求項2記載の半導体装置。   3. The semiconductor according to claim 2, wherein the first input / output function wiring and the second input / output function wiring are extended to the cell boundary of a standard cell including each wiring. apparatus. 前記第1の入出力機能配線及び前記第2の入出力機能配線は、電源配線よりも下層の配線層に形成されることを特徴とする請求項1乃至3のいずれか一項に記載の半導体装置。   4. The semiconductor according to claim 1, wherein the first input / output function wiring and the second input / output function wiring are formed in a wiring layer below the power supply wiring. 5. apparatus. 前記複数の第1のスタンダードセルのうちの少なくとも1つのセルは、各々のセルとセル境界を接していない前記複数の第2のスタンダードセルのうちの少なくとも一つと、電源配線と同一の配線層又は前記電源配線よりも上層の配線層を介して接続されていることを特徴とする請求項1乃至4いずれか1項記載の半導体装置。   At least one cell of the plurality of first standard cells includes at least one of the plurality of second standard cells not in contact with each cell and the same wiring layer as the power supply wiring or 5. The semiconductor device according to claim 1, wherein the semiconductor device is connected via an upper wiring layer than the power supply wiring. 6. 半導体装置の製造方法であって、
複数のスタンダードセルを第1の方向と前記第1の方向に直交する第2の方向との其々に沿ってマトリックス状に配置する第1のセル配置処理と、
前記第1の方向に沿って互いに隣接して配置されたスタンダードセル間を前記複数のスタンダードセルの各々の入力配線、出力配線、入出力配線のいずれかの配線である入出力機能配線と同一の層の配線層を用いて接続する第1の配線処理と、
前記第2の方向に沿って互いに隣接して配置されたスタンダードセル対の少なくとも1対のセル間を前記入出力機能配線と同一の層の配線層を用いて接続する第2の配線処理と、
を備える半導体装置の設計方法を含む半導体装置の製造方法。
A method for manufacturing a semiconductor device, comprising:
A first cell arrangement process for arranging a plurality of standard cells in a matrix along each of a first direction and a second direction orthogonal to the first direction;
The standard cells arranged adjacent to each other along the first direction are the same as the input / output function wiring that is one of the input wiring, output wiring, and input / output wiring of each of the plurality of standard cells. A first wiring process for connecting using the wiring layers of the layers;
A second wiring process for connecting at least one pair of standard cell pairs arranged adjacent to each other along the second direction using a wiring layer of the same layer as the input / output function wiring;
A method for manufacturing a semiconductor device, including a method for designing a semiconductor device.
前記第1のセル配置処理は、前記半導体装置に含まれる複数の回路素子の各々に対応するスタンダードセルの情報を含むセル情報を参照して前記複数のスタンダードセルを配置する処理であり、前記セル情報に含まれる少なくとも一部のスタンダードセルが、前記入出力機能配線とセル境界との間に配置され配線として使用するか否かを設定可能な認識層を備えることを特徴とする請求項6に記載の方法。   The first cell arrangement process is a process of arranging the plurality of standard cells with reference to cell information including information of standard cells corresponding to each of a plurality of circuit elements included in the semiconductor device, The at least part of standard cells included in the information includes a recognition layer arranged between the input / output function wiring and a cell boundary and capable of setting whether or not to use the wiring as a wiring. The method described. 前記第1のセル配置処理は、前記複数のスタンダードセルのうち互いに隣接して配置されるスタンダードセルの認識層が、互いに接するように配置する処理を備えることを特徴とする請求項7に記載の方法。   The said 1st cell arrangement | positioning process is provided with the process which arrange | positions so that the recognition layer of the standard cell arrange | positioned adjacent to each other among these standard cells may mutually contact. Method. 前記第1のセル配置処理と前記第1の配線処理との間に、前記複数のスタンダードセルの各々に、前記入出力機能配線とセル境界との間に配置され配線として使用するか否かを設定可能な認識層を追加する処理を備えることを特徴とする請求項6に記載の方法。   Whether or not to use each of the plurality of standard cells between the input / output function wiring and the cell boundary as a wiring between the first cell placement processing and the first wiring processing. The method according to claim 6, comprising the step of adding a configurable recognition layer. 前記認識層を追加する処理は、前記複数のスタンダードセルのうち互いに隣接して配置されたスタンダードセルの認識層が、互いに接するように前記認識層を追加する処理を備えることを特徴とする請求項9に記載の方法。   The process of adding the recognition layer includes a process of adding the recognition layer so that recognition layers of standard cells arranged adjacent to each other among the plurality of standard cells are in contact with each other. 9. The method according to 9. 前記第2の配線処理は、前記半導体装置に含まれる複数の回路素子間の接続の情報である接続情報に基づいて、前記スタンダードセル対の少なくとも1対のセルの各々の前記認識層を配線として使用するか否かを設定する処理を備えることを特徴とする請求項7乃至10のいずれか一項に記載の方法。   In the second wiring process, the recognition layer of each of at least one pair of cells of the standard cell pair is used as a wiring based on connection information that is information on connection between a plurality of circuit elements included in the semiconductor device. The method according to any one of claims 7 to 10, further comprising a process of setting whether or not to use. 前記第2の配線処理は、前記スタンダードセル対の少なくとも1対のセル間で接する前記認識層が同電位となるときに前記認識層を配線として使用し、異電位となるときに前記認識層を配線として使用しないことを特徴とする請求項7乃至11のいずれか一項に記載の方法。   The second wiring process uses the recognition layer as a wiring when the recognition layer in contact between at least one pair of cells of the standard cell pair has the same potential, and uses the recognition layer when the potential becomes different. The method according to claim 7, wherein the method is not used as wiring. 前記第1のセル配置処理は、前記半導体装置に含まれる複数の回路素子の中の一部の回路素子を含むグループであり、前記一部の回路素子間の複数の接続の各々が最短となるように配置される回路素子を含むグループを指定する隣接情報に基づき、前記一部の回路素子の各々に対応する第1のスタンダードセルを前記第1の方向と前記第2の方向とに沿ってマトリックス状に配置する処理を備えることを特徴とする請求項6乃至12のいずれか一項に記載の方法。   The first cell arrangement processing is a group including some circuit elements among the plurality of circuit elements included in the semiconductor device, and each of the plurality of connections between the some circuit elements is the shortest. The first standard cells corresponding to each of the partial circuit elements are arranged along the first direction and the second direction based on the adjacent information designating the group including the circuit elements arranged as described above. The method according to any one of claims 6 to 12, comprising a process of arranging in a matrix. 前記第1のセル配置処理と前記第1の配線処理との間に、前記複数の回路素子の中の残りの回路素子の各々に対応する第2のスタンダードセルを配置する第2のセル配置処理を備え、
前記第2の配線処理の後に、前記半導体装置に含まれる複数の回路素子間の接続の情報である接続情報に基づいて、前記複数の回路素子間の接続のうちの残りの接続に対応するスタンダードセル間の接続を、前記スタンダードセル内の配線層と異なる配線層で形成する第3の配線処理を備えることを特徴とする請求項13に記載の方法。
A second cell arrangement process for arranging a second standard cell corresponding to each of the remaining circuit elements in the plurality of circuit elements between the first cell arrangement process and the first wiring process. With
After the second wiring process, a standard corresponding to the remaining connections among the connections between the plurality of circuit elements based on connection information that is information on connections between the plurality of circuit elements included in the semiconductor device. The method according to claim 13, further comprising a third wiring process for forming a connection between cells in a wiring layer different from the wiring layer in the standard cell.
前記半導体装置に含まれる複数の回路素子間の接続の情報である接続情報と、前記半導体装置に含まれる複数の回路素子の各々に対応するスタンダードセルの情報を含むセル情報と、前記半導体装置に含まれる複数の回路素子の中の一部の回路素子を含むグループであり、前記一部の回路素子間の複数の接続の各々が最短となるように配置される回路素子を含むグループを指定する隣接情報と、を所定の装置に入力し、前記第1のセル配置処理と前記第1の配線処理と前記第2の配線処理とを自動的に行なうことを特徴とする請求項6に記載の方法。   Connection information, which is connection information between a plurality of circuit elements included in the semiconductor device, cell information including information on a standard cell corresponding to each of the plurality of circuit elements included in the semiconductor device, and the semiconductor device A group including a part of circuit elements included in the plurality of circuit elements included, and a group including the circuit elements arranged so that each of the plurality of connections between the part of the circuit elements is shortest is specified. 7. The adjacency information is input to a predetermined device, and the first cell placement processing, the first wiring processing, and the second wiring processing are automatically performed. Method. 自動配置配線用スタンダードセルライブラリに含まれるセルのデータ構造であって、
それぞれのセルはセル境界内に配置された実レイアウトデータと、
セルの入力配線、出力配線、入出力配線のいずれかである入出力機能配線に接続されセル境界に配線グリッド上で接する仮想境界配線であり、前記セルの第1のセル境界と前記第1のセル境界に直交する第2のセル境界との其々に対応して設けられた複数の認識層と、
を備え、
前記認識層は、隣接して配置される他のセルとの相対配置位置が決定された後で、他のセルの同電位の認識層又は配線と接する場合には、実配線データに変換され、他のセルの異電位の認識層又は配線と接する場合には、実配線に変換されずに削除されるように構成されていることを特徴とする自動配置配線用スタンダードセルライブラリのデータ構造。
A cell data structure included in the standard cell library for automatic placement and routing,
Each cell has actual layout data placed within the cell boundary,
A virtual boundary wiring that is connected to an input / output function wiring that is one of an input wiring, an output wiring, and an input / output wiring of the cell and is in contact with a cell boundary on a wiring grid, and the first cell boundary of the cell and the first cell A plurality of recognition layers provided corresponding to each of the second cell boundaries orthogonal to the cell boundary;
With
The recognition layer is converted into actual wiring data when it is in contact with a recognition layer or wiring of the same potential of another cell after the relative arrangement position with other cells arranged adjacent to each other is determined. A data structure of a standard cell library for automatic placement and routing, which is configured to be deleted without being converted into an actual wiring when it is in contact with a different potential recognition layer or wiring of another cell.
前記セルの前記認識層以外の配線データは、セル境界から一定距離以上離して配線され、前記認識層は、前記配線データのうち、前記入出力機能配線に接続された配線データとセル境界との間に配置され、前記認識層が実配線に変換されると前記入出力配線に接続された配線データがセル境界まで延長させるように構成されていることを特徴とする請求項16記載の自動配置配線用スタンダードセルライブラリのデータ構造。   Wiring data other than the recognition layer of the cell is wired away from a cell boundary by a certain distance or more, and the recognition layer is configured such that, among the wiring data, the wiring data connected to the input / output function wiring and the cell boundary 17. The automatic placement according to claim 16, wherein wiring data connected to the input / output wiring is extended to a cell boundary when the recognition layer is converted into an actual wiring. Data structure of standard cell library for wiring. 同一機能を有するセルについて、認識層の配置位置及び数によって複数種類のセルが設けられていることを特徴とする請求項16又は17記載の自動配置配線用スタンダードセルライブラリのデータ構造。   18. The data structure of a standard cell library for automatic placement and routing according to claim 16, wherein a plurality of types of cells are provided for cells having the same function depending on the arrangement position and number of recognition layers. 前記認識層は、離れたセル間の配線には用いられずにセル内の配線に用いられる配線層の実配線データに変換されるように構成されていることを特徴とする請求項16乃至18いずれか1項記載の自動配置配線用スタンダートセルライブラリのデータ構造。   19. The recognition layer is configured not to be used for wiring between distant cells but to be converted into actual wiring data of a wiring layer used for wiring in a cell. A data structure of a standard cell library for automatic placement and routing according to any one of the preceding claims.
JP2009141066A 2009-06-12 2009-06-12 Semiconductor device and method of manufacturing the same Withdrawn JP2010287768A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009141066A JP2010287768A (en) 2009-06-12 2009-06-12 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009141066A JP2010287768A (en) 2009-06-12 2009-06-12 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JP2010287768A true JP2010287768A (en) 2010-12-24

Family

ID=43543239

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009141066A Withdrawn JP2010287768A (en) 2009-06-12 2009-06-12 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP2010287768A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109952642A (en) * 2016-12-07 2019-06-28 英特尔公司 Integrated circuit device with serrated metal trace layout
US11355604B2 (en) 2019-10-08 2022-06-07 Samsung Electronics Co., Ltd. Semiconductor device, layout design method for the same and method for fabricating the same
US11557584B2 (en) 2020-06-09 2023-01-17 Samsung Electronics Co., Ltd. Integrated circuit including simple cell interconnection and method of designing the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109952642A (en) * 2016-12-07 2019-06-28 英特尔公司 Integrated circuit device with serrated metal trace layout
CN109952642B (en) * 2016-12-07 2024-03-26 英特尔公司 Integrated circuit device with saw tooth shaped metal trace layout
US11355604B2 (en) 2019-10-08 2022-06-07 Samsung Electronics Co., Ltd. Semiconductor device, layout design method for the same and method for fabricating the same
US11916120B2 (en) 2019-10-08 2024-02-27 Samsung Electronics Co., Ltd. Semiconductor device, layout design method for the same and method for fabricating the same
US11557584B2 (en) 2020-06-09 2023-01-17 Samsung Electronics Co., Ltd. Integrated circuit including simple cell interconnection and method of designing the same

Similar Documents

Publication Publication Date Title
US11031385B2 (en) Standard cell for removing routing interference between adjacent pins and device including the same
KR102358571B1 (en) Integrated circuit and standard cell library
US10997346B2 (en) Conception of a 3D circuit comprising macros
JP5730424B2 (en) Cross-coupled transistor layout in constrained gate level layout architecture
US9536035B2 (en) Wide pin for improved circuit routing
US9236343B2 (en) Architecture of spare wiring structures for improved engineering change orders
JP2008078508A (en) Semiconductor integrated circuit and manufacturing method of the semiconductor integrated circuit
TWI704467B (en) Method of producing layout of semiconductor device, method of designing layout of semiconductor device, and method of fabricating semiconductor device
US20230359799A1 (en) System and method for back side signal routing
US20240037309A1 (en) Multiplexer
US9436792B2 (en) Method of designing layout of integrated circuit and method of manufacturing integrated circuit
US10831970B2 (en) Layout of a memory cell of an integrated circuit
US20050097493A1 (en) Gate reuse methodology for diffused cell-based IP blocks in platform-based silicon products
JP2008288559A (en) Semiconductor integrated circuit and method of laying out the same
JP2010287768A (en) Semiconductor device and method of manufacturing the same
US11392743B2 (en) Multiplexer
US6477696B2 (en) Routing definition to optimize layout design of standard cells
KR20200130097A (en) Methods for vfet cell placement and cell architecture
US20050229141A1 (en) Method and apparatus for creating a mask-programmable architecture from standard cells
TWI786131B (en) Integrated circuit and computer implemented method of generating layout of the integrated circuit
JP5650362B2 (en) Semiconductor integrated circuit design method
JP3481935B2 (en) Semiconductor integrated circuit having macro cell and design method thereof
US11387144B2 (en) Semiconductor device and method of manufacturing the same
US20200201954A1 (en) Method of designing a layout for a semiconductor integrated circuit
JP2004157627A (en) Layout/wiring program and manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20120904