TWI704467B - Method of producing layout of semiconductor device, method of designing layout of semiconductor device, and method of fabricating semiconductor device - Google Patents

Method of producing layout of semiconductor device, method of designing layout of semiconductor device, and method of fabricating semiconductor device Download PDF

Info

Publication number
TWI704467B
TWI704467B TW105118474A TW105118474A TWI704467B TW I704467 B TWI704467 B TW I704467B TW 105118474 A TW105118474 A TW 105118474A TW 105118474 A TW105118474 A TW 105118474A TW I704467 B TWI704467 B TW I704467B
Authority
TW
Taiwan
Prior art keywords
pattern
layout
pin
semiconductor device
standard cell
Prior art date
Application number
TW105118474A
Other languages
Chinese (zh)
Other versions
TW201715422A (en
Inventor
宋泰中
白尙訓
趙成偉
都楨湖
梁箕容
林辰永
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201715422A publication Critical patent/TW201715422A/en
Application granted granted Critical
Publication of TWI704467B publication Critical patent/TWI704467B/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Manufacturing & Machinery (AREA)

Abstract

Provided are a method of producing a layout of a semiconductor device, a method of designing a layout of a semiconductor device, and a method of fabricating a semiconductor device. The method of designing a layout of a semiconductor device includes preparing a standard cell layout including creating a preliminary pin pattern in at least one interconnection layout, performing a routing step to connect the preliminary pin pattern to a high-level interconnection layout, and generating a pin pattern in the interconnection layout, based on hitting information obtained at the completion of the routing step. The pin pattern is smaller than the preliminary pin pattern.

Description

生成半導體裝置佈局的方法、設計半導體裝置 佈局的方法及製作半導體裝置的方法 Method for generating layout of semiconductor device and designing semiconductor device Layout method and method of manufacturing semiconductor device [優先權聲明] [Priority Statement]

本專利申請案主張於2015年7月30日及2015年11月10日在韓國智慧財產局提出申請的韓國專利申請案第10-2015-0108171號及第10-2015-0157565號的優先權,所述韓國專利申請案的內容全文併入本案供參考。 This patent application claims the priority of Korean patent applications No. 10-2015-0108171 and No. 10-2015-0157565 filed at the Korea Intellectual Property Office on July 30, 2015 and November 10, 2015. The content of the Korean patent application is incorporated into this case for reference.

本發明概念是有關於半導體裝置的主動式元件的互連,例如金屬線及接觸窗。且更具體而言,本發明概念是有關於一種含有場效電晶體的半導體裝置的佈局的設計方法及一種使用其的製作半導體裝置的方法。 The concept of the present invention relates to the interconnection of active components of semiconductor devices, such as metal lines and contact windows. More specifically, the concept of the present invention relates to a method for designing the layout of a semiconductor device containing a field effect transistor and a method for manufacturing a semiconductor device using the same.

由於其大小、多功能、及/或低成本的特性,半導體裝置 在電子工業中受到青睞。半導體裝置可被分類成用於儲存資料的記憶體裝置、用於處理資料的邏輯裝置、或包括記憶體元件及邏輯元件二者的混合裝置。為滿足對高速運作及/或耗電少的電子裝置的持續增長的需求,有必要生產提供高效能及/或具有多功能且又保持高可靠性的半導體裝置。為滿足該些技術要求,半導體裝置的複雜性及/或積體密度正在增大。 Due to its size, multi-function, and/or low-cost characteristics, semiconductor devices Favored in the electronics industry. Semiconductor devices can be classified into memory devices for storing data, logic devices for processing data, or hybrid devices including both memory devices and logic devices. In order to meet the increasing demand for high-speed operation and/or low power consumption electronic devices, it is necessary to produce semiconductor devices that provide high performance and/or have multiple functions and maintain high reliability. To meet these technical requirements, the complexity and/or integrated density of semiconductor devices is increasing.

根據本發明概念,提供一種生成半導體裝置的佈局的方法,包括:提供標準胞元佈局,提供所述標準胞元佈局包括創建所述標準胞元佈局的互連佈局的初步引腳圖案;實行布線步驟,以生成其中將所述初步引腳圖案連接至高階互連圖案的高階互連佈局;以及基於在所述布線步驟完成時所獲得的命中資訊而在所述標準胞元佈局的所述互連佈局的區中產生後期引腳圖案,且其中所述後期引腳圖案小於所述初步引腳圖案。 According to the concept of the present invention, a method for generating a layout of a semiconductor device is provided, including: providing a standard cell layout, and providing the standard cell layout includes creating a preliminary pin pattern of the interconnection layout of the standard cell layout; Wiring step to generate a high-level interconnect layout in which the preliminary pin pattern is connected to a high-level interconnect pattern; and all the layouts in the standard cell based on the hit information obtained when the wiring step is completed A late pin pattern is generated in the area of the interconnection layout, and the late pin pattern is smaller than the preliminary pin pattern.

根據本發明概念,亦提供一種設計半導體裝置的佈局的方法,其可包括:在胞元庫中提供第一標準胞元佈局及第二標準胞元佈局,提供所述第一標準胞元佈局及所述第二標準胞元佈局包括分別在所述第一標準胞元佈局及所述第二標準胞元佈局上佈局第一初步引腳圖案及第二初步引腳圖案;佈局所述第一標準胞元佈局及所述第二標準胞元佈局;實行布線步驟,以將所述第一初步引腳圖案及所述第二初步引腳圖案連接至高階互連佈局;以 及基於在所述布線步驟之後所獲得的命中資訊而分別使用所述第一初步引腳圖案及所述第二初步引腳圖案來產生第一引腳圖案及第二引腳圖案。所述第一初步引腳圖案與所述第二初步引腳圖案可在大小及排列方面彼此相同,且所述第一引腳圖案與所述第二引腳圖案可在大小及排列方面彼此不同。 According to the concept of the present invention, a method for designing the layout of a semiconductor device is also provided, which may include: providing a first standard cell layout and a second standard cell layout in a cell library, providing the first standard cell layout and The second standard cell layout includes arranging a first preliminary pin pattern and a second preliminary pin pattern on the first standard cell layout and the second standard cell layout, respectively; and arranging the first standard Cell layout and the second standard cell layout; implementing a wiring step to connect the first preliminary pin pattern and the second preliminary pin pattern to a high-level interconnect layout; And using the first preliminary pin pattern and the second preliminary pin pattern to generate a first pin pattern and a second pin pattern based on hit information obtained after the wiring step. The first preliminary pin pattern and the second preliminary pin pattern may be the same as each other in size and arrangement, and the first pin pattern and the second pin pattern may be different from each other in size and arrangement .

根據本發明概念,亦提供一種製作半導體裝置的方法,包括:產生半導體裝置的裝置佈局的過程;以及使用所述裝置佈局製造半導體裝置。產生所述裝置佈局的過程包括:取得標準胞元佈局及互連佈局,所述標準胞元佈局包括所述半導體裝置的主動式元件及/或區的佈局,所述互連佈局包括初步引腳圖案,所述初步引腳圖案界定所述半導體裝置中的含有欲電性連接至所述主動式元件及/或區中的至少一者的下部接觸窗的位置的區;實行布線步驟,包括在所述標準胞元佈局上覆蓋高階互連圖案及上部接觸窗圖案,其中所述高階互連圖案與所述初步引腳圖案交叉且所述高階互連圖案代表所述半導體裝置的高階互連,且所述上部接觸窗圖案放置於所述高階互連圖案與所述初步引腳圖案的交叉處並代表所述半導體裝置的上部接觸窗的位置;基於所述布線步驟,生成表示所述上部接觸窗的所述位置的命中資訊;以及使用所述命中資訊生成後期引腳圖案,所述後期引腳圖案代表所述半導體裝置中含有所述下部接觸窗及所述上部接觸窗二者的區。製造所述半導體裝置包括:在基板的上部部分處形成基於所述標準胞元佈局而佈局的主動式元件及/或區;在所述基板上形成彼此疊 置的多層金屬線;以及形成將所述多層金屬線連接至所述主動式元件的接觸窗,其中所述多層金屬線包括下部層階金屬層及上部層階金屬層,所述下部層階金屬層包括與所述後期引腳圖案對應的下部層階金屬互連,且所述上部層階金屬層包括與所述高階互連對應的上部層階金屬互連,且所述接觸窗包括第一接觸窗及第二接觸窗,所述第一接觸窗對應於所述下部接觸窗且夾置於所述下部層階金屬互連與所述主動式元件中的至少一者之間並將所述下部層階金屬互連電性連接至所述主動式元件中的所述至少一者,所述第二接觸窗對應於所述上部接觸窗且夾置於所述下部層階金屬互連與所述上部層階金屬互連之間並電性連接所述下部層階金屬互連與所述上部層階金屬互連。 According to the concept of the present invention, a method of manufacturing a semiconductor device is also provided, including: a process of generating a device layout of the semiconductor device; and manufacturing the semiconductor device using the device layout. The process of generating the device layout includes: obtaining a standard cell layout and an interconnection layout, the standard cell layout including the layout of active elements and/or regions of the semiconductor device, and the interconnection layout including preliminary pins A pattern, the preliminary pin pattern defining a region in the semiconductor device containing a position of a lower contact window that is to be electrically connected to at least one of the active element and/or region; performing a wiring step includes Overlay a high-level interconnect pattern and an upper contact window pattern on the standard cell layout, wherein the high-level interconnect pattern crosses the preliminary pin pattern and the high-level interconnect pattern represents the high-level interconnect of the semiconductor device , And the upper contact window pattern is placed at the intersection of the high-level interconnect pattern and the preliminary pin pattern and represents the position of the upper contact window of the semiconductor device; based on the wiring step, a The hit information of the position of the upper contact window; and using the hit information to generate a late pin pattern, the late pin pattern representing the semiconductor device including both the lower contact window and the upper contact window Area. Manufacturing the semiconductor device includes: forming active elements and/or regions laid out based on the standard cell layout at an upper portion of a substrate; And forming a contact window connecting the multilayer metal line to the active device, wherein the multilayer metal line includes a lower-level metal layer and an upper-level metal layer, the lower-level metal The layer includes a lower-level metal interconnection corresponding to the later pin pattern, and the upper-level metal layer includes an upper-level metal interconnection corresponding to the high-level interconnect, and the contact window includes a first A contact window and a second contact window, the first contact window corresponds to the lower contact window and is sandwiched between the lower level metal interconnection and at least one of the active element and the The lower level metal interconnection is electrically connected to the at least one of the active devices, and the second contact window corresponds to the upper contact window and is sandwiched between the lower level metal interconnection and the The upper-level metal interconnections are electrically connected to the lower-level metal interconnections and the upper-level metal interconnections.

10:中央處理單元 10: Central processing unit

30:工作記憶體 30: working memory

32:佈局設計工具 32: Layout design tools

34:模擬工具 34: Simulation tool

50:輸入-輸出裝置 50: Input-output device

70:儲存裝置 70: storage device

90:系統互連件 90: System Interconnect

100:基板 100: substrate

110:第一層間絕緣層 110: The first interlayer insulating layer

120:第二層間絕緣層 120: second interlayer insulating layer

130:第三層間絕緣層 130: third interlayer insulating layer

140:第四層間絕緣層 140: fourth interlayer insulating layer

150:第五層間絕緣層 150: fifth interlayer insulating layer

A、B、C、D:標準胞元佈局 A, B, C, D: standard cell layout

AF:通道區 AF: Channel area

CA:源極/汲極觸點 CA: source/drain contact

CB:閘極觸點 CB: gate contact

CP:頂覆圖案 CP: top cover pattern

D1:第一方向 D1: First direction

D2:第二方向 D2: second direction

FN:主動式圖案 FN: Active pattern

GI:閘極絕緣圖案 GI: Gate insulation pattern

GP:閘極圖案 GP: Gate pattern

GS:閘極間隔壁 GS: Gate spacer

I-I’、II-II’、III-III’:線 I-I’, II-II’, III-III’: Line

M11、M12:引腳圖案 M11, M12: pin pattern

M13:第三引腳圖案 M13: Third pin pattern

M14:第四引腳圖案 M14: Fourth pin pattern

M21:第一互連圖案 M21: First interconnection pattern

M22:第二互連圖案 M22: Second interconnection pattern

M23:第三互連圖案 M23: third interconnection pattern

M24:第四互連圖案 M24: Fourth interconnection pattern

M31:第一上部互連線圖案 M31: First upper interconnection pattern

M32:第二上部互連線圖案 M32: The second upper interconnection pattern

M33:第三上部互連線圖案 M33: The third upper interconnection pattern

M41:第一下部金屬線 M41: The first lower metal wire

M42:第二下部金屬線 M42: The second lower metal wire

M51:第一上部金屬線 M51: The first upper metal wire

M52:第二上部金屬線 M52: The second upper metal wire

M61:第一下部互連線圖案 M61: First lower interconnection pattern

M62:第二下部互連線圖案 M62: The second lower interconnection pattern

M63:第三下部互連線圖案 M63: The third lower interconnection pattern

M71:第一引腳圖案 M71: The first pin pattern

M72:第二引腳圖案 M72: second pin pattern

M73:第三引腳圖案 M73: Third pin pattern

MA1、MA2:鬼影圖案 MA1, MA2: Ghost pattern

NR:NMOSFET區 NR: NMOSFET area

PI:引腳區 PI: Pin area

PL1:第一功率圖案 PL1: First power pattern

PL2:第二功率圖案 PL2: second power pattern

PL3:第一電源線 PL3: First power cord

PL4:第二電源線 PL4: second power cord

PM11、PM12:初步引腳圖案 PM11, PM12: preliminary pin pattern

PM13、PM23:第三初步引腳圖案 PM13, PM23: The third preliminary pin pattern

PM14:第四初步引腳圖案 PM14: Fourth preliminary pin pattern

PM21:第一初步引腳圖案 PM21: First preliminary pin pattern

PM22:第二初步引腳圖案 PM22: The second preliminary pin pattern

PR:PMOSFET區 PR: PMOSFET area

RG1:第一區 RG1: District 1

RG2:第二區 RG2: District 2

S110、S120、S130、S140、S150、S121、S122、S123、S124:步驟 S110, S120, S130, S140, S150, S121, S122, S123, S124: steps

SD:源極/汲極區 SD: source/drain region

ST1:第一裝置隔離層 ST1: first device isolation layer

ST2:第二裝置隔離層 ST2: Second device isolation layer

STD1:第一標準胞元佈局 STD1: The first standard cell layout

STD2:第二標準胞元佈局 STD2: Second standard cell layout

V11:第一下部接觸窗圖案 V11: The first lower contact window pattern

V12:第二下部接觸窗圖案 V12: The second lower contact window pattern

V21、V31:第一上部接觸窗圖案 V21, V31: the first upper contact window pattern

V22、V32:第二上部接觸窗圖案 V22, V32: second upper contact window pattern

V23:第三上部接觸窗圖案 V23: The third upper contact window pattern

V24:第四上部接觸窗圖案 V24: The fourth upper contact window pattern

V33:第三上部接觸窗圖案 V33: The third upper contact window pattern

V41:第一下部接觸窗 V41: The first lower contact window

V42:第二下部接觸窗 V42: The second lower contact window

V51:第一上部接觸窗 V51: The first upper contact window

V52:第二上部接觸窗 V52: The second upper contact window

V61:第一接觸窗圖案 V61: First contact window pattern

V62:第二接觸窗圖案 V62: The second contact window pattern

V63:第三接觸窗圖案 V63: The third contact window pattern

藉由結合附圖閱讀以下對本發明概念的非限制性實例的詳細說明,將會更清楚地理解本發明概念。 The concept of the present invention will be understood more clearly by reading the following detailed description of the non-limiting examples of the concept of the present invention in conjunction with the accompanying drawings.

圖1是根據本發明概念的某些實例,說明用於實行半導體設計過程的電腦系統的方塊圖。 FIG. 1 is a block diagram illustrating a computer system used to implement a semiconductor design process according to some examples of the inventive concept.

圖2是根據本發明概念的某些實例,說明一種設計及製造半導體裝置的方法的流程圖。 2 is a flowchart illustrating a method of designing and manufacturing a semiconductor device according to some examples of the inventive concept.

圖3是說明圖2所示佈局設計的某些步驟的流程圖。 FIG. 3 is a flowchart illustrating some steps of the layout design shown in FIG. 2.

圖4A、圖4B、圖5A及圖5B是說明佈局標準胞元並為其建立布線結構的方法的平面圖,用於闡釋根據本發明概念的方法的 某些優點及益處。 4A, 4B, 5A, and 5B are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them, for explaining the method according to the concept of the present invention Some advantages and benefits.

圖6A、圖6B及圖6C是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。 6A, 6B, and 6C are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them according to some examples of the concept of the present invention.

圖7A、圖7B及圖7C是沿圖6C所示的線I-I’、線II-II’、及線III-III’分別截取的剖視圖,以說明根據本發明概念的某些實例的半導體裝置。 7A, 7B, and 7C are cross-sectional views taken along line I-I', line II-II', and line III-III' shown in FIG. 6C, respectively, to illustrate semiconductors according to some examples of the concept of the present invention Device.

圖8A、圖8B及圖8C是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。 8A, 8B, and 8C are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them according to some examples of the concept of the present invention.

圖9A、圖9C及圖9D是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。 9A, 9C, and 9D are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them according to some examples of the concept of the present invention.

圖9B是說明互連佈局彼此不同的各標準胞元佈局的平面圖。 FIG. 9B is a plan view illustrating the layout of each standard cell whose interconnection layout is different from each other.

圖10A、圖10B及圖1OC是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。 10A, FIG. 10B, and FIG. 10C are plan views illustrating a method of laying out a standard cell and establishing a wiring structure for it according to some examples of the concept of the present invention.

圖11A及圖11B是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。 11A and 11B are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them according to some examples of the concept of the present invention.

圖1是根據本發明概念,說明用於實行半導體設計過程的實例的電腦系統的方塊圖。參照圖1,電腦系統可包括中央處理單元(central processing unit,CPU)10、工作記憶體30、輸入-輸出裝置(I/O裝置)50及儲存裝置70。在某些實例中,所述電腦系統可為根據本發明概念的用於實行佈局設計過程的客製化系 統。此外,所述電腦系統可包括用以執行各種設計及檢查模擬程式的計算系統。 FIG. 1 is a block diagram of a computer system used to implement an example of a semiconductor design process according to the concept of the present invention. 1, the computer system may include a central processing unit (CPU) 10, a working memory 30, an input-output device (I/O device) 50 and a storage device 70. In some instances, the computer system may be a customized system for implementing the layout design process according to the concept of the present invention. System. In addition, the computer system may include a computing system for executing various design and inspection simulation programs.

中央處理單元10可用以運行各種軟體,例如應用程式、作業系統及裝置驅動(driver)。舉例而言,中央處理單元10可用以運行加載至工作記憶體30上的作業系統(圖中未示出)。此外,中央處理單元10可用以在作業系統上運行各種應用程式。舉例而言,中央處理單元10可用以運行被加載至工作記憶體30上的佈局設計工具32。 The central processing unit 10 can be used to run various software, such as applications, operating systems, and device drivers. For example, the central processing unit 10 can be used to run an operating system (not shown in the figure) loaded on the working memory 30. In addition, the central processing unit 10 can be used to run various applications on the operating system. For example, the central processing unit 10 can be used to run the layout design tool 32 loaded on the working memory 30.

所述作業系統或應用程式可加載於工作記憶體30中。舉例而言,當電腦系統開始進行啟動操作(booting operation)時,儲存裝置70中所儲存的作業系統影像(圖中未示出)可根據啟動順序而加載至工作記憶體30上。在所述電腦系統中,總體輸入/輸出操作可由作業系統管理。相似地,某些應用程式-其可由使用者選擇或可為基礎服務而提供-可加載至工作記憶體30上。根據本發明概念的某些實例,為佈局設計過程所準備的佈局設計工具32可自儲存裝置70加載至工作記憶體30上。 The operating system or application program can be loaded into the working memory 30. For example, when the computer system starts a booting operation, the operating system image (not shown in the figure) stored in the storage device 70 can be loaded onto the working memory 30 according to the boot sequence. In the computer system, the overall input/output operations can be managed by the operating system. Similarly, certain applications-which can be selected by the user or provided for basic services-can be loaded onto the working memory 30. According to some examples of the concept of the present invention, the layout design tool 32 prepared for the layout design process can be loaded from the storage device 70 onto the working memory 30.

佈局設計工具32可為特定佈局圖案提供用於改變偏置資料(biasing data)的功能;舉例而言,佈局設計工具32可用以使所述特定佈局圖案具有與由設計規則所界定的形狀及位置不同的形狀及位置。佈局設計工具32可用以在所述偏置資料的被改變的條件下實行設計規則檢查(design rule check,DRC)。工作記憶體30可包括揮發性記憶體裝置(例如,靜態隨機存取記憶體(static random access memory,SRAM)裝置或動態隨機存取記憶體(dynamic random access memory,DRAM)裝置)或非揮發性記憶體裝置(例如,相變式隨機存取記憶體(phase change random access memory,PRAM)、磁性隨機存取記憶體(magnetic random access memory,MRAM)、電阻式隨機存取記憶體(resistive random access memory,ReRAM)、鐵電隨機存取記憶體(ferroelectric random access memory,FRAM)或反或快閃記憶體(NOR FLASH memory)裝置)。 The layout design tool 32 can provide a function for changing the biasing data for a specific layout pattern; for example, the layout design tool 32 can be used to make the specific layout pattern have a shape and position defined by the design rule. Different shapes and positions. The layout design tool 32 can be used to perform a design rule check (DRC) under the condition that the bias data is changed. The working memory 30 may include a volatile memory device (for example, static random access memory (static random access memory (SRAM) device or dynamic random access memory (DRAM) device) or non-volatile memory device (for example, phase change random access memory (PRAM) ), magnetic random access memory (magnetic random access memory, MRAM), resistive random access memory (resistive random access memory, ReRAM), ferroelectric random access memory (ferroelectric random access memory, FRAM) or reverse Or flash memory (NOR FLASH memory) device).

另外,模擬工具34可加載至工作記憶體30上,以對所設計佈局資料實行光學鄰近校正(optical proximity correction,OPC)操作。 In addition, the simulation tool 34 can be loaded on the working memory 30 to perform optical proximity correction (OPC) operations on the designed layout data.

輸入-輸出裝置50可用以控制使用者介面裝置的使用者輸入操作及使用者輸出操作。舉例而言,輸入-輸出裝置50可包括鍵盤或監視器,以使設計者能夠輸入相關資訊。藉由使用輸入-輸出裝置50,設計者可接收半導體裝置的將被應用經調整運作特性的若干區或資料路徑的資訊。輸入-輸出裝置50可用以顯示模擬工具34的進程狀態或過程結果。 The input-output device 50 can be used to control user input operations and user output operations of the user interface device. For example, the input-output device 50 may include a keyboard or a monitor, so that the designer can input relevant information. By using the input-output device 50, the designer can receive the information of several regions or data paths of the semiconductor device to which the adjusted operating characteristics will be applied. The input-output device 50 can be used to display the process status or process result of the simulation tool 34.

儲存裝置70可充當所述電腦系統的儲存媒體。儲存裝置70可用以儲存應用程式、作業系統影像及各種資料。儲存裝置70可包括記憶卡(例如,多媒體卡(multi media card,MMC)、嵌入式多媒體卡(embedded multimedia card,eMMC)、安全數位卡(secure digital,SD)、微型安全數位卡(micro secure digital, MicroSD)等)或硬碟驅動機(hard disk drive,HDD)。儲存裝置70可包括具有大的記憶體容量的反及快閃記憶體(NAND FLASH memory)裝置。作為另一選擇,儲存裝置70可包括至少一個下一代非揮發性記憶體裝置(例如,PRAM、MRAM、ReRAM、或FRAM)或反或快閃記憶體(NOR FLASH memory)裝置。 The storage device 70 can serve as a storage medium of the computer system. The storage device 70 can be used to store application programs, operating system images, and various data. The storage device 70 may include a memory card (for example, a multimedia card (MMC), an embedded multimedia card (eMMC), a secure digital card (SD), a micro secure digital card) , MicroSD), etc.) or hard disk drive (HDD). The storage device 70 may include a NAND FLASH memory device with a large memory capacity. Alternatively, the storage device 70 may include at least one next-generation non-volatile memory device (for example, PRAM, MRAM, ReRAM, or FRAM) or a NOR FLASH memory device.

系統互連件90可充當系統匯流排,以使得在所述電腦系統中創建網路。中央處理單元10、工作記憶體30、輸入-輸出裝置50及儲存裝置70可藉由系統互連件90而電性連接至彼此,且因此可在其間交換資料。然而,系統互連件90可並非僅限於僅由匯流排組成;確切而言,其可包括用於提高資料通訊的效率的附加元件。 The system interconnect 90 can act as a system bus to enable the creation of a network in the computer system. The central processing unit 10, the working memory 30, the input-output device 50, and the storage device 70 can be electrically connected to each other through the system interconnect 90, and thus can exchange data therebetween. However, the system interconnect 90 may not be limited to only being composed of bus bars; to be precise, it may include additional components for improving the efficiency of data communication.

圖2是根據本發明概念的某些實例,說明一種設計及製造半導體裝置的方法的流程圖。 2 is a flowchart illustrating a method of designing and manufacturing a semiconductor device according to some examples of the inventive concept.

參照圖2,可使用參照圖1所闡述的電腦系統來實行半導體積體電路的高階設計過程(步驟S110)。舉例而言,在所述高階設計過程中,可以高階電腦語言(例如,C語言)來闡述欲被設計的積體電路。可藉由暫存器轉移層階(register transfer level,RTL)寫碼或模擬來更具體地闡述由高階設計過程所設計的電路。此外,由暫存器轉移層階寫碼所產生的碼可轉換成網表(netlist),且可將所述結果彼此組合以生成半導體裝置的所有電路系統的示意圖。可藉由模擬工具來核對(所述半導體裝置的由)所述示意圖(所代表的可操作性或可實踐性)。在某些實例中,可慮及所述 核對步驟的結果而進一步實行調整步驟。 Referring to FIG. 2, the computer system described with reference to FIG. 1 can be used to implement the high-level design process of the semiconductor integrated circuit (step S110). For example, in the high-level design process, a high-level computer language (for example, C language) can be used to describe the integrated circuit to be designed. The circuit designed by the high-level design process can be described in more detail by coding or simulation by register transfer level (RTL). In addition, the code generated by the register transfer layer level writing code can be converted into a netlist, and the results can be combined with each other to generate a schematic diagram of all circuit systems of the semiconductor device. The schematic diagram (the operability or practicability represented) can be checked by a simulation tool (the reason for the semiconductor device). In some instances, the Check the result of the step and further implement the adjustment step.

可實行佈局設計過程,以在矽晶圓上達成半導體積體電路的邏輯完整形式(步驟S120)。舉例而言,可基於在高階設計過程中所製備的示意性電路或對應的網表來實行所述佈局設計過程。所述佈局設計過程可包括布線步驟,所述布線步驟包括基於預定設計規則而佈局自胞元庫(cell library)提供的各種標準胞元並對所述自胞元庫提供的各種標準胞元進行連接。在根據本發明概念的某些實例的佈局設計過程中,可基於在所述布線步驟之後所獲得的命中資訊而在所述標準胞元中的每一者中形成引腳圖案。 The layout design process can be implemented to achieve a logically complete form of the semiconductor integrated circuit on the silicon wafer (step S120). For example, the layout design process can be implemented based on the schematic circuit or the corresponding netlist prepared in the high-level design process. The layout design process may include a wiring step, the wiring step includes laying out various standard cells provided from a cell library and various standard cells provided by the self-cell library based on predetermined design rules. Yuan to connect. In the layout design process according to some examples of the inventive concept, a pin pattern may be formed in each of the standard cells based on the hit information obtained after the wiring step.

所述胞元庫可含有關於胞元的運作、速度及功耗的資訊。在某些實例中,可在佈局設計工具中提供或由所述佈局設計工具界定代表電路的位於閘層階(gate level)中的佈局的胞元庫。此處,可將所述佈局製備成界定或闡述用於構成將實際形成於矽晶圓上的電晶體及金屬線的圖案的形狀、位置或尺度。舉例而言,為在矽晶圓上實際形成反相器電路,可能需要製備或繪製某些圖案(例如,P通道金氧半導體(PMOS)、N通道金氧半導體(NMOS)、N阱(N-WELL)、閘電極及位於其上的金屬線的圖案)的佈局。為此,可選擇所述胞元庫中各反相器中的至少一者。此後,可實行將所選擇胞元連接至彼此的布線步驟。可在佈局設計工具中自動地或手動地實行該些步驟。在某些實例中,可藉由放置&布線(Place & Routing)工具來自動地實行佈局標準胞元及為 其建立布線結構的步驟。 The cell library may contain information about the operation, speed and power consumption of the cell. In some examples, a cell library that represents the layout of the circuit at the gate level may be provided in a layout design tool or defined by the layout design tool. Here, the layout can be prepared to define or describe the shape, position, or scale used to form the pattern of transistors and metal lines that will actually be formed on the silicon wafer. For example, in order to actually form an inverter circuit on a silicon wafer, it may be necessary to prepare or draw certain patterns (for example, P-channel metal oxide semiconductor (PMOS), N-channel metal oxide semiconductor (NMOS), N well (N -WELL), the layout of the gate electrode and the pattern of the metal line on it). For this, at least one of the inverters in the cell library can be selected. Thereafter, a wiring step to connect the selected cells to each other can be performed. These steps can be performed automatically or manually in the layout design tool. In some instances, you can use the Place & Routing tool to automatically implement the layout of standard cells and Its steps to establish a wiring structure.

在所述布線步驟之後,可對所述佈局實行核對步驟,以檢查所述示意性電路的任意部分是否違背給定的設計規則。在某些實例中,所述核對步驟可包括評估核對項,例如設計規則檢查(DRC)、電性規則檢查(electrical rule check,ERC)及佈局圖對示意圖(layout vs.shematic,LVS)。可實行設計規則檢查項的評估,以評估所述佈局是否滿足給定的設計規則。可實行電性規則檢查項的評估,以評估在所述佈局中是否存在電性斷開問題。可實行佈局圖對示意圖項的評估,以評估所述佈局是否被製備成符合所述閘層階網表。 After the wiring step, a verification step can be performed on the layout to check whether any part of the schematic circuit violates a given design rule. In some instances, the verification step may include evaluating verification items, such as design rule check (DRC), electrical rule check (ERC), and layout vs. shematic (LVS). Evaluation of design rule check items can be implemented to evaluate whether the layout meets the given design rules. The evaluation of electrical rule check items can be implemented to evaluate whether there is an electrical disconnection problem in the layout. The evaluation of the layout diagram to the schematic items can be carried out to evaluate whether the layout is prepared to conform to the gate level netlist.

可實行光學鄰近校正(OPC)步驟(步驟S130)。可實行光學鄰近校正步驟,以修正在使用基於所述佈局而製造的光罩在矽晶圓上實行光刻製程(photolithography process)時可能發生的光學鄰近效應(optical proximity effect)。所述光學鄰近效應可為在使用基於所述佈局而製造的光罩進行的曝光製程中可能發生的非期望的光學效應(例如,折射或繞射)。在光學鄰近校正步驟中,可將所述佈局修改成在所設計的圖案與實際形成的圖案之間具有減小的形狀差異,此差異原本會由光學近接效應造成。作為光學鄰近校正步驟的結果,佈局圖案的所設計形狀及位置可略微有所變化。 The optical proximity correction (OPC) step may be implemented (step S130). The optical proximity correction step can be implemented to correct the optical proximity effect that may occur when a photolithography process is performed on a silicon wafer using a photomask manufactured based on the layout. The optical proximity effect may be an undesired optical effect (for example, refraction or diffraction) that may occur during an exposure process using a photomask manufactured based on the layout. In the optical proximity correction step, the layout can be modified to have a reduced shape difference between the designed pattern and the actually formed pattern, which would be caused by the optical proximity effect. As a result of the optical proximity correction step, the designed shape and position of the layout pattern can be slightly changed.

可基於由光學鄰近校正步驟所修改的佈局來製造光罩(步驟S140)。一般而言,可使用佈局圖案資料藉由對設置於玻璃 基板上的鉻層進行圖案化來製造所述光罩。 The photomask may be manufactured based on the layout modified by the optical proximity correction step (step S140). Generally speaking, the layout pattern data can be used by setting the glass The chromium layer on the substrate is patterned to manufacture the photomask.

可使用所述光罩來製造半導體裝置(步驟S150)。在實際製造過程中,可重複實行曝光步驟及蝕刻步驟,且因此可在半導體基板上依序形成在佈局設計過程中所界定的圖案。 The photomask can be used to manufacture a semiconductor device (step S150). In the actual manufacturing process, the exposure step and the etching step can be repeated, and therefore, the pattern defined in the layout design process can be sequentially formed on the semiconductor substrate.

圖3是說明圖2所示方法的佈局設計過程的某些步驟的流程圖。圖4A、圖4B、圖5A及圖5B是說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。 FIG. 3 is a flowchart illustrating certain steps of the layout design process of the method shown in FIG. 2. 4A, 4B, 5A, and 5B are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them.

參照圖3及圖4A,可使用佈局設計工具來提供原始標準胞元佈局(步驟S121)。所述標準胞元佈局可包括代表邏輯電晶體的佈局的邏輯佈局、及互連佈局。舉例而言,圖4A所示的互連佈局可對應於欲設置於半導體基板上的第一金屬層。 3 and 4A, a layout design tool can be used to provide the original standard cell layout (step S121). The standard cell layout may include a logic layout representing the layout of logic transistors, and an interconnection layout. For example, the interconnect layout shown in FIG. 4A may correspond to the first metal layer to be disposed on the semiconductor substrate.

更詳言之,提供所述邏輯佈局可包括提供主動式區的佈局。所述主動式區可包括P通道金氧半導體場效電晶體(PMOSFET)區PR及N通道金氧半導體場效電晶體(NMOSFET)區NR。PMOSFET區PR及NMOSFET區NR可在第一方向D1上彼此間隔開。 In more detail, providing the logical layout may include providing an active area layout. The active region may include a P channel metal oxide semiconductor field effect transistor (PMOSFET) region PR and an N channel metal oxide semiconductor field effect transistor (NMOSFET) region NR. The PMOSFET region PR and the NMOSFET region NR may be spaced apart from each other in the first direction D1.

提供所述邏輯佈局亦可包括提供閘極圖案GP的與PMOSFET區PR及NMOSFET區NR相交並在第一方向D1上延伸的佈局。閘極圖案GP可在與第一方向D1相交的第二方向D2上彼此間隔開。PMOSFET區PR、NMOSFET區NR及閘極圖案GP可構成欲設置於半導體基板上的邏輯電晶體。在圖4A中還包括與第一方向D1及第二方向D2垂直的第三方向D3。 Providing the logic layout may also include providing a layout that intersects the PMOSFET region PR and the NMOSFET region NR and extends in the first direction D1 of the gate pattern GP. The gate patterns GP may be spaced apart from each other in a second direction D2 intersecting the first direction D1. The PMOSFET region PR, the NMOSFET region NR and the gate pattern GP can constitute a logic transistor to be disposed on the semiconductor substrate. FIG. 4A also includes a third direction D3 perpendicular to the first direction D1 and the second direction D2.

提供所述互連佈局可包括提供第一功率圖案PL1及第二功率圖案PL2以及第一引腳圖案M11及第二引腳圖案M12。第一功率圖案PL1及第二功率圖案PL2中的每一者可為平行於第二方向D2延伸的線形圖案,且第一引腳圖案M11及第二引腳圖案M12中的每一者可為平行於第一方向D1延伸的線形圖案。第一引腳圖案M11及第二引腳圖案M12可在第二方向D2上彼此間隔開。 Providing the interconnection layout may include providing the first power pattern PL1 and the second power pattern PL2 and the first pin pattern M11 and the second pin pattern M12. Each of the first power pattern PL1 and the second power pattern PL2 may be a linear pattern extending parallel to the second direction D2, and each of the first pin pattern M11 and the second pin pattern M12 may be A linear pattern extending parallel to the first direction D1. The first pin pattern M11 and the second pin pattern M12 may be spaced apart from each other in the second direction D2.

第一引腳圖案M11及第二引腳圖案M12中的每一者可包括以下所將闡述的用於與高階互連佈局進行布線的引腳區PI。舉例而言,第一引腳圖案M11及第二引腳圖案M12中的每一者可包括五個引腳區PI。 Each of the first pin pattern M11 and the second pin pattern M12 may include a pin area PI for wiring with a high-level interconnect layout, which will be explained below. For example, each of the first pin pattern M11 and the second pin pattern M12 may include five pin regions PI.

可在參照圖2所闡述的胞元庫中保存標準胞元佈局。接下來,可將保存於所述胞元庫中的重複的多個標準胞元佈局設定於定位上(步驟S122)。儘管在圖4A中示出單一標準胞元佈局,然而可將多個標準胞元佈局在第二方向D2上彼此對齊地設定於定位上(例如,參見圖11A)。 The standard cell layout can be saved in the cell library described with reference to FIG. 2. Next, the repeated multiple standard cell layouts stored in the cell library can be set on the positioning (step S122). Although a single standard cell layout is shown in FIG. 4A, a plurality of standard cell layouts may be set in alignment with each other in the second direction D2 (for example, see FIG. 11A).

參照圖3及圖4B,可對標準胞元佈局實行布線步驟,以將標準胞元連接至高階互連佈局(步驟S123)。首先,可提供高階互連佈局。所述高階互連佈局可對應於欲形成於半導體基板上的第二金屬層。在某些實例中,儘管圖中未示出,然而所述高階互連佈局可對應於將依序堆疊於所述半導體基板上的多個金屬層。 Referring to FIG. 3 and FIG. 4B, a wiring step can be performed on the standard cell layout to connect the standard cell to the high-level interconnect layout (step S123). First, high-level interconnect layout can be provided. The high-level interconnect layout may correspond to the second metal layer to be formed on the semiconductor substrate. In some instances, although not shown in the figure, the high-level interconnect layout may correspond to a plurality of metal layers to be sequentially stacked on the semiconductor substrate.

提供所述高階互連佈局可包括佈局第一互連圖案M21及第二互連圖案M22以及佈局第一上部接觸窗圖案V21及第二上部 接觸窗圖案V22。可慮及第一互連圖案M21及第二互連圖案M22與其他標準胞元佈局的連接而將第一互連圖案M21及第二互連圖案M22自動地設定於定位上,且在某些實例中,可使用佈局設計工具及/或放置&布線工具來實行此步驟。第一互連圖案M21及第二互連圖案M22中的每一者可為平行於第二方向D2延伸的線形圖案。 Providing the high-level interconnection layout may include arranging the first interconnection pattern M21 and the second interconnection pattern M22 and arranging the first upper contact pattern V21 and the second upper part Contact window pattern V22. The connection between the first interconnection pattern M21 and the second interconnection pattern M22 and other standard cell layouts can be taken into account, and the first interconnection pattern M21 and the second interconnection pattern M22 can be automatically set in positioning, and in some In an example, layout design tools and/or placement & routing tools can be used to perform this step. Each of the first interconnection pattern M21 and the second interconnection pattern M22 may be a linear pattern extending parallel to the second direction D2.

可在佈局第一互連圖案M21及第二互連圖案M22的同時或在佈局第一互連圖案M21及第二互連圖案M22之後實行所述第一上部接觸窗圖案V21及第二上部接觸窗圖案V22的佈局。可在第一引腳圖案M11的引腳區PI中與第一互連圖案M21交疊的一者上設置第一上部接觸窗圖案V21。可在第二引腳圖案M12的引腳區PI中與第二互連圖案M22交疊的一者上設置第二上部接觸窗圖案V22。換言之,可藉由第一上部接觸窗圖案V21及第二上部接觸窗圖案V22而將標準胞元佈局的互連佈局連接至高階互連佈局的互連圖案。 The first upper contact window pattern V21 and the second upper contact may be performed at the same time when the first and second interconnect patterns M21 and M22 are laid out or after the first and second interconnect patterns M21 and M22 are laid out The layout of the window pattern V22. The first upper contact window pattern V21 may be provided on one of the pin regions PI of the first pin pattern M11 that overlaps the first interconnect pattern M21. The second upper contact window pattern V22 may be provided on one of the pin regions PI of the second pin pattern M12 that overlaps the second interconnection pattern M22. In other words, the interconnection layout of the standard cell layout can be connected to the interconnection pattern of the high-level interconnection layout through the first upper contact pattern V21 and the second upper contact pattern V22.

由於參照圖4A及圖4B所闡述的標準胞元佈局的布線是使用分別包括所述多個引腳區PI的第一引腳圖案M11及第二引腳圖案M12實行,因此可增加布線步驟中的自由度。舉例而言,無論其位置如何,第一互連圖案M21及第二互連圖案M22中的每一者均可與引腳區PI中的至少一者交疊,且因此,第一互連圖案M21及第二互連圖案M22中的每一者可易於連接至第一引腳圖案M11及第二引腳圖案M12。以下將闡述其中設置有具有其他形狀 的引腳圖案的標準胞元佈局的布線。 Since the wiring of the standard cell layout described with reference to FIGS. 4A and 4B is implemented using the first pin pattern M11 and the second pin pattern M12 respectively including the plurality of pin regions PI, wiring can be increased Degrees of freedom in the steps. For example, regardless of its position, each of the first interconnection pattern M21 and the second interconnection pattern M22 may overlap with at least one of the pin regions PI, and therefore, the first interconnection pattern Each of M21 and the second interconnection pattern M22 may be easily connected to the first pin pattern M11 and the second pin pattern M12. The following will explain which is provided with other shapes The wiring of the standard cell layout of the pin pattern.

參照圖3及圖5A,在不同的實例中,(在步驟S121中)可使用佈局設計工具來提供原始標準胞元佈局。更詳言之,可提供互連佈局,且提供所述互連佈局可包括佈局第一功率圖案PL1及第二功率圖案PL2以及佈局第一引腳圖案M11及第二引腳圖案M12。在此實例中,與參照圖4A及圖4B所述者不同,第一引腳圖案M11及第二引腳圖案M12中的每一者可具有兩個引腳區PI。換言之,第一引腳圖案M11及第二引腳圖案M12中的每一者可小於參照圖4A及圖4B所述者。接下來,可將保存於胞元庫中的重複的多個標準胞元佈局相對於彼此而設定於定位上(步驟S122)。 3 and 5A, in different examples, (in step S121) a layout design tool can be used to provide the original standard cell layout. In more detail, an interconnect layout may be provided, and providing the interconnect layout may include laying out the first power pattern PL1 and the second power pattern PL2 and laying out the first pin pattern M11 and the second pin pattern M12. In this example, unlike those described with reference to FIGS. 4A and 4B, each of the first pin pattern M11 and the second pin pattern M12 may have two pin regions PI. In other words, each of the first pin pattern M11 and the second pin pattern M12 may be smaller than that described with reference to FIGS. 4A and 4B. Next, the repeated multiple standard cell layouts stored in the cell library can be positioned relative to each other (step S122).

參照圖3及圖5B,可對標準胞元佈局實行布線步驟,以將標準胞元連接至高階互連佈局(步驟S123)。提供所述高階互連佈局可包括佈局第一互連圖案M21及佈局第一上部接觸窗圖案V21。與參照圖4B所述者不同,不提供第二互連圖案M22。此乃因第二引腳圖案M12的相對小的大小可使得難以將第二引腳圖案M12與第二互連圖案M22交疊,且因此,難以將第二引腳圖案M12連接至第二互連圖案M22。 Referring to FIG. 3 and FIG. 5B, a wiring step can be performed on the standard cell layout to connect the standard cell to the high-level interconnect layout (step S123). Providing the high-level interconnection layout may include laying out the first interconnection pattern M21 and laying out the first upper contact pattern V21. Unlike the one described with reference to FIG. 4B, the second interconnection pattern M22 is not provided. This is because the relatively small size of the second pin pattern M12 may make it difficult to overlap the second pin pattern M12 with the second interconnect pattern M22, and therefore, it is difficult to connect the second pin pattern M12 to the second interconnect pattern. With pattern M22.

相較於參照圖4A及圖4B所示出並闡述者而言,參照圖5A及圖5B所闡述的標準胞元佈局的布線具有較低的自由度。此乃因第一引腳圖案M11及第二引腳圖案M12較圖4A及圖4B所示出並闡述者小。 Compared with what is shown and explained with reference to FIGS. 4A and 4B, the wiring of the standard cell layout explained with reference to FIGS. 5A and 5B has a lower degree of freedom. This is because the first pin pattern M11 and the second pin pattern M12 are smaller than those shown and described in FIGS. 4A and 4B.

由於第一引腳圖案M11及第二引腳圖案M12相對小-儘 管其可具有低的寄生電容(parasitic capacitance),此使得能夠達成具有高運作速度特性及低功耗特性的半導體裝置。相比之下,參照圖4A及圖4B所闡述的相對大的第一引腳圖案M11及第二引腳圖案M12具有高的寄生電容,且此有礙於提高半導體裝置的運作速度及降低所述半導體裝置的功耗。 Since the first pin pattern M11 and the second pin pattern M12 are relatively small Although it can have low parasitic capacitance, this makes it possible to achieve a semiconductor device with high operating speed characteristics and low power consumption characteristics. In contrast, the relatively large first pin pattern M11 and the second pin pattern M12 described with reference to FIGS. 4A and 4B have high parasitic capacitance, and this hinders the improvement of the operating speed of the semiconductor device and the reduction of the cost. The power consumption of the semiconductor device.

圖6A至圖6C是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。在以下說明中,可以相似或相同的參考編號來辨識先前參照圖4A、圖4B、圖5A、圖5B所闡述的元件或步驟,以避免對其予以贅述。 6A to 6C are plan views illustrating a method of laying out a standard cell and establishing a wiring structure for it according to some examples of the concept of the present invention. In the following description, similar or identical reference numbers may be used to identify the elements or steps previously described with reference to FIG. 4A, FIG. 4B, FIG. 5A, and FIG. 5B, so as to avoid redundant description.

參照圖3及圖6A,可使用佈局設計工具來提供原始標準胞元佈局(步驟S121)。更詳言之,可提供互連佈局,且提供所述互連佈局可包括佈局第一功率圖案PL1及第二功率圖案PL2以及佈局第一初步引腳圖案PM11及第二初步引腳圖案PM12。此外,提供所述互連佈局可包括佈局第一下部接觸窗圖案V11及第二下部接觸窗圖案V12,以將邏輯佈局分別連接至第一初步引腳圖案PM11及第二初步引腳圖案PM12。 3 and 6A, a layout design tool can be used to provide the original standard cell layout (step S121). In more detail, an interconnect layout may be provided, and providing the interconnect layout may include layout of the first power pattern PL1 and the second power pattern PL2 and layout of the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12. In addition, providing the interconnection layout may include laying out the first lower contact window pattern V11 and the second lower contact window pattern V12 to connect the logic layout to the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12, respectively .

第一初步引腳圖案PM11及第二初步引腳圖案PM12中的每一者可包括第一鬼影圖案MA1及第二鬼影圖案MA2。第一鬼影圖案MA1及第二鬼影圖案MA2可用於界定將在後續步驟中建立的引腳圖案的位置;亦即,第一鬼影圖案MA1及第二鬼影圖案MA2可充當標記物。 Each of the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12 may include a first ghost pattern MA1 and a second ghost pattern MA2. The first ghost pattern MA1 and the second ghost pattern MA2 can be used to define the position of the pin pattern to be established in the subsequent steps; that is, the first ghost pattern MA1 and the second ghost pattern MA2 can serve as markers.

第一鬼影圖案MA1與第二鬼影圖案MA2可彼此直接連 接且可構成初步引腳圖案PM11及PM12。第一鬼影圖案MA1與第二鬼影圖案MA2在大小方面可彼此不同或彼此等同。在某些實例中,第一鬼影圖案MA1可小於第二鬼影圖案MA2。此處,第一鬼影圖案MA1可具有由後續光刻製程及蝕刻製程中的技術限制因素所確定的製程餘裕(process margin)或最小特徵大小(minimum feature size)。 The first ghost pattern MA1 and the second ghost pattern MA2 can be directly connected to each other Connect and form preliminary pin patterns PM11 and PM12. The first ghost pattern MA1 and the second ghost pattern MA2 may be different from or equal to each other in size. In some examples, the first ghost pattern MA1 may be smaller than the second ghost pattern MA2. Here, the first ghost pattern MA1 may have a process margin or a minimum feature size determined by technical limitation factors in a subsequent photolithography process and an etching process.

可將標準胞元佈局保存於參照圖2所闡述的胞元庫中。接下來,可將保存於所述胞元庫中的重複的多個標準胞元佈局設定於定位上(步驟S122)。儘管圖6A中示出單一標準胞元佈局,然而可將多個標準胞元佈局在第二方向D2上對齊地並彼此平行地設定於定位上(例如,參見圖11A)。 The standard cell layout can be saved in the cell library described with reference to FIG. 2. Next, the repeated multiple standard cell layouts stored in the cell library can be set on the positioning (step S122). Although a single standard cell layout is shown in FIG. 6A, a plurality of standard cell layouts may be set in alignment in the second direction D2 and parallel to each other in positioning (for example, see FIG. 11A).

參照圖3及圖6B,可對標準胞元佈局實行布線步驟,以將標準胞元連接至高階互連佈局(步驟S123)。提供所述高階互連佈局可包括佈局第一互連圖案M21及第二互連圖案M22以及佈局第一上部接觸窗圖案V21及第二上部接觸窗圖案V22。第一互連圖案M21及第二互連圖案M22以及第一上部接觸窗圖案V21及第二上部接觸窗圖案V22可慮及其與另一標準胞元佈局之間的互連而自動佈局。 Referring to FIG. 3 and FIG. 6B, a wiring step can be performed on the standard cell layout to connect the standard cell to the high-level interconnect layout (step S123). Providing the high-level interconnection layout may include arranging the first interconnection pattern M21 and the second interconnection pattern M22 and arranging the first upper contact pattern V21 and the second upper contact pattern V22. The first interconnection pattern M21 and the second interconnection pattern M22 and the first upper contact pattern V21 and the second upper contact pattern V22 can be automatically laid out in consideration of their interconnection with another standard cell layout.

可在第一初步引腳圖案PM11及第二初步引腳圖案PM12與第一互連圖案M21及第二互連圖案M22的交疊區中的對應一者上分別放置第一上部接觸窗圖案V21及第二上部接觸窗圖案V22中的每一者。更詳言之,可在第一初步引腳圖案PM11的第二鬼 影圖案MA2上放置第一上部接觸窗圖案V21,且可在第二初步引腳圖案PM12的第一鬼影圖案MA1上放置第二上部接觸窗圖案V22。在布線步驟完成時所產生的命中資訊中可含有第一上部接觸窗圖案V21的位置及第二上部接觸窗圖案V22的位置。 The first upper contact pattern V21 may be respectively placed on the corresponding one of the overlapping regions of the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12 and the first interconnect pattern M21 and the second interconnect pattern M22 And each of the second upper contact pattern V22. In more detail, the second ghost in the first preliminary pin pattern PM11 The first upper contact pattern V21 is placed on the shadow pattern MA2, and the second upper contact pattern V22 may be placed on the first ghost pattern MA1 of the second preliminary pin pattern PM12. The hit information generated when the wiring step is completed may include the position of the first upper contact pattern V21 and the position of the second upper contact pattern V22.

參照圖3及圖6C,(在步驟S124中)可基於所述命中資訊而在互連佈局中提供或產生第一引腳圖案M11及第二引腳圖案M12。更詳言之,可將第一初步引腳圖案PM11的第二鬼影圖案MA2轉換成第一引腳圖案M11,且可將第二初步引腳圖案PM12的第一鬼影圖案MA1轉換成第二引腳圖案M12。換言之,可將鬼影圖案MA1及MA2中的一者轉換成引腳圖案,且可移除鬼影圖案MA1及MA2中的另一者。 Referring to FIGS. 3 and 6C, (in step S124) the first pin pattern M11 and the second pin pattern M12 may be provided or generated in the interconnect layout based on the hit information. In more detail, the second ghost pattern MA2 of the first preliminary pin pattern PM11 can be converted into the first pin pattern M11, and the first ghost pattern MA1 of the second preliminary pin pattern PM12 can be converted into the first pin pattern. Two-pin pattern M12. In other words, one of the ghost patterns MA1 and MA2 can be converted into a pin pattern, and the other of the ghost patterns MA1 and MA2 can be removed.

可藉由第一引腳圖案M11及第二引腳圖案M12而分別將第一下部接觸窗圖案V11及第二下部接觸窗圖案V12連接至第一上部接觸窗圖案V21及第二上部接觸窗圖案V22。換言之,第一引腳圖案M11及第二引腳圖案M12可使欲施加至邏輯佈局的輸入訊號或輸出訊號從中穿過。 The first lower contact window pattern V11 and the second lower contact window pattern V12 can be connected to the first upper contact window pattern V21 and the second upper contact window respectively by the first pin pattern M11 and the second pin pattern M12 Pattern V22. In other words, the first pin pattern M11 and the second pin pattern M12 can pass the input signal or output signal to be applied to the logic layout.

儘管圖中未示出,然而在根據本發明概念的另一實例中,將第二下部接觸窗圖案V12放置於第二初步引腳圖案PM12的第二鬼影圖案MA2之下,並將第一鬼影圖案MA1及第二鬼影圖案MA2二者轉換成第二引腳圖案M12,以將第二下部接觸窗圖案V12連接至第二上部接觸窗圖案V22。 Although not shown in the figure, in another example according to the inventive concept, the second lower contact window pattern V12 is placed under the second ghost pattern MA2 of the second preliminary pin pattern PM12, and the first Both the ghost pattern MA1 and the second ghost pattern MA2 are converted into the second pin pattern M12 to connect the second lower contact pattern V12 to the second upper contact pattern V22.

根據標準胞元佈局的上述布線,可如參照圖4A及圖4B 所述將布線步驟中的自由度最大化,且如參照圖5A及圖5B所述將引腳圖案的大小最小化。此可使得能夠提高半導體裝置的效能特性及功耗特性。 According to the above wiring of the standard cell layout, please refer to Figure 4A and Figure 4B The degree of freedom in the wiring step is maximized, and the size of the pin pattern is minimized as described with reference to FIGS. 5A and 5B. This can make it possible to improve the performance characteristics and power consumption characteristics of the semiconductor device.

圖7A至圖7C說明根據本發明概念所製造的半導體裝置。舉例而言,先前參照圖6C所闡述的標準胞元佈局可用於製作半導體裝置,且圖7A至圖7C說明此種半導體裝置的實例。 7A to 7C illustrate a semiconductor device manufactured according to the concept of the present invention. For example, the standard cell layout described previously with reference to FIG. 6C can be used to fabricate a semiconductor device, and FIGS. 7A to 7C illustrate examples of such a semiconductor device.

在以下對圖7A至圖7C的說明中,將以相同的編號來標示與上述標準胞元佈局的元件對應的元件。然而,構成半導體裝置的此類元件可使用光刻製程而形成於半導體基板上,且因此其可不與構成標準胞元佈局的對應圖案相同。在某些實例中,所述半導體裝置是以系統上晶片(system-on-chip)的形式而提供。 In the following description of FIGS. 7A to 7C, the same numbers will be used to denote elements corresponding to the elements of the above-mentioned standard cell layout. However, such elements constituting a semiconductor device may be formed on a semiconductor substrate using a photolithography process, and therefore, they may not be the same as corresponding patterns constituting a standard cell layout. In some instances, the semiconductor device is provided in the form of a system-on-chip.

參照圖6C及圖7A至圖7C,第二裝置隔離層ST2可設置於基板100上,以界定PMOSFET區PR及NMOSFET區NR。第二裝置隔離層ST2可形成於基板100的頂部部分中。基板100可為矽基板、鍺基板或絕緣體上覆矽(silicon-on-insulator,SOI)基板。 Referring to FIGS. 6C and FIGS. 7A to 7C, the second device isolation layer ST2 can be disposed on the substrate 100 to define the PMOSFET region PR and the NMOSFET region NR. The second device isolation layer ST2 may be formed in the top portion of the substrate 100. The substrate 100 may be a silicon substrate, a germanium substrate, or a silicon-on-insulator (SOI) substrate.

PMOSFET區PR及NMOSFET區NR可藉由夾置於其間的第二裝置隔離層ST2而在平行於基板100的頂面的第一方向D1上彼此間隔開。在某些實例中,PMOSFET區PR及NMOSFET區NR中的每一者是單一(鄰接)區,但PMOSFET區PR及NMOSFET區NR中的每一者亦可轉而包括藉由第二裝置隔離層ST2而彼此間隔開的多個區。 The PMOSFET region PR and the NMOSFET region NR may be spaced apart from each other in the first direction D1 parallel to the top surface of the substrate 100 by the second device isolation layer ST2 interposed therebetween. In some examples, each of the PMOSFET region PR and the NMOSFET region NR is a single (adjacent) region, but each of the PMOSFET region PR and the NMOSFET region NR may instead include a second device isolation layer ST2 and multiple zones spaced apart from each other.

多個主動式圖案FN可在與第一方向D1相交的第二方向D2上線性延伸地設置於PMOSFET區PR的及NMOSFET區NR的上部部分處。主動式圖案FN可為基板100的部分或為自基板100突出的圖案。主動式圖案FN可沿第一方向D1彼此間隔開。第一裝置隔離層ST1可在第二方向D2上延伸地設置於主動式圖案FN中的每一者的兩側。在某些實例中,主動式圖案FN中的每一者在其最上部分處具有鰭片形部。作為實例,所述鰭片形部可為主動式圖案FN的在第一裝置隔離層ST1的層階上方沿朝上的方向突出的部分。 A plurality of active patterns FN may be linearly extended in a second direction D2 intersecting the first direction D1 at the upper portions of the PMOSFET region PR and the NMOSFET region NR. The active pattern FN may be a part of the substrate 100 or a pattern protruding from the substrate 100. The active patterns FN may be spaced apart from each other along the first direction D1. The first device isolation layer ST1 may be extended on both sides of each of the active patterns FN in the second direction D2. In some examples, each of the active patterns FN has a fin-shaped portion at the uppermost portion thereof. As an example, the fin-shaped portion may be a portion of the active pattern FN that protrudes in an upward direction above the level of the first device isolation layer ST1.

第一裝置隔離層ST1及第二裝置隔離層ST2可以實質上連續的方式連接至彼此,藉此形成單一絕緣層。在某些實例中,第二裝置隔離層ST2可具有較第一裝置隔離層ST1的厚度大的厚度。在此種情形中,第一裝置隔離層ST1可藉由與第二裝置隔離層ST2的製程不同的製程形成。在某些實例中,第一裝置隔離層ST1可使用與第二裝置隔離層ST2的製程相同的製程而同時形成,藉此具有與第二裝置隔離層ST2的厚度實質上相同的厚度。第一裝置隔離層ST1及第二裝置隔離層ST2可形成於基板100的上部中。第一裝置隔離層ST1及第二裝置隔離層ST2可由例如氧化矽層構成。 The first device isolation layer ST1 and the second device isolation layer ST2 may be connected to each other in a substantially continuous manner, thereby forming a single insulating layer. In some examples, the second device isolation layer ST2 may have a thickness greater than that of the first device isolation layer ST1. In this case, the first device isolation layer ST1 may be formed by a process different from that of the second device isolation layer ST2. In some examples, the first device isolation layer ST1 can be formed at the same time using the same process as that of the second device isolation layer ST2, thereby having substantially the same thickness as the thickness of the second device isolation layer ST2. The first device isolation layer ST1 and the second device isolation layer ST2 may be formed in the upper portion of the substrate 100. The first device isolation layer ST1 and the second device isolation layer ST2 may be composed of, for example, silicon oxide layers.

閘極圖案GP可設置於主動式圖案FN上而在第一方向D1上橫跨主動式圖案FN延伸且彼此平行。閘極圖案GP可在第二方向D2上彼此間隔開。更具體而言,閘極圖案GP中的每一者 可橫跨PMOSFET區PR、第二裝置隔離層ST2及NMOSFET區NR而平行於第一方向D1延伸。 The gate pattern GP may be disposed on the active pattern FN and extend across the active pattern FN in the first direction D1 and be parallel to each other. The gate patterns GP may be spaced apart from each other in the second direction D2. More specifically, each of the gate patterns GP It can extend across the PMOSFET region PR, the second device isolation layer ST2 and the NMOSFET region NR and parallel to the first direction D1.

閘極絕緣圖案GI可設置於閘極圖案GP中的每一者之下,且閘極間隔壁GS可設置於閘極圖案GP中的每一者的兩側。此外,可設置頂覆圖案(capping pattern)CP以覆蓋閘極圖案GP中的每一者的頂面。然而,在某些實例中,可自閘極圖案GP的頂面的連接至閘極觸點CB的一部分移除頂覆圖案CP。可設置第一層間絕緣層110至第五層間絕緣層150以覆蓋閘極圖案GP。 The gate insulating pattern GI may be disposed under each of the gate patterns GP, and the gate spacer GS may be disposed on both sides of each of the gate patterns GP. In addition, a capping pattern CP may be provided to cover the top surface of each of the gate patterns GP. However, in some examples, the capping pattern CP may be removed from a portion of the top surface of the gate pattern GP connected to the gate contact CB. The first to fifth interlayer insulating layers 110 to 150 may be provided to cover the gate patterns GP.

閘極圖案GP可由選自由經摻雜半導體、金屬及導電性金屬氮化物組成的群組中的至少一種材料形成,或包含所述選自由經摻雜半導體、金屬及導電性金屬氮化物組成的群組中的至少一種材料。閘極絕緣圖案GI可包含氧化矽層、氮氧化矽層及介電常數(dielectric constant)較氧化矽層的介電常數高的高k(high-k)介電層中的至少一者。頂覆圖案CP及閘極間隔壁GS中的每一者可包含氧化矽層、氮化矽層及氮氧化矽層中的至少一者。第一層間絕緣層110至第五層間絕緣層150中的每一者可為氧化矽層或氮氧化矽層。 The gate pattern GP may be formed of at least one material selected from the group consisting of doped semiconductors, metals, and conductive metal nitrides, or include those selected from the group consisting of doped semiconductors, metals, and conductive metal nitrides. At least one material in the group. The gate insulating pattern GI may include at least one of a silicon oxide layer, a silicon oxynitride layer, and a high-k dielectric layer having a higher dielectric constant than the silicon oxide layer. Each of the capping pattern CP and the gate spacer GS may include at least one of a silicon oxide layer, a silicon nitride layer, and a silicon oxynitride layer. Each of the first interlayer insulating layer 110 to the fifth interlayer insulating layer 150 may be a silicon oxide layer or a silicon oxynitride layer.

源極/汲極區SD可設置於主動式圖案FN的位於閘極圖案GP中的每一者兩側的部分中。PMOSFET區PR中的源極/汲極區SD可為p型雜質區,且NMOSFET區NR中的源極/汲極區SD可為n型雜質區。位於閘極圖案GP之下且被閘極圖案GP交疊的鰭片形部可充當電晶體的通道區AF。 The source/drain regions SD may be disposed in portions of the active pattern FN located on both sides of each of the gate patterns GP. The source/drain region SD in the PMOSFET region PR may be a p-type impurity region, and the source/drain region SD in the NMOSFET region NR may be an n-type impurity region. The fin-shaped part located under the gate pattern GP and overlapped by the gate pattern GP may serve as the channel area AF of the transistor.

源極/汲極區SD可為藉由選擇性磊晶成長製程(selective epitaxial growth process)而形成的磊晶圖案。因此,源極/汲極區SD可具有位於較鰭片形部的層階高的層階處的頂面。源極/汲極區SD可包括與基板100的半導體元件不同的半導體元件。作為實例,源極/汲極區SD可由具有不同於(例如,大於或小於)基板100的晶格常數的半導體材料形成,或包含所述具有不同於(例如,大於或小於)基板100的晶格常數的半導體材料。因此,源極/汲極區SD可對通道區AF施以壓應力(compressive stress)或拉應力(tensile stress)。 The source/drain region SD may be an epitaxial pattern formed by a selective epitaxial growth process. Therefore, the source/drain region SD may have a top surface located at a level higher than that of the fin-shaped portion. The source/drain region SD may include a semiconductor element different from the semiconductor element of the substrate 100. As an example, the source/drain region SD may be formed of a semiconductor material having a lattice constant different from (e.g., greater or less than) the substrate 100, or include the crystal having a lattice constant different from (e.g., greater or less than) the substrate 100. A semiconductor material with a lattice constant. Therefore, the source/drain region SD can apply compressive stress or tensile stress to the channel region AF.

閘極圖案GP及主動式圖案FN可構成多個邏輯電晶體。舉例而言,其可對應於參照圖6A所闡述的邏輯佈局。 The gate pattern GP and the active pattern FN can form a plurality of logic transistors. For example, it may correspond to the logical layout explained with reference to FIG. 6A.

源極/汲極觸點CA可設置於各閘極圖案GP之間。源極/汲極觸點CA可沿主動式圖案FN在第二方向D2上排列。作為實例,源極/汲極觸點CA可分別設置於位於PMOSFET區PR及NMOSFET區NR上的閘極圖案GP之間,並可在第一方向D1上排列(例如,參見圖7C)。源極/汲極觸點CA可直接耦合至且電性連接至源極/汲極區SD。源極/汲極觸點CA可設置於第一層間絕緣層110中。閘極觸點CB可設置於閘極圖案GP中的至少一者上。 The source/drain contact CA may be disposed between the gate patterns GP. The source/drain contacts CA may be arranged in the second direction D2 along the active pattern FN. As an example, the source/drain contacts CA may be respectively disposed between the gate patterns GP on the PMOSFET region PR and the NMOSFET region NR, and may be arranged in the first direction D1 (for example, see FIG. 7C). The source/drain contact CA can be directly coupled to and electrically connected to the source/drain region SD. The source/drain contact CA may be disposed in the first interlayer insulating layer 110. The gate contact CB may be disposed on at least one of the gate patterns GP.

第一下部接觸窗V41及第二下部接觸窗V42可設置於第一層間絕緣層110上及第二層間絕緣層120中。第一金屬層可設置於第二層間絕緣層120上及第三層間絕緣層130中。第一金屬 層可包括第一電源線PL3及第二電源線PL4以及第一下部金屬線M41及第二下部金屬線M42。第一電源線PL3及第二電源線PL4可對應於參照圖6C所闡述的第一功率圖案PL1及第二功率圖案PL2,且第一下部金屬線M41及第二下部金屬線M42可對應於參照圖6C所闡述的第一引腳圖案M11及第二引腳圖案M12。 The first lower contact window V41 and the second lower contact window V42 may be disposed on the first interlayer insulating layer 110 and in the second interlayer insulating layer 120. The first metal layer may be disposed on the second interlayer insulating layer 120 and in the third interlayer insulating layer 130. First metal The layer may include a first power line PL3 and a second power line PL4, and a first lower metal line M41 and a second lower metal line M42. The first power line PL3 and the second power line PL4 may correspond to the first power pattern PL1 and the second power pattern PL2 described with reference to FIG. 6C, and the first lower metal line M41 and the second lower metal line M42 may correspond to Refer to the first pin pattern M11 and the second pin pattern M12 described with reference to FIG. 6C.

作為實例,第一下部金屬線M41可藉由第一下部接觸窗V41而電性連接至源極/汲極觸點CA中的一者。第二下部金屬線M42可藉由第二下部接觸窗V42而電性連接至閘極觸點CB。 As an example, the first lower metal line M41 may be electrically connected to one of the source/drain contacts CA through the first lower contact window V41. The second lower metal line M42 can be electrically connected to the gate contact CB through the second lower contact window V42.

第一電源線PL3及第二電源線PL4可分別設置於PMOSFET區PR及NMOSFET區NR外且鄰近PMOSFET區PR及NMOSFET區NR。第一電源線PL3可藉由下部接觸窗而連接至源極/汲極觸點CA,以使得對PMOSFET區PR施加汲極電壓(Vdd)(例如,電源電壓)。第二電源線PL4可藉由下部接觸窗而連接至源極/汲極觸點CA,以使得對NMOSFET區NR施加源極電壓(Vss)(例如,接地電壓)。 The first power line PL3 and the second power line PL4 may be respectively disposed outside the PMOSFET region PR and the NMOSFET region NR and adjacent to the PMOSFET region PR and the NMOSFET region NR. The first power line PL3 may be connected to the source/drain contact CA through the lower contact window, so that a drain voltage (Vdd) (for example, a power supply voltage) is applied to the PMOSFET region PR. The second power line PL4 may be connected to the source/drain contact CA through the lower contact window, so that the source voltage (Vss) (for example, the ground voltage) is applied to the NMOSFET region NR.

第一上部接觸窗V51及第二上部接觸窗V52可設置於第三層間絕緣層130上及第四層間絕緣層140中。第二金屬層可設置於第四層間絕緣層140上及第五層間絕緣層150中。第二金屬層可包括第一上部金屬線M51及第二上部金屬線M52。第一上部金屬線M51及第二上部金屬線M52可對應於參照圖6C所闡述的第一互連圖案M21及第二互連圖案M22。 The first upper contact window V51 and the second upper contact window V52 may be disposed on the third interlayer insulating layer 130 and in the fourth interlayer insulating layer 140. The second metal layer may be disposed on the fourth interlayer insulating layer 140 and in the fifth interlayer insulating layer 150. The second metal layer may include a first upper metal line M51 and a second upper metal line M52. The first upper metal line M51 and the second upper metal line M52 may correspond to the first interconnection pattern M21 and the second interconnection pattern M22 explained with reference to FIG. 6C.

作為實例,第一上部金屬線M51可藉由第一上部接觸窗 V51而電性連接至第一下部金屬線M41。第二上部金屬線M52可藉由第二上部接觸窗V52而電性連接至第二下部金屬線M42。 As an example, the first upper metal line M51 can be connected through the first upper contact window V51 is electrically connected to the first lower metal wire M41. The second upper metal line M52 can be electrically connected to the second lower metal line M42 through the second upper contact window V52.

可使用如參照圖2闡述的設計及製作半導體裝置的方法來形成所述第一金屬層及所述第二金屬層。舉例而言,可實行半導體積體電路的高階設計過程及佈局設計過程,以製備參照圖6C所闡述的標準胞元佈局。隨後,可實行光學鄰近校正以製備經修改金屬佈局,且可基於所述經修改金屬佈局來製造光罩。 The first metal layer and the second metal layer can be formed using the method of designing and manufacturing a semiconductor device as described with reference to FIG. 2. For example, a high-level design process and a layout design process of a semiconductor integrated circuit can be implemented to prepare the standard cell layout described with reference to FIG. 6C. Subsequently, optical proximity correction can be performed to prepare a modified metal layout, and a photomask can be manufactured based on the modified metal layout.

形成所述第一金屬層可包括在第三層間絕緣層130上形成光阻劑圖案,所述光阻劑圖案的圖案由互連佈局界定。舉例而言,可在第三層間絕緣層130上形成光阻劑層。接下來,可使用基於互連佈局而製造的光罩對所述光阻劑層實行曝光製程,且接著可對所述光阻劑層實行顯影製程(development process),以形成所述光阻劑圖案。在某些實例中,光阻劑圖案可被形成為具有界定金屬線孔的開口。 Forming the first metal layer may include forming a photoresist pattern on the third interlayer insulating layer 130, the pattern of the photoresist pattern being defined by an interconnect layout. For example, a photoresist layer may be formed on the third interlayer insulating layer 130. Next, a photomask manufactured based on the interconnect layout can be used to perform an exposure process on the photoresist layer, and then a development process can be performed on the photoresist layer to form the photoresist pattern. In some examples, the photoresist pattern may be formed to have openings defining metal wire holes.

接下來,可使用所述光阻劑圖案作為蝕刻遮罩蝕刻第三層間絕緣層130,藉此形成互連孔。可藉由以導電性材料填充所述互連孔而形成第一電源線PL3及第二電源線PL4以及第一下部金屬線M41及第二下部金屬線M42。所述導電性材料可由金屬材料(例如,銅)形成或包含所述金屬材料(例如,銅)。 Next, the photoresist pattern may be used as an etching mask to etch the third interlayer insulating layer 130, thereby forming interconnection holes. The first power line PL3 and the second power line PL4, and the first lower metal line M41 and the second lower metal line M42 can be formed by filling the interconnect hole with a conductive material. The conductive material may be formed of or include a metal material (for example, copper).

可藉由與形成所述第一金屬層的方法相似的方法來形成所述第二金屬層。 The second metal layer may be formed by a method similar to the method of forming the first metal layer.

圖8A至圖8C是根據本發明概念的某些實例,說明一種 佈局標準胞元並為其建立布線結構的方法的平面圖。在以下對本實例的說明中,可以相似或相同的參考編號來辨識先前參照圖6A至圖6C所闡述的元件或步驟,以避免對其予以贅述。 8A to 8C are some examples according to the concept of the present invention, illustrating a A plan view of the method of laying out standard cells and establishing wiring structures for them. In the following description of this example, similar or identical reference numbers may be used to identify the elements or steps previously described with reference to FIGS. 6A to 6C, so as to avoid repetitive descriptions.

參照圖3及圖8A,可使用佈局設計工具來製備原始標準胞元佈局(步驟S121)。更詳言之,可提供互連佈局,且提供所述互連佈局可包括佈局第一功率圖案PL1及第二功率圖案PL2、佈局第一初步引腳圖案PM11及第二初步引腳圖案PM12、以及佈局第一下部接觸窗圖案V11及第二下部接觸窗圖案V12。第一初步引腳圖案PM11及第二初步引腳圖案PM12中的每一者在其形狀及佈置方面可與參照圖4A所闡述的第一引腳圖案M11及第二引腳圖案M12中的對應一者實質上相同。 3 and 8A, a layout design tool can be used to prepare the original standard cell layout (step S121). In more detail, an interconnection layout may be provided, and providing the interconnection layout may include laying out the first power pattern PL1 and the second power pattern PL2, laying out the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12, And layout the first lower contact window pattern V11 and the second lower contact window pattern V12. Each of the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12 may correspond to the first pin pattern M11 and the second pin pattern M12 described with reference to FIG. 4A in terms of its shape and arrangement. One is essentially the same.

可將標準胞元佈局保存於參照圖2所闡述的胞元庫中。接下來,可將保存於所述胞元庫中的重複的多個標準胞元佈局設定於定位上(步驟S122)。 The standard cell layout can be saved in the cell library described with reference to FIG. 2. Next, the repeated multiple standard cell layouts stored in the cell library can be set on the positioning (step S122).

參照圖3及圖8B,可對標準胞元佈局實行布線步驟,以將標準胞元連接至高階互連佈局(步驟S123)。提供所述高階互連佈局可包括佈局第一互連圖案M21及第二互連圖案M22以及佈局第一上部接觸窗圖案V21及第二上部接觸窗圖案V22。 Referring to FIG. 3 and FIG. 8B, a wiring step may be performed on the standard cell layout to connect the standard cell to the high-level interconnect layout (step S123). Providing the high-level interconnection layout may include arranging the first interconnection pattern M21 and the second interconnection pattern M22 and arranging the first upper contact pattern V21 and the second upper contact pattern V22.

可將第一上部接觸窗圖案V21及第二上部接觸窗圖案V22中的每一者分別放置於第一初步引腳圖案PM11及第二初步引腳圖案PM12與第一互連圖案M21及第二互連圖案M22的交疊區中的對應一者上。舉例而言,可將第一上部接觸窗圖案V21放 置於第一初步引腳圖案PM11的第一區RG1上。可將第一區RG1的其上放置有第一上部接觸窗圖案V21的區稱為第一命中區。可將第一下部接觸窗圖案V11放置於第一區RG1之下。可將第一區RG1的其上放置有第一下部接觸窗圖案V11的另一區稱為第二命中區。可將第一初步引腳圖案PM11放置於不與第一區RG1交疊的第二區RG2上。 Each of the first upper contact window pattern V21 and the second upper contact window pattern V22 may be placed on the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12 and the first interconnection pattern M21 and the second On a corresponding one of the overlapping regions of the interconnection pattern M22. For example, the first upper contact window pattern V21 can be placed It is placed on the first region RG1 of the first preliminary pin pattern PM11. The area of the first area RG1 on which the first upper contact window pattern V21 is placed may be referred to as a first hit area. The first lower contact window pattern V11 may be placed under the first region RG1. Another area of the first area RG1 on which the first lower contact window pattern V11 is placed may be referred to as a second hit area. The first preliminary pin pattern PM11 may be placed on the second region RG2 that does not overlap the first region RG1.

參照圖3及圖8C,可基於可在布線步驟完成時獲得的命中資訊而將第一引腳圖案M11及第二引腳圖案M12放置於互連佈局中(步驟S124)。更詳言之,可對第一初步引腳圖案PM11進行處理,以保留包括第一命中區及第二命中區的第一區RG1、但移除第二區RG2。第一初步引腳圖案PM11的保留部分(例如,第一區RG1)可充當第一引腳圖案M11。可藉由以與處理第一初步引腳圖案PM11相同的方式處理第二初步引腳圖案PM12來形成第二引腳圖案M12。 3 and 8C, the first pin pattern M11 and the second pin pattern M12 can be placed in the interconnect layout based on the hit information that can be obtained when the wiring step is completed (step S124). In more detail, the first preliminary pin pattern PM11 may be processed to retain the first area RG1 including the first hit area and the second hit area, but remove the second area RG2. The reserved portion of the first preliminary pin pattern PM11 (for example, the first region RG1) may serve as the first pin pattern M11. The second preliminary pin pattern M12 may be formed by processing the second preliminary pin pattern PM12 in the same manner as the first preliminary pin pattern PM11.

圖9A、圖9C及圖9D是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。圖9B是說明具有彼此不同的互連佈局的各標準胞元佈局的某些實例的平面圖。在以下對本實例的說明中,可以相似或相同的參考編號來辨識先前參照圖6A至圖6C所闡述的元件或步驟,以避免對其予以贅述。 9A, 9C, and 9D are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them according to some examples of the concept of the present invention. FIG. 9B is a plan view illustrating some examples of standard cell layouts having mutually different interconnection layouts. In the following description of this example, similar or identical reference numbers may be used to identify the elements or steps previously described with reference to FIGS. 6A to 6C, so as to avoid repetitive descriptions.

參照圖3及圖9A,(在步驟S121中)可使用佈局設計工具來提供原始標準胞元佈局。更詳言之,可提供互連佈局,且提 供所述互連佈局可包括佈局第一功率圖案PL1及第二功率圖案PL2、佈局第一初步引腳圖案PM11及第二初步引腳圖案PM12、以及佈局第一下部接觸窗圖案V11及第二下部接觸窗圖案V12。第一初步引腳圖案PM11及第二初步引腳圖案PM12中的每一者在其形狀及佈置方面可與參照圖4A所闡述的第一引腳圖案M11及第二引腳圖案M12中的對應一者實質上相同。 3 and 9A, (in step S121) a layout design tool can be used to provide the original standard cell layout. In more detail, interconnection layout can be provided, and The interconnection layout may include laying out the first power pattern PL1 and the second power pattern PL2, laying out the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12, and laying out the first lower contact pattern V11 and the second 2. The lower contact window pattern V12. Each of the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12 may correspond to the first pin pattern M11 and the second pin pattern M12 described with reference to FIG. 4A in terms of its shape and arrangement. One is essentially the same.

參照圖9B,可對圖9A中所示的原始標準胞元佈局加以修改,以生成具有彼此不同的互連佈局的第一標準胞元佈局A、第二標準胞元佈局B、第三標準胞元佈局C及第四標準胞元佈局D。舉例而言,圖9B中所示的標準胞元佈局A、B、C、及D中的每一者可具有與圖9A所示的原始標準胞元佈局相同的邏輯佈局,但可具有與圖9A所示的原始標準胞元佈局不同的互連佈局。 9B, the original standard cell layout shown in FIG. 9A can be modified to generate a first standard cell layout A, a second standard cell layout B, and a third standard cell layout that have mutually different interconnection layouts. Cell layout C and the fourth standard cell layout D. For example, each of the standard cell layouts A, B, C, and D shown in FIG. 9B may have the same logical layout as the original standard cell layout shown in FIG. 9A, but may have The original standard cell layout shown in 9A is a different interconnection layout.

舉例而言,第一標準胞元佈局A、第二標準胞元佈局B、第三標準胞元佈局C及第四標準胞元佈局D中的每一者可包括第一引腳圖案M11及第二引腳圖案M12。在此實例中,第一引腳圖案M11及第二引腳圖案M12在其大小方面彼此不同;亦即,設置於第一引腳圖案M11中的引腳區PI的數目與設置於第二引腳圖案M12中的引腳區PI的數目可存在不同。另外,第一引腳圖案M11及第二引腳圖案M12在其相對位置方面可彼此不同。 For example, each of the first standard cell layout A, the second standard cell layout B, the third standard cell layout C, and the fourth standard cell layout D may include the first pin pattern M11 and the Two-pin pattern M12. In this example, the first pin pattern M11 and the second pin pattern M12 are different from each other in terms of their sizes; that is, the number of pin regions PI provided in the first pin pattern M11 is different from the number of pin regions PI provided in the second pin pattern M11. The number of pin areas PI in the foot pattern M12 may be different. In addition, the first pin pattern M11 and the second pin pattern M12 may be different from each other in their relative positions.

應注意,第一標準胞元佈局A、第二標準胞元佈局B、第三標準胞元佈局C及第四標準胞元佈局D僅為標準胞元佈局的可能修改形式的實例,即,可基於第一初步引腳圖案PM11中所設 置的引腳區PI的數目及第二初步引腳圖案PM12中所設置的引腳區PI的數目而對所述標準胞元佈局加以修改,以提供不同的一組標準佈局。舉例而言,在其中第一初步引腳圖案PM11及第二初步引腳圖案PM12中的每一者均具有五個引腳區PI的情形中,可對標準胞元佈局加以修改,以生成一組多達5 X 5(即,25)個彼此不同的標準胞元佈局。 It should be noted that the first standard cell layout A, the second standard cell layout B, the third standard cell layout C, and the fourth standard cell layout D are only examples of possible modifications of the standard cell layout, that is, Based on the first preliminary pin pattern set in PM11 The standard cell layout is modified to provide a different set of standard layouts by setting the number of pin areas PI and the number of pin areas PI set in the second preliminary pin pattern PM12. For example, in a case where each of the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12 has five pin areas PI, the standard cell layout can be modified to generate a Group up to 5 X 5 (ie, 25) standard cell layouts that are different from each other.

可將由以上過程所提供的原始標準胞元佈局以及第一標準胞元佈局A、第二標準胞元佈局B、第三標準胞元佈局C及第四標準胞元佈局D保存於參照圖2所闡述的胞元庫中。隨後,可將保存於所述胞元庫中的重複的多個原始標準胞元佈局設定於定位上(步驟S122)。 The original standard cell layout and the first standard cell layout A, the second standard cell layout B, the third standard cell layout C, and the fourth standard cell layout D provided by the above process can be saved in the reference to FIG. 2 In the illustrated cell library. Subsequently, the repeated multiple original standard cell layouts stored in the cell library can be set to the positioning (step S122).

參照圖3及圖9C,(在步驟S123中)可對原始標準胞元佈局實行布線步驟,以將所述原始標準胞元佈局連接至高階互連佈局。提供所述高階互連佈局可包括佈局第一互連圖案M21及第二互連圖案M22以及佈局第一上部接觸窗圖案V21及第二上部接觸窗圖案V22。 Referring to FIG. 3 and FIG. 9C, (in step S123), a wiring step may be performed on the original standard cell layout to connect the original standard cell layout to the high-level interconnect layout. Providing the high-level interconnection layout may include arranging the first interconnection pattern M21 and the second interconnection pattern M22 and arranging the first upper contact pattern V21 and the second upper contact pattern V22.

可將第一上部接觸窗圖案V21及第二上部接觸窗圖案V22中的每一者分別放置於第一初步引腳圖案PM11及第二初步引腳圖案PM12與第一互連圖案M21及第二互連圖案M22的交疊區中的對應一者上。第一上部接觸窗圖案V21及第二上部接觸窗圖案V22所將設置於的位置可構成所述命中資訊的一部分。 Each of the first upper contact window pattern V21 and the second upper contact window pattern V22 may be placed on the first preliminary pin pattern PM11 and the second preliminary pin pattern PM12 and the first interconnection pattern M21 and the second On a corresponding one of the overlapping regions of the interconnection pattern M22. The positions where the first upper contact window pattern V21 and the second upper contact window pattern V22 will be disposed may constitute part of the hit information.

舉例而言,當在第一方向D1上觀察時,可在第一初步引 腳圖案PM11的第三引腳區中設置第一上部接觸窗圖案V21,且可在第二初步引腳圖案PM12的第二引腳區中設置第二上部接觸窗圖案V22。 For example, when viewing in the first direction D1, The first upper contact pattern V21 is provided in the third pin area of the foot pattern PM11, and the second upper contact pattern V22 may be provided in the second pin area of the second preliminary pin pattern PM12.

參照圖3及圖9D,可基於所述命中資訊而將第一引腳圖案M11及第二引腳圖案M12放置於互連佈局中(步驟S124)。更詳言之,基於所述命中資訊,可以第一標準胞元佈局A、第二標準胞元佈局B、第三標準胞元佈局C及第四標準胞元佈局D中的一者來取代任意原始標準胞元佈局。 Referring to FIGS. 3 and 9D, the first pin pattern M11 and the second pin pattern M12 may be placed in the interconnect layout based on the hit information (step S124). In more detail, based on the hit information, any one of the first standard cell layout A, the second standard cell layout B, the third standard cell layout C, and the fourth standard cell layout D can be substituted for any The original standard cell layout.

舉例而言,包括第一引腳圖案M11的三個引腳區及第二引腳圖案M12的兩個引腳區的互連佈局可適合於滿足由命中資訊所施加的技術要求。在此種情形中,參照圖9B,第二標準胞元佈局B、第三標準胞元佈局C及第四標準胞元佈局D適合於滿足此種要求。然而,在該些第二標準胞元佈局B、第三標準胞元佈局C及第四標準胞元佈局D中,第二標準胞元佈局B可為最符合期望的,乃因其具有最小的引腳圖案M11及M12且乃因基於此佈局而製成的裝置將在基於第二標準胞元佈局B、第三標準胞元佈局C及第四標準胞元佈局D而製成的各裝置中表現出最低的寄生電容。因此,可以第二標準胞元佈局B來取代原始標準胞元佈局。 For example, the interconnection layout including the three pin areas of the first pin pattern M11 and the two pin areas of the second pin pattern M12 may be suitable to meet the technical requirements imposed by the hit information. In this case, referring to FIG. 9B, the second standard cell layout B, the third standard cell layout C, and the fourth standard cell layout D are suitable for satisfying this requirement. However, among the second standard cell layout B, the third standard cell layout C, and the fourth standard cell layout D, the second standard cell layout B can be the most expected because it has the smallest The pin patterns M11 and M12 and the devices made based on this layout will be in each device made based on the second standard cell layout B, the third standard cell layout C, and the fourth standard cell layout D Shows the lowest parasitic capacitance. Therefore, the original standard cell layout can be replaced by the second standard cell layout B.

圖10A至圖10C是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。在以下對本實例的說明中,可以相似或相同的參考編號來辨識先前參照圖6A至圖6C所闡述的元件或步驟,以避免對其予以贅述。 10A to 10C are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them according to some examples of the concept of the present invention. In the following description of this example, similar or identical reference numbers may be used to identify the elements or steps previously described with reference to FIGS. 6A to 6C, so as to avoid repetitive descriptions.

參照圖3及圖10A,可使用佈局設計工具來提供原始標準胞元佈局(步驟S121)。提供所述標準胞元佈局可包括提供第一互連佈局及第二互連佈局。在某些實例中,第一互連佈局可對應於欲形成於半導體基板上的第一金屬層,且第二互連佈局可對應於欲形成於所述半導體基板上的第二金屬層。換言之,與圖6A中所示實例不同,所述標準胞元佈局可包括多個互連佈局,且可依構成所述標準胞元佈局的電路的類型而定來改變所述互連佈局。 3 and 10A, a layout design tool can be used to provide the original standard cell layout (step S121). Providing the standard cell layout may include providing a first interconnection layout and a second interconnection layout. In some examples, the first interconnect layout may correspond to the first metal layer to be formed on the semiconductor substrate, and the second interconnect layout may correspond to the second metal layer to be formed on the semiconductor substrate. In other words, unlike the example shown in FIG. 6A, the standard cell layout may include a plurality of interconnection layouts, and the interconnection layout may be changed depending on the type of circuit constituting the standard cell layout.

提供所述第一互連佈局可包括佈局第一功率圖案PL1及第二功率圖案PL2,以及佈局第一下部互連線圖案M61、第二下部互連線圖案M62及第三下部互連線圖案M63。儘管圖中未示出,然而第一下部互連線圖案M61、第二下部互連線圖案M62、及第三下部互連線圖案M63可藉由下部接觸窗圖案而連接至邏輯佈局。 Providing the first interconnection layout may include arranging the first power pattern PL1 and the second power pattern PL2, and arranging the first lower interconnection line pattern M61, the second lower interconnection line pattern M62, and the third lower interconnection line Pattern M63. Although not shown in the figure, the first lower interconnection pattern M61, the second lower interconnection pattern M62, and the third lower interconnection pattern M63 may be connected to the logic layout through the lower contact pattern.

製備所述第二互連佈局可包括佈局第一初步引腳圖案PM21、第二初步引腳圖案PM22及第三初步引腳圖案PM23,以及佈局第一接觸窗圖案V61、第二接觸窗圖案V62及第三接觸窗圖案V63。可將第一接觸窗圖案V61、第二接觸窗圖案V62及第三接觸窗圖案V63中的每一者安置於第一下部互連線圖案M61、第二下部互連線圖案M62及第三下部互連線圖案M63以及第一初步引腳圖案PM21、第二初步引腳圖案PM22及第三初步引腳圖案PM23中的對應一對之間,以將所述對應一對連接至彼此。 Preparing the second interconnection layout may include laying out a first preliminary pin pattern PM21, a second preliminary pin pattern PM22, and a third preliminary pin pattern PM23, and laying out a first contact pattern V61 and a second contact pattern V62 And the third contact window pattern V63. Each of the first contact window pattern V61, the second contact window pattern V62, and the third contact window pattern V63 may be disposed in the first lower interconnection line pattern M61, the second lower interconnection line pattern M62, and the third The lower interconnection line pattern M63 and the corresponding pair of the first preliminary pin pattern PM21, the second preliminary pin pattern PM22, and the third preliminary pin pattern PM23 to connect the corresponding pair to each other.

可將標準胞元佈局保存於參照圖2所闡述的胞元庫中。 接下來,可將保存於所述胞元庫中的重複的多個標準胞元佈局設定於定位上(步驟S122)。 The standard cell layout can be saved in the cell library described with reference to FIG. 2. Next, the repeated multiple standard cell layouts stored in the cell library can be set on the positioning (step S122).

參照圖3及圖10B,可對標準胞元佈局實行布線步驟,以將標準胞元連接至高階互連佈局(步驟S123)。提供所述高階互連佈局可包括佈局第一上部互連線圖案M31、第二上部互連線圖案M32及第三上部互連線圖案M33,以及佈局第一上部接觸窗圖案V31、第二上部接觸窗圖案V32及第三上部接觸窗圖案V33。可將第一上部接觸窗圖案V31、第二上部接觸窗圖案V32及第三上部接觸窗圖案V33中的每一者分別放置於第一初步引腳圖案PM21、第二初步引腳圖案PM22及第三初步引腳圖案PM23與第一上部互連線圖案M31、第二上部互連線圖案M32及第三上部互連線圖案M33的交疊區中的對應一者上。在所述布線步驟完成時,可獲得命中資訊。 Referring to FIG. 3 and FIG. 10B, a wiring step can be performed on the standard cell layout to connect the standard cell to the high-level interconnect layout (step S123). Providing the high-level interconnection layout may include arranging the first upper interconnection line pattern M31, the second upper interconnection line pattern M32, and the third upper interconnection line pattern M33, and the layout of the first upper contact window pattern V31 and the second upper part. The contact pattern V32 and the third upper contact pattern V33. Each of the first upper contact window pattern V31, the second upper contact window pattern V32, and the third upper contact window pattern V33 may be placed in the first preliminary pin pattern PM21, the second preliminary pin pattern PM22, and the second preliminary pin pattern PM21, respectively. The three preliminary pin patterns PM23 are on a corresponding one of the overlapping regions of the first upper interconnection line pattern M31, the second upper interconnection line pattern M32, and the third upper interconnection line pattern M33. When the wiring step is completed, hit information can be obtained.

參照圖3及圖10C,可基於所述命中資訊而在第二互連佈局中提供或產生第一引腳圖案M71、第二引腳圖案M72及第三引腳圖案M73(步驟S124)。可使用先前參照圖6C、圖8C及圖9D所闡述的方法中的一者來實行所述第一引腳圖案M71、第二引腳圖案M72及第三引腳圖案M73的形成。如此一來,相較於第一初步引腳圖案PM21、第二初步引腳圖案PM22及第三初步引腳圖案PM23中的對應一者的大小而言,第一引腳圖案M71、第二引腳圖案M72及第三引腳圖案M73中的每一者的大小可減小。 3 and 10C, the first pin pattern M71, the second pin pattern M72, and the third pin pattern M73 may be provided or generated in the second interconnect layout based on the hit information (step S124). The formation of the first pin pattern M71, the second pin pattern M72, and the third pin pattern M73 may be performed using one of the methods previously described with reference to FIGS. 6C, 8C, and 9D. As a result, compared to the size of the corresponding one of the first preliminary pin pattern PM21, the second preliminary pin pattern PM22, and the third preliminary pin pattern PM23, the first pin pattern M71 and the second pin pattern PM23 The size of each of the foot pattern M72 and the third pin pattern M73 may be reduced.

與參照圖6A至圖6C及圖10A至圖10C所示出並闡述的 實例不同,標準胞元佈局的引腳圖案並非僅限於(在基板上方)設置於第一金屬層及/或第二金屬層中。而是,如上所述,可在高階金屬層(例如,第三金屬層)中佈局引腳圖案。此外,可在不同的金屬層中設置引腳圖案;舉例而言,可在第一金屬層及第二金屬層中的每一者中佈局多個引腳圖案。 With reference to Figure 6A to Figure 6C and Figure 10A to Figure 10C shown and explained The examples are different. The pin patterns of the standard cell layout are not limited to being arranged in the first metal layer and/or the second metal layer (on the substrate). Instead, as described above, the pin pattern may be laid out in a higher-order metal layer (for example, a third metal layer). In addition, the pin patterns can be provided in different metal layers; for example, multiple pin patterns can be laid out in each of the first metal layer and the second metal layer.

圖11A及圖11B是根據本發明概念的某些實例,說明一種佈局標準胞元並為其建立布線結構的方法的平面圖。在以下對本實例的說明中,可以相似或相同的參考編號來辨識先前參照圖6A至圖6C所闡述的元件或步驟,以避免對其予以贅述。 11A and 11B are plan views illustrating a method of laying out standard cells and establishing a wiring structure for them according to some examples of the concept of the present invention. In the following description of this example, similar or identical reference numbers may be used to identify the elements or steps previously described with reference to FIGS. 6A to 6C, so as to avoid repetitive descriptions.

參照圖3及圖11A,可提供參照圖6A、圖8A或圖9A所闡述的標準胞元佈局(步驟S121)。可將所述標準胞元佈局保存於參照圖2所闡述的胞元庫中。隨後,可將保存於胞元庫中的重複的多個標準胞元佈局在第二方向D2上對齊地並彼此平行地設定於定位上(步驟S122)。可將多個相同的標準胞元佈局設定於定位上以形成第一標準胞元佈局STD1及第二標準胞元佈局STD2,第一標準胞元佈局STD1及第二標準胞元佈局STD2分別包括具有相同電路的相同邏輯佈局。作為實例,第一標準胞元佈局STD1及第二標準胞元佈局STD2可代表反相器。第一標準胞元佈局STD1可具有包括第一初步引腳圖案PM11及第二初步引腳圖案PM12的第一互連佈局,且第二標準胞元佈局STD2可具有包括第三初步引腳圖案PM13及第四初步引腳圖案PM14的第二互連佈局。第一初步引腳圖案PM11及第二初步引腳圖案PM12與第三初步引腳圖案 PM13及第四初步引腳圖案PM14在大小及位置方面可彼此相同。儘管圖中未示出,然而,第一標準胞元佈局STD1與第二標準胞元佈局STD2之間可另外夾置有其他標準胞元佈局。 Referring to FIG. 3 and FIG. 11A, the standard cell layout described with reference to FIG. 6A, FIG. 8A or FIG. 9A can be provided (step S121). The standard cell layout can be saved in the cell library described with reference to FIG. 2. Subsequently, the repeated multiple standard cell layouts stored in the cell library can be set in alignment in the second direction D2 and parallel to each other in positioning (step S122). A plurality of the same standard cell layout can be set on the positioning to form a first standard cell layout STD1 and a second standard cell layout STD2. The first standard cell layout STD1 and the second standard cell layout STD2 respectively include The same logic layout of the same circuit. As an example, the first standard cell layout STD1 and the second standard cell layout STD2 may represent inverters. The first standard cell layout STD1 may have a first interconnection layout including a first preliminary pin pattern PM11 and a second preliminary pin pattern PM12, and the second standard cell layout STD2 may have a third preliminary pin pattern PM13 And the second interconnect layout of the fourth preliminary pin pattern PM14. The first preliminary pin pattern PM11 and the second preliminary pin pattern PM12 and the third preliminary pin pattern PM13 and the fourth preliminary pin pattern PM14 may be the same as each other in size and position. Although not shown in the figure, other standard cell layouts may be additionally sandwiched between the first standard cell layout STD1 and the second standard cell layout STD2.

參照圖3及圖11B,可對第一標準胞元佈局STD1及第二標準胞元佈局STD2實行布線步驟,以將第一標準胞元佈局STD1及第二標準胞元佈局STD2連接至高階互連佈局(步驟S123)。儘管第一標準胞元佈局STD1與第二標準胞元佈局STD2相同,然而第一標準胞元佈局STD1及第二標準胞元佈局STD2可在布線步驟中分別連接至彼此不同的標準胞元,且因此,第一標準胞元佈局STD1及第二標準胞元佈局STD2可具有與之相關的不同命中資訊。作為實例,第一標準胞元佈局STD1可連接至構成高階互連佈局的第一互連圖案M21及第二互連圖案M22。第二標準胞元佈局STD2可連接至構成高階互連佈局的第三互連圖案M23及第四互連圖案M24。 3 and 11B, the first standard cell layout STD1 and the second standard cell layout STD2 can be routed to connect the first standard cell layout STD1 and the second standard cell layout STD2 to the high-level interconnect Continuous layout (step S123). Although the first standard cell layout STD1 and the second standard cell layout STD2 are the same, the first standard cell layout STD1 and the second standard cell layout STD2 can be respectively connected to different standard cells in the wiring step, And therefore, the first standard cell layout STD1 and the second standard cell layout STD2 may have different hit information related to them. As an example, the first standard cell layout STD1 may be connected to the first interconnection pattern M21 and the second interconnection pattern M22 constituting the high-level interconnection layout. The second standard cell layout STD2 may be connected to the third interconnection pattern M23 and the fourth interconnection pattern M24 constituting the high-level interconnection layout.

基於所述命中資訊,(在步驟S124中)可在第一互連佈局中提供或產生第一引腳圖案M11及第二引腳圖案M12,且可在第二互連佈局中提供或產生第三引腳圖案M13及第四引腳圖案M14。可使用先前參照圖6C、圖8C及圖9D所闡述的方法中的一者來形成第一引腳圖案M11及第二引腳圖案M12及/或第三引腳圖案M13及第四引腳圖案M14。因此,可在同一些標準胞元佈局(例如,第一標準胞元佈局STD1及第二標準胞元佈局STD2)中提供第一引腳圖案M11及第二引腳圖案M12以及第三引腳圖案 M13及第四引腳圖案M14,第一引腳圖案M11及第二引腳圖案M12與第三引腳圖案M13及第四引腳圖案M14的大小及佈置彼此不同。 Based on the hit information, (in step S124) the first pin pattern M11 and the second pin pattern M12 can be provided or generated in the first interconnect layout, and the second pin pattern M12 can be provided or generated in the second interconnect layout. The three-pin pattern M13 and the fourth pin pattern M14. One of the methods previously described with reference to FIGS. 6C, 8C, and 9D may be used to form the first pin pattern M11 and the second pin pattern M12 and/or the third pin pattern M13 and the fourth pin pattern M14. Therefore, the first pin pattern M11, the second pin pattern M12, and the third pin pattern can be provided in the same standard cell layouts (for example, the first standard cell layout STD1 and the second standard cell layout STD2) The sizes and arrangements of M13 and the fourth pin pattern M14, the first pin pattern M11 and the second pin pattern M12, and the third pin pattern M13 and the fourth pin pattern M14 are different from each other.

相反,若所述引腳圖案是在佈局標準胞元並為其建立布線結構的步驟(例如,參見圖4B或圖5B)之後所新產生的,則相同的標準胞元佈局可具有相同的引腳圖案(例如,具有相同的大小及相同的排列),而無論所述布線步驟中是否存在不同。相比之下,在根據本發明概念的某些實例的佈局設計方法中,儘管標準胞元佈局是相同的,然而可對所述標準胞元佈局分別達成在大小及相對位置方面彼此不同的引腳圖案。此可使得能夠達成具有最佳化特性的半導體裝置。此外,在圖11B中,除第一上部接觸窗圖案V21和第二上部接觸窗圖案V22外,還包括第三上部接觸窗圖案V23和第四上部接觸窗圖案V24。 On the contrary, if the pin pattern is newly generated after the steps of laying out standard cells and establishing a wiring structure (for example, see FIG. 4B or FIG. 5B), the same standard cell layout can have the same The pin pattern (for example, having the same size and the same arrangement) regardless of whether there is a difference in the wiring step. In contrast, in the layout design method according to some examples of the concept of the present invention, although the standard cell layout is the same, the standard cell layouts can be introduced to be different in size and relative position. Foot pattern. This can enable a semiconductor device with optimized characteristics. In addition, in FIG. 11B, in addition to the first upper contact window pattern V21 and the second upper contact window pattern V22, a third upper contact window pattern V23 and a fourth upper contact window pattern V24 are further included.

根據本發明概念的某些實例,一種設計半導體裝置的佈局的方法可包括基於在布線步驟之後獲得的命中資訊而在標準胞元佈局的互連佈局中佈局引腳圖案。因此,可使布線中的自由度最大化並達成具有高運作速度特性及低功耗特性的半導體裝置。 According to some examples of the inventive concept, a method of designing the layout of a semiconductor device may include laying out pin patterns in an interconnection layout of a standard cell layout based on hit information obtained after a wiring step. Therefore, the degree of freedom in wiring can be maximized and a semiconductor device with high operating speed characteristics and low power consumption characteristics can be achieved.

最後,儘管已具體示出並闡述了本發明概念的實例,然而此項技術中具有通常知識者應理解,可對其作出形式及細節上的變化,而此並不背離由所附申請專利範圍所界定的本發明概念的精神及範圍。 Finally, although examples of the concept of the present invention have been specifically shown and explained, those with ordinary knowledge in the art should understand that changes in form and details can be made without departing from the scope of the attached patent application. The spirit and scope of the defined concept of the invention.

S121、S122、S123、S124:步驟 S121, S122, S123, S124: steps

Claims (25)

一種生成半導體裝置的佈局的方法,包括:提供標準胞元佈局,提供所述標準胞元佈局包括創建與所述半導體裝置的下部金屬層相關的所述標準胞元佈局的互連佈局的初步引腳圖案;實行布線步驟,以生成其中將所述初步引腳圖案連接至高階互連圖案的高階互連佈局,所述高階互連布局代表配置於所述下部金屬層上的所述半導體裝置的上部層階金屬互連;以及基於在所述布線步驟完成時所獲得的命中資訊而在所述標準胞元佈局的所述互連佈局的區中轉換所述初步引腳圖案為後期引腳圖案,所述後期引腳圖案代表所述半導體裝置的所述下部金屬層的下部層階金屬互連,其中所述後期引腳圖案小於所述初步引腳圖案。 A method for generating a layout of a semiconductor device includes: providing a standard cell layout, and providing the standard cell layout includes creating a preliminary guide for an interconnection layout of the standard cell layout related to a lower metal layer of the semiconductor device Foot pattern; a wiring step is performed to generate a high-level interconnect layout in which the preliminary pin pattern is connected to a high-level interconnect pattern, the high-level interconnect layout representing the semiconductor device disposed on the lower metal layer The upper level metal interconnection; and based on the hit information obtained when the wiring step is completed, the preliminary pin pattern is converted into a later lead in the area of the interconnect layout of the standard cell layout A foot pattern, where the post-lead pattern represents a lower level metal interconnection of the lower metal layer of the semiconductor device, wherein the post-lead pattern is smaller than the preliminary pin pattern. 如申請專利範圍第1項所述的生成半導體裝置的佈局的方法,其中轉換所述初步引腳圖案為所述後期引腳圖案將所述後期引腳圖案放置於先前由所述初步引腳圖案佔據的區中,使得所述後期引腳圖案與所述初步引腳圖案在所述生成半導體裝置的佈局的方法中佔據交疊的區。 The method for generating the layout of a semiconductor device as described in the first item of the scope of patent application, wherein the preliminary pin pattern is converted into the late pin pattern, and the late pin pattern is placed on the previous preliminary pin pattern. In the occupied area, the late-stage pin pattern and the preliminary pin pattern occupy an overlapping area in the method of generating the layout of the semiconductor device. 如申請專利範圍第1項所述的生成半導體裝置的佈局的方法,其中提供所述標準胞元佈局包括:提供包括邏輯電晶體的邏輯佈局;以及佈局下部接觸窗圖案,以將所述邏輯佈局連接至所述初步引 腳圖案。 The method for generating the layout of a semiconductor device as described in the first item of the scope of patent application, wherein providing the standard cell layout includes: providing a logic layout including logic transistors; and laying out a lower contact window pattern to align the logic layout Connect to the initial reference Foot pattern. 如申請專利範圍第1項所述的生成半導體裝置的佈局的方法,其中創建所述初步引腳圖案包括佈局其中含有所述布線步驟的引腳資訊的鬼影圖案,且轉換所述初步引腳圖案為所述後期引腳圖案包括將命中所述高階互連佈局的所述鬼影圖案中的一者轉換成所述後期引腳圖案。 The method for generating the layout of a semiconductor device as described in the scope of patent application 1, wherein creating the preliminary pin pattern includes laying out a ghost pattern containing pin information of the wiring step, and converting the preliminary lead pattern The foot pattern being the late lead pattern includes converting one of the ghost patterns hitting the high-level interconnect layout into the late lead pattern. 如申請專利範圍第4項所述的生成半導體裝置的佈局的方法,其中所述鬼影圖案中的至少一者具有由光刻製程中的技術限制因素所確定的最小特徵大小。 The method for generating the layout of a semiconductor device as described in claim 4, wherein at least one of the ghost patterns has a minimum feature size determined by technical limitation factors in the photolithography process. 如申請專利範圍第1項所述的生成半導體裝置的佈局的方法,其中轉換所述初步引腳圖案為所述後期引腳圖案包括在移除所述初步引腳圖案的第二區的同時保留所述初步引腳圖案的第一區,且所述第一區包括欲連接至所述高階互連佈局的第一命中區。 The method for generating the layout of a semiconductor device as described in claim 1, wherein converting the preliminary pin pattern into the later pin pattern includes removing the second area of the preliminary pin pattern while retaining The first area of the preliminary pin pattern, and the first area includes a first hit area to be connected to the high-level interconnect layout. 如申請專利範圍第6項所述的生成半導體裝置的佈局的方法,其中所述第一區更包括欲連接至所述標準胞元佈局的邏輯佈局的第二命中區。 The method for generating the layout of a semiconductor device as described in the scope of patent application, wherein the first area further includes a second hit area to be connected to the logic layout of the standard cell layout. 如申請專利範圍第1項所述的生成半導體裝置的佈局的方法,更包括提供多個胞元佈局,所述多個胞元佈局分別基於所述標準胞元佈局,其中所述胞元佈局具有彼此不同的互連佈局,且 轉換所述初步引腳圖案為所述後期引腳圖案包括基於所述命中資訊而以所述胞元佈局中的一者取代所述標準胞元佈局。 The method for generating the layout of a semiconductor device as described in the first item of the scope of patent application further includes providing a plurality of cell layouts, the plurality of cell layouts are respectively based on the standard cell layout, wherein the cell layout has Mutually different interconnection layouts, and Converting the preliminary pin pattern into the later pin pattern includes replacing the standard cell layout with one of the cell layouts based on the hit information. 如申請專利範圍第8項所述的生成半導體裝置的佈局的方法,其中所述胞元佈局的所述互連佈局包括在大小方面彼此不同的相應引腳圖案。 The method of generating the layout of a semiconductor device as described in the scope of patent application, wherein the interconnection layout of the cell layout includes corresponding pin patterns that are different from each other in size. 如申請專利範圍第1項所述的生成半導體裝置的佈局的方法,更包括:在所述布線步驟之前,佈局多個所述標準胞元佈局。 The method for generating the layout of a semiconductor device as described in the first item of the scope of patent application further includes: prior to the wiring step, laying out a plurality of the standard cell layouts. 如申請專利範圍第10項所述的生成半導體裝置的佈局的方法,其中所述標準胞元佈局中的每一者包括與所述標準胞元佈局的其餘者中的每一者的邏輯佈局相同的邏輯佈局,且產生所述後期引腳圖案包括分別在所述標準胞元佈局中產生在大小方面彼此不同的後期引腳圖案。 The method for generating the layout of a semiconductor device as described in the scope of patent application 10, wherein each of the standard cell layouts includes the same logic layout as each of the rest of the standard cell layouts And generating the late pin patterns includes generating late pin patterns different in size from each other in the standard cell layout, respectively. 一種設計半導體裝置的佈局的方法,包括:在胞元庫中提供第一標準胞元佈局及第二標準胞元佈局,提供所述第一標準胞元佈局及所述第二標準胞元佈局包括分別在所述第一標準胞元佈局及所述第二標準胞元佈局上佈局第一初步引腳圖案及第二初步引腳圖案,且每個所述第一初步引腳圖案及所述第二初步引腳圖案與所述半導體裝置的下部金屬層相關聯;佈局所述第一標準胞元佈局及所述第二標準胞元佈局;實行布線步驟,以將所述第一初步引腳圖案及所述第二初步引腳圖案連接至高階互連佈局,每個所述高接互連布局代表配置 於所述下部金屬層上的所述半導體裝置的上部層階金屬互連;以及基於在所述布線步驟之後所獲得的命中資訊而分別將所述第一初步引腳圖案及所述第二初步引腳圖案轉換為第一引腳圖案及第二引腳圖案,所述第一引腳圖案及所述第二引腳圖案代表所述半導體裝置的所述下部金屬層的下部層階金屬互連,其中所述第一初步引腳圖案與所述第二初步引腳圖案在大小及排列方面彼此相同,且所述第一引腳圖案與所述第二引腳圖案在大小及排列方面彼此不同。 A method for designing the layout of a semiconductor device includes: providing a first standard cell layout and a second standard cell layout in a cell library, and providing the first standard cell layout and the second standard cell layout includes A first preliminary pin pattern and a second preliminary pin pattern are respectively laid out on the first standard cell layout and the second standard cell layout, and each of the first preliminary pin pattern and the second Two preliminary pin patterns are associated with the lower metal layer of the semiconductor device; the first standard cell layout and the second standard cell layout are laid out; the wiring step is performed to connect the first preliminary pin The pattern and the second preliminary pin pattern are connected to a high-level interconnect layout, each of the high-level interconnect layouts represents a configuration The upper level metal interconnection of the semiconductor device on the lower metal layer; and based on the hit information obtained after the wiring step, the first preliminary pin pattern and the second The preliminary pin pattern is converted into a first pin pattern and a second pin pattern, and the first pin pattern and the second pin pattern represent the lower level metal interconnection of the lower metal layer of the semiconductor device. Connection, wherein the first preliminary pin pattern and the second preliminary pin pattern are the same in size and arrangement, and the first pin pattern and the second pin pattern are mutually the same in size and arrangement. different. 如申請專利範圍第12項所述的設計半導體裝置的佈局的方法,其中所述第一標準胞元佈局及所述第二標準胞元佈局中的每一者包括具有相同電路的相同邏輯佈局。 The method for designing the layout of a semiconductor device as described in claim 12, wherein each of the first standard cell layout and the second standard cell layout includes the same logic layout with the same circuit. 如申請專利範圍第12項所述的設計半導體裝置的佈局的方法,其中所述第一引腳圖案及所述第二引腳圖案中的每一者就大小而言小於所述第一初步引腳圖案及所述第二初步引腳圖案中的每一者。 The method for designing the layout of a semiconductor device as described in claim 12, wherein each of the first pin pattern and the second pin pattern is smaller in size than the first preliminary introduction Each of the foot pattern and the second preliminary pin pattern. 如申請專利範圍第12項所述的設計半導體裝置的佈局的方法,其中所述第一標準胞元佈局上的命中資訊不同於所述第二標準胞元佈局上的命中資訊。 The method for designing the layout of a semiconductor device as described in claim 12, wherein the hit information on the first standard cell layout is different from the hit information on the second standard cell layout. 如申請專利範圍第12項所述的設計半導體裝置的佈局的方法,其中佈局所述第一初步引腳圖案及所述第二初步引腳圖 案中的每一者包括佈局其中含有所述布線步驟的引腳資訊的鬼影圖案,且轉換所述第一初步引腳圖案及所述第二初步引腳圖案為所述第一引腳圖案及所述第二引腳圖案中的每一者包括當所述鬼影圖案中的第一鬼影圖案及第二鬼影圖案命中所述高階互連佈局時,分別將所述鬼影圖案中的所述第一鬼影圖案及所述第二鬼影圖案轉換成所述第一引腳圖案及所述第二引腳圖案。 The method for designing the layout of a semiconductor device as described in claim 12, wherein the first preliminary pin pattern and the second preliminary pin pattern are laid out Each of the cases includes laying out a ghost pattern containing pin information of the wiring step, and converting the first preliminary pin pattern and the second preliminary pin pattern to the first pin Each of the pattern and the second pin pattern includes when the first ghost pattern and the second ghost pattern in the ghost pattern hit the high-level interconnect layout, the ghost pattern The first ghost pattern and the second ghost pattern in are converted into the first pin pattern and the second pin pattern. 如申請專利範圍第12項所述的設計半導體裝置的佈局的方法,其中轉換所述第一初步引腳圖案及所述第二初步引腳圖案為所述第一引腳圖案及所述第二引腳圖案中的每一者包括:保留所述第一初步引腳圖案及所述第二初步引腳圖案中的每一者的第一區,並移除所述第一初步引腳圖案及所述第二初步引腳圖案中的每一者的除所述第一區外的第二區,且所述第一區包括欲連接至所述高階互連佈局的命中區。 The method for designing the layout of a semiconductor device according to claim 12, wherein the first preliminary pin pattern and the second preliminary pin pattern are converted into the first pin pattern and the second Each of the pin patterns includes: retaining the first area of each of the first preliminary pin pattern and the second preliminary pin pattern, and removing the first preliminary pin pattern and Each of the second preliminary pin patterns has a second area other than the first area, and the first area includes a hit area to be connected to the high-level interconnect layout. 如申請專利範圍第12項所述的設計半導體裝置的佈局的方法,更包括:提供多個第一胞元佈局,所述多個第一胞元佈局中的每一者對應於所述第一標準胞元佈局;以及提供多個第二胞元佈局,所述多個第二胞元佈局中的每一者對應於所述第二標準胞元佈局,其中所述多個第一胞元佈局分別包括不同的互連佈局,所述多個第二胞元佈局分別包括不同的互連佈局, 轉換所述第一初步引腳圖案為所述第一引腳圖案包括基於所述命中資訊而以所述第一胞元佈局中的一者替換掉所述第一標準胞元佈局,且轉換所述第二初步引腳圖案為所述第二引腳圖案包括基於所述命中資訊而以所述第二胞元佈局中的一者替換掉所述第二標準胞元佈局。 The method for designing the layout of a semiconductor device as described in claim 12, further includes: providing a plurality of first cell layouts, each of the plurality of first cell layouts corresponding to the first Standard cell layout; and providing a plurality of second cell layouts, each of the plurality of second cell layouts corresponds to the second standard cell layout, wherein the plurality of first cell layouts Respectively include different interconnection layouts, and the plurality of second cell layouts respectively include different interconnection layouts, Converting the first preliminary pin pattern to the first pin pattern includes replacing the first standard cell layout with one of the first cell layouts based on the hit information, and converting all The second preliminary pin pattern is that the second pin pattern includes replacing the second standard cell layout with one of the second cell layouts based on the hit information. 一種製作半導體裝置的方法,包括:產生所述半導體裝置的裝置佈局的過程,其中所述過程包括:取得標準胞元佈局及互連佈局,所述標準胞元佈局包括所述半導體裝置的主動式元件及/或區的佈局,所述互連佈局包括初步引腳圖案,所述初步引腳圖案界定所述半導體裝置中的含有欲電性連接至所述主動式元件及/或區中的至少一者的下部接觸窗的位置的區,實行布線步驟,包括在所述標準胞元佈局上覆蓋高階互連圖案及上部接觸窗圖案,其中所述高階互連圖案與所述初步引腳圖案交叉且所述高階互連圖案代表所述半導體裝置的高階互連,且所述上部接觸窗圖案放置於所述高階互連圖案與所述初步引腳圖案的交叉處並代表所述半導體裝置的上部接觸窗的位置,基於所述布線步驟,生成表示所述上部接觸窗的所述位置的命中資訊,以及使用所述命中資訊生成後期引腳圖案,所述後期引腳圖案代表所述半導體裝置中含有所述下部接觸窗及所述上部接觸窗二者 的區;以及使用所述裝置佈局製造所述半導體裝置,其中製造所述半導體裝置包括:在基板的上部部分處形成基於所述標準胞元佈局而佈局的主動式元件及/或區,在所述基板上形成彼此疊置的多層金屬線,且形成將所述多層金屬線連接至所述主動式元件的接觸窗,其中所述多層金屬線包括下部層階金屬層及上部層階金屬層,所述下部層階金屬層包括與所述後期引腳圖案對應的下部層階金屬互連,且所述上部層階金屬層包括與所述高階互連對應的上部層階金屬互連,且所述接觸窗包括第一接觸窗及第二接觸窗,所述第一接觸窗對應於所述下部接觸窗且夾置於所述下部層階金屬互連與所述主動式元件中的至少一者之間並將所述下部層階金屬互連電性連接至所述主動式元件中的所述至少一者,所述第二接觸窗對應於所述上部接觸窗且夾置於所述下部層階金屬互連與所述上部層階金屬互連之間並電性連接所述下部層階金屬互連與所述上部層階金屬互連。 A method of manufacturing a semiconductor device includes: a process of generating a device layout of the semiconductor device, wherein the process includes: obtaining a standard cell layout and an interconnection layout, the standard cell layout including the active type of the semiconductor device The layout of the device and/or area, the interconnection layout includes a preliminary pin pattern, the preliminary pin pattern defines at least the semiconductor device that is to be electrically connected to the active device and/or area In one of the areas of the lower contact window, the wiring step is performed, including covering the high-level interconnect pattern and the upper contact window pattern on the standard cell layout, wherein the high-level interconnect pattern and the preliminary pin pattern And the high-level interconnection pattern represents the high-level interconnection of the semiconductor device, and the upper contact window pattern is placed at the intersection of the high-level interconnection pattern and the preliminary pin pattern and represents the high-level interconnection of the semiconductor device The position of the upper contact window, based on the wiring step, generates hit information indicating the position of the upper contact window, and uses the hit information to generate a post-pin pattern, the post-pin pattern representing the semiconductor The device contains both the lower contact window and the upper contact window And manufacturing the semiconductor device using the device layout, wherein the manufacturing of the semiconductor device includes: forming active elements and/or regions laid out based on the standard cell layout at the upper portion of the substrate, in the Forming a multi-layer metal line superimposed on each other on the substrate and forming a contact window connecting the multi-layer metal line to the active device, wherein the multi-layer metal line includes a lower level metal layer and an upper level metal layer, The lower-level metal layer includes a lower-level metal interconnection corresponding to the later pin pattern, and the upper-level metal layer includes an upper-level metal interconnection corresponding to the high-level interconnection, and The contact window includes a first contact window and a second contact window, the first contact window corresponding to the lower contact window and sandwiched between at least one of the lower level metal interconnection and the active element And electrically connect the lower level metal interconnection to the at least one of the active devices, and the second contact window corresponds to the upper contact window and is sandwiched between the lower layer A level metal interconnection and the upper level metal interconnection are electrically connected to the lower level metal interconnection and the upper level metal interconnection. 如申請專利範圍第19項所述的製作半導體裝置的方法,其中在產生所述裝置佈局的過程中的產生所述後期引腳圖案包括:產生所述後期引腳圖案作為面積較由所述初步引腳圖案所代表的區的面積小的區。 According to the method of manufacturing a semiconductor device according to item 19 of the scope of the patent application, the generating of the late-stage pin pattern in the process of generating the device layout includes: generating the late-stage pin pattern as the area determined by the preliminary The area represented by the pin pattern is a small area. 如申請專利範圍第19項所述的製作半導體裝置的方法,其中在產生所述裝置佈局的過程中的佈局所述初步引腳圖案包括佈局其中含有所述布線步驟的引腳資訊的鬼影圖案,且產生所述後期引腳圖案包括將所述鬼影圖案轉換成所述後期引腳圖案。 The method for fabricating a semiconductor device according to the 19th patent application, wherein the layout of the preliminary pin pattern in the process of generating the device layout includes the layout of ghosts containing pin information of the wiring step Pattern, and generating the post-lead pattern includes converting the ghost pattern into the post-lead pattern. 如申請專利範圍第19項所述的製作半導體裝置的方法,其中在產生所述裝置佈局的過程中的產生所述後期引腳圖案包括:在自所述裝置佈局中移除所述初步引腳圖案的第二區的同時,保留所述裝置佈局中的所述初步引腳圖案的第一區,且所述第一區包括被所述上部接觸窗圖案交疊的區。 The method of manufacturing a semiconductor device according to the 19th patent application, wherein the generating of the post-pin pattern in the process of generating the device layout includes: removing the preliminary pin from the device layout While the second area of the pattern remains, the first area of the preliminary pin pattern in the device layout is retained, and the first area includes an area overlapped by the upper contact window pattern. 如申請專利範圍第22項所述的製作半導體裝置的方法,其中所述第一區更包括與下部接觸窗圖案交疊的區。 The method for fabricating a semiconductor device as described in claim 22, wherein the first region further includes a region overlapping the lower contact pattern. 如申請專利範圍第19項所述的製作半導體裝置的方法,其中產生所述半導體裝置的所述裝置佈局的過程更包括:存取多個胞元佈局的資料庫,所述多個胞元佈局中的每一者均基於所述標準胞元佈局,且其中所述多個胞元佈局中的每一者均包括與所述胞元佈局的其餘者中的每一者的引腳圖案具有不同大小的引腳圖案,且產生所述後期引腳圖案包括以所述資料庫中所述多個胞元佈局中的一者的所述引腳圖案來取代所述標準胞元佈局的初步引腳圖案。 The method for fabricating a semiconductor device as described in claim 19, wherein the process of generating the device layout of the semiconductor device further includes: accessing a database of a plurality of cell layouts, and the plurality of cell layouts Each of the cell layouts is based on the standard cell layout, and wherein each of the plurality of cell layouts includes a pin pattern that is different from each of the rest of the cell layout Size of the pin pattern, and generating the late pin pattern includes replacing the preliminary pin of the standard cell layout with the pin pattern of one of the multiple cell layouts in the database pattern. 如申請專利範圍第19項所述的製作半導體裝置的方法,其中產生所述半導體裝置的所述裝置佈局的過程包括:並排地佈局所述標準胞元佈局中的兩者,所述布線步驟是對所述標準胞元佈局中的每一者實行,使得在所述標準胞元佈局中的每一者上覆蓋所述高階互連圖案中的相應者及所述上部接觸窗圖案中的相應者,以及對所述標準胞元佈局中的每一者生成相應的後期引腳圖案,所述後期引腳圖案中的每一者均代表所述半導體裝置中含有相應的下部接觸窗及相應的上部接觸窗二者的區,且所述後期引腳圖案在其大小方面彼此不同。 The method for fabricating a semiconductor device according to the 19th patent application, wherein the process of generating the device layout of the semiconductor device includes: laying out two of the standard cell layout side by side, and the wiring step Is implemented for each of the standard cell layouts, so that the corresponding ones of the high-level interconnect patterns and the corresponding ones of the upper contact window patterns are overlaid on each of the standard cell layouts And generate a corresponding late pin pattern for each of the standard cell layouts. Each of the late pin patterns represents that the semiconductor device contains a corresponding lower contact window and a corresponding Areas of both the upper contact window, and the late pin patterns are different from each other in their sizes.
TW105118474A 2015-07-30 2016-06-14 Method of producing layout of semiconductor device, method of designing layout of semiconductor device, and method of fabricating semiconductor device TWI704467B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2015-0108171 2015-07-30
KR20150108171 2015-07-30
KR1020150157565A KR102415952B1 (en) 2015-07-30 2015-11-10 Method of design layout of semiconductor device, and method for manufacturing semiconductor device using the same
KR10-2015-0157565 2015-11-10

Publications (2)

Publication Number Publication Date
TW201715422A TW201715422A (en) 2017-05-01
TWI704467B true TWI704467B (en) 2020-09-11

Family

ID=58154685

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105118474A TWI704467B (en) 2015-07-30 2016-06-14 Method of producing layout of semiconductor device, method of designing layout of semiconductor device, and method of fabricating semiconductor device

Country Status (3)

Country Link
US (1) USRE49780E1 (en)
KR (1) KR102415952B1 (en)
TW (1) TWI704467B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018118053A1 (en) 2017-07-28 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with improved layout
US10903239B2 (en) * 2017-07-28 2021-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with improved layout
US10503859B2 (en) * 2017-08-30 2019-12-10 Arm Limited Integrated circuit design and/or fabrication
US10559558B2 (en) 2017-09-29 2020-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pin modification for standard cells
US11803686B2 (en) 2021-08-16 2023-10-31 International Business Machines Corporation Selective exposure of standard cell output nets for improved routing solutions

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100565347C (en) * 2004-10-27 2009-12-02 株式会社瑞萨科技 The manufacture method of pattern formation method, semiconductor device and exposure mask set
TWI385757B (en) * 2005-07-06 2013-02-11 Renesas Electronics Corp Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
TWI454954B (en) * 2012-01-06 2014-10-01 Yao Ching Tseng Mask pattern layout method
US20150143309A1 (en) * 2013-11-19 2015-05-21 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291771A (en) 2000-04-04 2001-10-19 Mitsubishi Electric Corp Equipment and method for automatic arrangement and wiring
US6539533B1 (en) 2000-06-20 2003-03-25 Bae Systems Information And Electronic Systems Integration, Inc. Tool suite for the rapid development of advanced standard cell libraries
US6567967B2 (en) 2000-09-06 2003-05-20 Monterey Design Systems, Inc. Method for designing large standard-cell base integrated circuits
JP2002280456A (en) 2001-03-22 2002-09-27 Ricoh Co Ltd Semiconductor device comprising semiconductor standard cell and its layout method
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
US20040221253A1 (en) 2003-04-30 2004-11-04 James Imper ASIC routability improvement
JP2006156929A (en) 2004-04-19 2006-06-15 Fujitsu Ltd Semiconductor integrated circuit and designing method therefor
JP2005340461A (en) 2004-05-26 2005-12-08 Sharp Corp Semiconductor integrated circuit device
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
EP2079109A3 (en) 2004-11-05 2010-06-30 Fujitsu Semiconductor Limited Design method for semiconductor integrated circuit
US7343570B2 (en) 2005-11-02 2008-03-11 International Business Machines Corporation Methods, systems, and media to improve manufacturability of semiconductor devices
DE102007050610A1 (en) 2006-10-24 2008-05-08 Denso Corp., Kariya Semiconductor device, wiring of a semiconductor device and method for forming a wiring
CN100559576C (en) 2006-10-24 2009-11-11 株式会社电装 Semiconductor device
US7989849B2 (en) 2006-11-15 2011-08-02 Synopsys, Inc. Apparatuses and methods for efficient power rail structures for cell libraries
US8037441B2 (en) 2007-09-25 2011-10-11 International Business Machines Corporation Gridded-router based wiring on a non-gridded library
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8212295B2 (en) 2010-06-30 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. ROM cell circuit for FinFET devices
US8239807B2 (en) 2010-06-01 2012-08-07 Freescale Semiconductor, Inc Method of making routable layout pattern using congestion table
CN102339813A (en) 2010-07-14 2012-02-01 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
US8610275B2 (en) 2010-07-14 2013-12-17 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor contact structure including a spacer formed within a via and method of manufacturing the same
US8431968B2 (en) 2010-07-28 2013-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Electromigration resistant standard cell device
JP2013030602A (en) 2011-07-28 2013-02-07 Panasonic Corp Semiconductor integrated circuit device
US9292644B2 (en) 2011-08-12 2016-03-22 William Loh Row based analog standard cell layout design and methodology
KR101937851B1 (en) 2012-06-27 2019-04-10 삼성전자 주식회사 Semiconductor integrated circuit, method of designing the same, and method of fabricating the same
US9576978B2 (en) 2012-10-09 2017-02-21 Samsung Electronics Co., Ltd. Cells including at least one fin field effect transistor and semiconductor integrated circuits including the same
US8788998B2 (en) 2012-12-21 2014-07-22 Broadcom Corporation Non-integer height standard cell library
US9047433B2 (en) 2013-02-27 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Cell and macro placement on fin grid
JP6155725B2 (en) 2013-03-19 2017-07-05 富士電機株式会社 Semiconductor device inspection method and semiconductor device manufacturing method using the same
US8959472B1 (en) 2013-09-27 2015-02-17 Arm Limited Considering compatibility of adjacent boundary regions for standard cells placement and routing
KR102233211B1 (en) 2013-10-11 2021-03-29 삼성전자주식회사 Semiconductor device
US9928333B2 (en) * 2015-07-30 2018-03-27 Samsung Electronics Co., Ltd. Methods of designing a layout of a semiconductor device including field effect transistor and methods of manufacturing a semicondutor device using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100565347C (en) * 2004-10-27 2009-12-02 株式会社瑞萨科技 The manufacture method of pattern formation method, semiconductor device and exposure mask set
TWI385757B (en) * 2005-07-06 2013-02-11 Renesas Electronics Corp Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
TWI454954B (en) * 2012-01-06 2014-10-01 Yao Ching Tseng Mask pattern layout method
US20150143309A1 (en) * 2013-11-19 2015-05-21 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component

Also Published As

Publication number Publication date
KR20170015835A (en) 2017-02-09
USRE49780E1 (en) 2024-01-02
KR102415952B1 (en) 2022-07-05
TW201715422A (en) 2017-05-01

Similar Documents

Publication Publication Date Title
US11404443B2 (en) Semiconductor device
US10037401B2 (en) Methods of designing a layout of a semiconductor device including field effect transistor and methods of manufacturing a semiconductor device using the same
CN108538829B (en) Semiconductor device and method for manufacturing the same
TWI674661B (en) Semiconductor device and method of fabricating the same
TWI704467B (en) Method of producing layout of semiconductor device, method of designing layout of semiconductor device, and method of fabricating semiconductor device
US10026688B2 (en) Semiconductor device and method of fabricating the same
US10332870B2 (en) Semiconductor device including a field effect transistor
US11302636B2 (en) Semiconductor device and manufacturing method of the same
US10403619B2 (en) Semiconductor device having shared power line connections and method of manufacturing the same
US11557585B2 (en) Semiconductor device including a field effect transistor
KR20160105263A (en) System on chip and method of design layout for the same
US9846754B2 (en) Method of fabricating semiconductor device
KR20170027241A (en) Semiconductor device