JP2010245454A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法 Download PDF

Info

Publication number
JP2010245454A
JP2010245454A JP2009095295A JP2009095295A JP2010245454A JP 2010245454 A JP2010245454 A JP 2010245454A JP 2009095295 A JP2009095295 A JP 2009095295A JP 2009095295 A JP2009095295 A JP 2009095295A JP 2010245454 A JP2010245454 A JP 2010245454A
Authority
JP
Japan
Prior art keywords
contact
semiconductor device
insulating film
gate electrode
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009095295A
Other languages
English (en)
Inventor
Keiichi Harashima
啓一 原島
Hiroyuki Maeda
博之 前田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Renesas Electronics Corp
Original Assignee
Toshiba Corp
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Renesas Electronics Corp filed Critical Toshiba Corp
Priority to JP2009095295A priority Critical patent/JP2010245454A/ja
Priority to US12/756,399 priority patent/US20100258873A1/en
Publication of JP2010245454A publication Critical patent/JP2010245454A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】製造工程の増加を抑えて、通常のコンタクトとシェアードコンタクトとをそれぞれ良好なコンタクト特性を有するようにする。
【解決手段】半導体装置100は、第1の不純物拡散領域106aに接続するとともに、第1のゲート電極112aとは接続しないように形成された第1のコンタクト124と、第2のゲート電極112bおよび第2の不純物拡散領域106bに共通して接続するように形成された第2のコンタクト126とを含む。第1のコンタクト124および第2のコンタクト126は、それぞれ、層間絶縁膜122の表面から基板101に向かう途中の位置でテーパー角度が小さくなるように変化する形状を有し、第2のコンタクト126においてテーパー角度が変化する位置が、第1のコンタクト124においてテーパー角度が変化する位置よりも基板101に近い。
【選択図】図2

Description

本発明は、半導体装置およびその製造方法に関する。
近年、半導体デバイスの微細化により、隣接するゲート電極間距離は狭スペース化している。そのため、ゲート電極間の半導体表面に形成されている低抵抗拡散層とたとえば上層の配線層とを接続するコンタクトをゲート電極間に形成する場合、当該コンタクトがゲート電極と接続しないようにコンタクトホールを形成したい場合でも、コンタクトホール内に埋め込まれた導電物とゲート電極とがショートする危険性が大きくなっている。このようなショートを防ぐためには、コンタクトホールのホール径を縮小する必要がある。しかし、リソグラフィプロセスでレジストパターンの開口面積を制御性よく小さくしてデバイス微細化要求に応えようとしても限界がある。たとえば、リソグラフィプロセスでの開口径の限界が60〜70nmでも、ホール径40nm程度のコンタクトホールを形成する必要があることがある。このような場合、エッチング過程でコンタクトホールのホール径が徐々に縮小するようなエッチング条件でコンタクトホールを形成する必要がある。
引用文献1(特表2007−505492号公報)には、形成したレジストパターンよりも寸法を縮小した形状を得る技術が記載されている。
一方、たとえばSRAM(Static Random Access Memory)においては、拡散層とゲート電極とを一つのコンタクトホールで接続するシェアードコンタクトが使用されている。シェアードコンタクトは、一つのコンタクトホール内に拡散層とゲート電極の両方につながる部分を設ける構造で、微細化とコンタクトの低抵抗化を両立させるために用いられている。シェアードコンタクトは、ゲート電極や拡散層との接触領域を確保するため、ある程度以上の平面面積を有する構成とする必要がある。通常のコンタクトが平面視でほぼ真円(マスク上の形状は正方形)であるのに対して、シェアードコンタクトは楕円形となっており、通常のコンタクトよりも平面視での面積が大きい。
このように通常のコンタクトとシェアードコンタクトとは平面面積が異なるため、これらを形成するためのコンタクトホールの開口面積も異なる。そのため、通常のコンタクトのコンタクトホールとシェアードコンタクトのコンタクトホールとを同時に形成する場合、エッチング速度が異なる等の問題がある。
引用文献2(特開2008−124133号公報)には、ゲート電極間で拡散層領域に接続されるコンタクトホールおよびゲート電極と拡散層に同時に接続されるシェアードコンタクトホールを同時に形成する技術が記載されている。ここでは、シェアードコンタクトを形成する領域に、第一のライナ膜および第二のライナ膜を重なるように成膜した積層体としている。これにより、シェアードコンタクト領域での過剰なエッチングを防止することができるとされている。
また、引用文献3(特開平05−283374号公報)には、層間絶縁膜に高次フルオロカーボン化合物を用いて開口径の小さい接続孔と開口径の大きい接続孔とを形成する際のエッチングをジャストエッチング工程とオーバーエッチング工程の2段階に分けて行う例が記載されている。このような場合、開口径の小さい第1の接続孔の内部では炭素系ポリマーの燃焼除去効率が低下して過剰に堆積し易くなり、接続孔の側壁面のテーパー化や、エッチング速度の低下が起こり、残余部が残ってしまう。そのため、当該文献において、オーバーエッチング工程で、Oの含量比を減少させることにより炭素系ポリマーの堆積をローディング効果を助長しない程度に高め、かつRFパワー密度を低下させて入射イオン・エネルギーを低減させている。これにより、下層配線に対する選択性を高め、ダメージを低下させた条件で、開口径の小さい第1の接続孔内部の残余部を除去するようにしている。
特表2007−505492号公報 特開2008−124133号公報 特開平05−283374号公報
しかし、通常のコンタクトのコンタクトホールとシェアードコンタクトのコンタクトホールとを同時に形成する場合、通常のコンタクトとゲート電極とのショートを防ぐとともにシェアードコンタクトにおけるゲート電極や拡散層との接触領域を充分に確保するのは困難だった。
図6を参照して説明する。半導体装置10は、基板1と、基板1に形成された素子分離領域2および不純物拡散領域6(拡散層)と、基板1上に形成されたゲート絶縁膜11、ゲート電極12、サイドウォール14、カバー絶縁膜20、および層間絶縁膜22を含む。
このような半導体装置10に、エッチング過程でコンタクトホールのホール径が徐々に縮小するようなエッチング条件で、通常のコンタクトホールとシェアードコンタクトホールとを同時に形成する手順を説明する。まず、層間絶縁膜22の上に、開口部32および開口部34が形成されたレジスト膜30を形成し、レジスト膜30をマスクとして、層間絶縁膜22およびカバー絶縁膜20をエッチングする。ここで、開口部32は、通常のコンタクトホールを形成するための開口パターンで、開口部34は、シェアードコンタクトホールを形成するための開口パターンである。開口部34の方が開口部32よりも開口面積が大きい。
ここで、エッチング過程でコンタクトホールのホール径が徐々に縮小するようなエッチング条件でコンタクトホールを形成する場合、コンタクトホールのホール径が大きいほど、テーパー角度が小さくなる傾向がある。つまり、コンタクトホール38の方が、コンタクトホール36よりも、テーパー角度が小さくなる。テーパー角度は、基板1表面とコンタクトホールの側壁とがなす角度の層間絶縁膜22側(90°以下)と定義する。図示した例では、コンタクトホール38のテーパー角度θが、コンタクトホール36のテーパー角度θよりも小さい。そのため、開口面積が大きいコンタクトホール38の方がレジスト膜30の開口部34の開口面積とコンタクトホール38の底面の開口面積との差(以降、加工変換差と記載する)が、コンタクトホール36の加工変換差より大きくなってしまう。
つまり、コンタクトホール38において、底面の面積が小さくなりすぎ、シェアードコンタクトにおけるゲート電極12や拡散層6との接触領域40を充分に確保することが困難になる場合が発生する。一方、全体的にテーパー角度が大きくなる条件でエッチングしようとすると、通常のコンタクトの底面の面積が大きくなりすぎ、通常のコンタクトとゲート電極とのショートを防ぐことが困難になる場合が発生する。また、コンタクトホール36とコンタクトホール38とを異なるエッチング条件で別々に形成しようとすると、リソグラフィによるパターン形成を2回行う必要が生じ、工程数が増大し、半導体装置のコスト増加を招く。
さらに、開口部34の開口面積を大きくしようとすると、セル面積の増大につながるためデバイスの高集積化には不利となる。
本発明によれば、
基板と、
前記基板上にそれぞれゲート絶縁膜を介して形成された第1のゲート電極および第2のゲート電極と、
前記第1のゲート電極の側方に形成された第1の不純物拡散領域と、
前記第2のゲート電極の側方に形成された第2の不純物拡散領域と、
前記基板上の全面に形成された絶縁膜と、
前記第1の不純物拡散領域に接続するとともに、前記第1のゲート電極とは接続しないように前記絶縁膜に形成された第1のコンタクトと、
前記第2のゲート電極および前記第2の不純物拡散領域に共通して接続するように前記絶縁膜に形成された第2のコンタクトと、
を含み、
前記第1のコンタクトおよび前記第2のコンタクトは、それぞれ、前記絶縁膜の表面から前記基板に向かう途中の位置でテーパー角度が小さくなるように変化する形状を有し、前記第2のコンタクトにおいてテーパー角度が変化する位置が、前記第1のコンタクトにおいてテーパー角度が変化する位置よりも前記基板に近い半導体装置が提供される。
本発明によれば、
基板上にそれぞれゲート絶縁膜を介して形成された第1のゲート電極および第2のゲート電極と、
前記第1のゲート電極の側方に形成された第1の不純物拡散領域と、
前記第2のゲート電極の側方に形成された第2の不純物拡散領域と、
前記基板上の全面に形成された絶縁膜と、
を含む半導体装置の前記絶縁膜上に、前記第1の不純物拡散領域に接続するとともに、前記第1のゲート電極とは接続しない第1のコンタクトホールを形成するための第1の開口部、および前記第2のゲート電極および前記第2の不純物拡散領域に共通して接続する第2のコンタクトホールを形成するための第2の開口部を有するレジスト膜を形成する工程と、
前記レジスト膜をマスクとして、第1のエッチング条件で前記絶縁膜を途中までエッチングする工程と、
前記絶縁膜を途中までエッチングする工程の後、前記第1のエッチング条件よりも、前記絶縁膜に形成されるコンタクトホールの径が前記基板に近づくにつれて縮小しやすい第2のエッチング条件で、前記絶縁膜をエッチングして、前記絶縁膜に前記第1のコンタクトホールおよび前記第2のコンタクトホールを形成する工程と、
を含む半導体装置の製造方法が提供される。
この構成によれば、シェアードコンタクトである第2のコンタクトにおいて、加工変換差を小さくできる。これにより、第2のコンタクトと第2の不純物拡散領域および第2のゲート電極との接触領域を確保しつつ、狭スペースの第1のゲート電極間に形成される第1のコンタクトと第1のゲート電極とのショートマージンを向上させることができる。これにより半導体装置の歩留まり安定性が向上する。
また、第1のコンタクトホールと第2のコンタクトホールとを、同時に1度のリソグラフィプロセスで形成できるため、工程数増加を防ぎ、製造コストを抑制することができる。また、セル面積の増大も防ぐことができる。
なお、以上の構成要素の任意の組合せ、本発明の表現を方法、装置などの間で変換したものもまた、本発明の態様として有効である。
本発明によれば、製造工程の増加を抑えて、通常のコンタクトとシェアードコンタクトとをそれぞれ良好なコンタクト特性を有するようにすることができる。
本発明の実施の形態における半導体装置の構成を示す平面図である。 本発明の実施の形態における半導体装置の構成を示す断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。 従来の問題点を説明するための断面図である。
以下、本発明の実施の形態について、図面を用いて説明する。尚、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。
図1は、本実施の形態における半導体装置の構成を示す平面図である。図2は、図1のa−a’断面およびb−b’断面を示す断面図である。
半導体装置100は、基板101と、基板101に形成された素子分離領域102と、素子分離領域102およびゲート電極構造により分離された第1の不純物拡散領域106aおよび第2の不純物拡散領域106bと、基板101上に形成されたゲート絶縁膜110と、ゲート絶縁膜110を介して形成された第1のゲート電極112aおよび第2のゲート電極112bとを含む。ここで、不純物拡散領域(106a、106b)はドーパントのイオン注入と活性加熱処理で形成されるが、素子分離領域102表面は絶縁物であり、ゲート電極(もしくはそれに代わるダミー構造)をマスクとしてイオン注入が行われるため、第1の不純物拡散領域106aおよび第2の不純物拡散領域106bは、平面視で素子分離領域102とゲート電極(112a、112b)で囲まれ、分離されることになる。半導体装置100は、基板101上において、第1のゲート電極112aおよび第2のゲート電極112bの両側方にそれぞれ形成された、サイドウォール114と、基板101上の全面に形成されたカバー絶縁膜120と、カバー絶縁膜120上に形成された層間絶縁膜122(絶縁膜)と、層間絶縁膜122に形成された第1のコンタクト124および第2のコンタクト126とをさらに含む。図1では層間絶縁膜122を省略している。
ここで、第1の不純物拡散領域106aは、第1のゲート電極112aの両側方に形成されている。第1のコンタクト124は、第1の不純物拡散領域106aに接続して設けられているが、第1のゲート電極112aとは接続していない。第1のコンタクト124は、通常のコンタクトである。第2の不純物拡散領域106bは、第2のゲート電極112bの側方に形成されている。第2のコンタクト126は、第2のゲート電極112bおよび第2の不純物拡散領域106bに共通して接続して設けられる。第2のコンタクト126は、シェアードコンタクトである。
層間絶縁膜122の上面における平面視で、第2のコンタクト126の面積は第1のコンタクト124の面積よりも大きい。また、本実施の形態において、層間絶縁膜122の上面における平面視で、第1のコンタクト124の形状はほぼ真円であり、第2のコンタクト126は楕円形である。
本実施の形態において、第1のコンタクト124および第2のコンタクト126は、それぞれ、層間絶縁膜122の表面から基板101の方向に向かう途中の位置でテーパー角度が小さくなるように変化する形状を有する。ここで、第2のコンタクト126においてテーパー角度が変化する位置が、第1のコンタクト124においてテーパー角度が変化する位置よりも基板101に近い。ここで、第2のコンタクト126におけるテーパー角度の変化する位置が、第2のゲート電極112bの上面よりも基板101に近い下方に存在する構成とすることができる。また、第1のコンタクト124におけるテーパー角度の変化する位置が、第1のゲート電極112aの上面よりも基板101から遠い上方に存在する構成とすることができる。
ここで、第2のコンタクト126のテーパー角度θは、第1のコンタクト124のテーパー角度θよりも小さい構成とすることができる。なお、テーパー角度は、基板101表面とコンタクトホールの側壁とがなす角度の層間絶縁膜122側(90°以下)と定義する。
本実施の形態において、第1のコンタクト124および第2のコンタクト126は、それぞれ、層間絶縁膜122の表面からテーパー角度が変化する途中の位置まではほぼ等しい径を有し、途中の位置から基板101の方向に向かうにつれて径が徐々に縮小する形状を有する構成とすることができる。
本実施の形態において、半導体装置100は、MOSFET(Metal-Oxide-Semiconductor Field-Effect Transistor)とすることができる。また、基板101は、シリコン基板等の半導体基板とすることができる。
次に、図1から図5を参照して、本実施の形態における半導体装置100の製造手順を説明する。
まず、既知のトランジスタ製造プロセスによって、基板101上に、トランジスタを形成する。具体的には、まず、基板101表面に溝を形成し、たとえば酸化シリコン等を埋込むことにより素子分離領域102を形成する。つづいて、基板101上の全面にゲート絶縁膜110を形成する。ゲート絶縁膜110は、たとえば、酸化シリコン、酸窒化シリコン、Hf、La、Al等を含有する化合物の酸化物や酸窒化物やシリケート、またはこれらの積層膜により構成することができる。次いで、ゲート絶縁膜110上にゲート電極を構成する導電膜を形成する。ゲート電極を構成する導電膜は、たとえば、ポリシリコン、アモルファスシリコン、Ti、Ta、W等の金属を含有する化合物、またはこれらの積層膜により構成することができる。この後、導電膜を所定形状にパターニングして、第1のゲート電極112aおよび第2のゲート電極112bを含む複数のゲート電極を形成する。ここで、第1のゲート電極112aおよび第2のゲート電極112bの上面高さは略等しい構成とすることができる。ここで、基板101表面から第1のゲート電極112aおよび第2のゲート電極112bの上面までの高さは、たとえば50nm以上150nm以下程度とすることができる。
つづいて、ゲート電極をマスクとして、基板101に不純物イオンを注入し、第1の不純物拡散領域106aおよび第2の不純物拡散領域106bの一部となるSDエクステンション(ソースドレインエクステンション)領域を形成する。次いで、ゲート電極の両側方にサイドウォール114を形成する。サイドウォール114は、たとえば、酸化シリコン、窒化シリコン、またはこれらの積層膜により構成することができる。この後、ゲート電極およびサイドウォール114をマスクとして、基板101に不純物イオンを注入し、第1の不純物拡散領域106aおよび第2の不純物拡散領域106bを含む複数の不純物拡散領域を形成する。
また、ここでは図示していないが、ゲート電極および不純物拡散層領域の各表面には、それぞれW,Ti,Co,Ni等の材質からなる金属シリサイド層を形成することもできる。
つづいて、基板101上の全面にカバー絶縁膜120および層間絶縁膜122をこの順で形成する。カバー絶縁膜120は、たとえばシリコン窒化膜とすることができる。層間絶縁膜122は、たとえば酸化シリコンにより構成することができる。カバー絶縁膜120は、層間絶縁膜122のエッチングストッパ膜として機能する。ここで、カバー絶縁膜120の膜厚は、たとえば30nm以上100nm以下程度とすることができる。また、層間絶縁膜122の膜厚は、たとえば150nm以上400nm以下程度とすることができる。
この後、層間絶縁膜122上に、リソグラフィプロセスにより、コンタクトホールを形成するための開口部が形成されたレジスト膜130を形成する。レジスト膜130には、第1のコンタクト124に対応するコンタクトホールを形成するための第1の開口部132と、第2のコンタクト126に対応するコンタクトホールを形成するための第2の開口部134とが設けられている(図3)。ここで、第2の開口部134の開口面積は、第1の開口部132の開口面積よりも大きい。開口面積比は、たとえば2.0以上とすることによって本発明の効果を充分に発揮させることができる。
なお、ここでは図示していないが、レジスト膜130は、反射防止膜(ARC)を含むこともでき、また下層レジスト膜、SOGまたは酸化膜、反射防止膜、および上層レジスト膜等がこの順で積層された多層レジスト膜とすることもできる。
このような構成のレジスト膜130をマスクとして用いて、層間絶縁膜122をエッチングして第1のコンタクトホール136および第2のコンタクトホール138を形成する。本実施の形態において、第1のエッチング条件で層間絶縁膜122を途中までエッチングした後、第1のエッチング条件よりも、層間絶縁膜122に形成されるコンタクトホールの径が基板101に近づくにつれて縮小しやすい第2のエッチング条件で、層間絶縁膜122をエッチングする。この後、基板101表面や第2のゲート電極112b表面にカバー絶縁膜120が残っている場合は、カバー絶縁膜120を除去する。これにより、層間絶縁膜122に、基板101に達する第1のコンタクトホール136および第2のコンタクトホール138を形成する。
まず、第1のエッチング条件で層間絶縁膜122を途中までエッチングする(図4)。第1のエッチング条件では、第1のコンタクトホール136および第2のコンタクトホール138が、それぞれ、レジスト膜130の第1の開口部132および第2の開口部134の開口面積を保つように層間絶縁膜122をエッチングする。つまり、第1のエッチング条件は、コンタクトホール側壁が垂直形状に近くなるようなエッチング条件とすることができる。
このとき、開口面積(ホール径)が大きいほど、マイクロローディング効果が大きいためにエッチングレートが高くなり、第1のコンタクトホール136よりも第2のコンタクトホール138の方が深く形成される。つまり、この段階で、第2のコンタクトホール138の深さhは、第1のコンタクトホール136の深さhよりも深い。本実施の形態において、第1のエッチング条件によるエッチングは、第1のコンタクトホール136の深さhが第1のゲート電極112aの上面の高さに到達する前、すなわち第1のコンタクトホール136の深さhが第1のゲート電極112aの上面の高さよりも高い段階で終了する。また、第1のエッチング条件によるエッチングは、第2のコンタクトホール138の深さhが第2のゲート電極112bの上面の高さに到達した後、すなわち第2のコンタクトホール138の深さhが第2のゲート電極112bの上面の高さよりも深いが、基板101にまで到達していない段階で終了することができる。
次いで、第2のエッチング条件で層間絶縁膜122をエッチングして、第1のコンタクトホール136および第2のコンタクトホール138をさらに深くする。第2のエッチング条件では、第1のコンタクトホール136および第2のコンタクトホール138の径が、それぞれ、基板101に近づくにつれて縮小するように層間絶縁膜122をエッチングする。第2のエッチング条件によるエッチングは、第1のコンタクトホール136および第2のコンタクトホール138が、カバー絶縁膜120または基板101に到達するまで行う。この後、基板101表面や第2のゲート電極112b表面にカバー絶縁膜120が残っている場合は、カバー絶縁膜120をエッチングできる条件で、カバー絶縁膜120を除去する(図5)。この第2のエッチングの途中で第1のコンタクトホール136開口底部は第1のゲート電極112a高さに達するが、この時には径が縮小するエッチングになっていることにより、第1の開口部132と第1のゲート電極112aが仮に平面視で重なるような目合せ状態になっていたとしても、ゲート電極112a上に開口部が形成されるのを避けることができる。
第1のエッチング条件によるエッチングを終了するタイミング、および第2のエッチング条件は、第1のコンタクト124が、隣接する第1のゲート電極112aとショートしないように設定することができる。すなわち、最終的に形成される第1のコンタクトホール136が、隣接する第1のゲート電極112aに達しないように設定することができる。たとえば、第2のエッチング条件を、第1のコンタクトホール136のテーパー角度が小さくなる条件とすることにより、第1のコンタクト124と第1のゲート電極112aとがショートしないようにすることができる。また、たとえば第1のコンタクトホール136のテーパー角度が一定であれば、第1のエッチング条件によるエッチングを早く終了して、第2のエッチング条件でのエッチングを早く開始した方が、第1のコンタクト124と第1のゲート電極112aとがショートしないようにすることができる。
第1のエッチング条件によるエッチングを終了するタイミングは、第1のコンタクトホール136および第2のコンタクトホール138のテーパー角度等によっても異なるが、たとえば、第1のコンタクトホール136の深さが第1のゲート電極112aの上面の高さより5nmから30nm程度高い位置で、かつ、第2のコンタクトホール138の深さが第2のゲート電極112bの上面の高さより5nmから40nm程度低い位置の段階で終了することができる。
エッチング装置としては、たとえば、平行平板型RIEドライエッチング装置を用いることができる。また、第1のエッチング条件と第2のエッチング条件とは、たとえば、以下のようにして制御することができる。以下のいずれか、または複数を適宜組み合わせることができる。
(1)第1のエッチング条件において、第2のエッチング条件よりも圧力を高くする。
(2)第1のエッチング条件において、第2のエッチング条件よりもバイアスパワーを低くする。
(3)第1のエッチング条件および第2のエッチング条件において、エッチングガスとしてCF系のガスと酸素とを用い、第2のエッチング条件において、第1のエッチング条件よりも酸素流量を少なくする。
この後、たとえばアッシング等によりレジスト膜130を除去する。つづいて、第1のコンタクトホール136および第2のコンタクトホール138内に導電材料を埋めこみ、コンタクトホール外部に露出した導電材料をCMP等で除去することにより、第1のコンタクト124および第2のコンタクト126を形成する。ここで、導電材料としては、たとえば、W、Cu等の金属を用いることができる。
(実施例)
図1から図5に示した構成と同様の半導体装置100を製造した。ここで、基板101表面から第1のゲート電極112aおよび第2のゲート電極112bの上面までの高さは、70nmとした。カバー絶縁膜120の膜厚を30nm、層間絶縁膜122の膜厚を300nmとした。第2のコンタクト126に対応するコンタクトホールを形成するための第2の開口部134と、第1のコンタクト124に対応するコンタクトホールを形成するための第1の開口部132との面積比は2.3:1とした。
第1のエッチング条件および第2のエッチング条件は、以下のようにした。
第1のエッチング条件:圧力70mTorr、バイアスパワー1500W、C 20sccm、Ar 500sccm、O 20sccm
第2のエッチング条件:圧力40mTorr、バイアスパワー2000W、C 20sccm、Ar 500sccm、O 10sccm
また、第1のコンタクトホール136が、第1のゲート電極112aの上面の高さよりも10nm高い深さでかつ、第2のコンタクトホール138が、第2のゲート電極112bの上面の高さよりも20nm深い位置となった段階で、第1のエッチング条件によるエッチングを終了した。
このとき、第1のコンタクトホール136のテーパー角度θは80°、第1のコンタクトホール136のホール底の径は、レジスト膜130の第1の開口部132の開口径よりも30nm程度縮小した形状となった。
一方、第2のコンタクトホール138のテーパー角度θは78°だった。ここで、第2のコンタクトホール138は、第2のエッチング条件でエッチングされる層間絶縁膜122の被エッチング膜厚が第1のコンタクトホール136に比べて少ない。そのため、テーパーによるホール径縮小の影響が小さくなる。ここでは、第2のコンタクトホール138のホール底の径(長径)は、レジスト膜130の第2の開口部134の開口径から20nm程度の縮小に抑制することができた。これにより、第2のコンタクト126と第2のゲート電極112bおよび第2の不純物拡散領域106bとの接触領域140を充分に確保することができた。
次に、本実施の形態における半導体装置100およびその製造方法の効果を説明する。
引用文献3には、開口径が異なる接続孔を同時に形成する際に、ジャストエッチング工程とオーバーエッチング工程の2段階に分けて行う例が記載されている。しかし、引用文献3においては、オーバーエッチング工程における下層に対してのダメージを抑制することを目的としているだけで、本実施の形態で説明したようなに、途中でエッチング条件を異ならせてコンタクトの形状を制御することは行われていない。本実施の形態における半導体装置100の第1のコンタクト124や第2のコンタクト126のような形状とするためには、エッチング条件やエッチング条件を変えるタイミングを適切に制御する必要がある。
本実施の形態において、2段階のエッチング条件を用いて、まず、たとえば90°程度の、テーパー角度が大きくなる第1のエッチング条件で、第1のコンタクトホール136および第2のコンタクトホール138を形成し、所定のタイミングで第1のエッチング条件でのエッチングを終了する。ここで、開口面積の大きい第2のコンタクトホール138の方が第1のコンタクトホール136よりも深く形成される。その後、テーパー角度が小さくなるような第2のエッチング条件で、第1のコンタクトホール136および第2のコンタクトホール138の形成を完了する。
これにより、第2のコンタクトホール138においては、第2のエッチング条件でエッチングを行う被エッチング膜の膜厚が薄くなり、ホール径の縮小量を第1のコンタクトホール136よりも緩和することができる。一方、第1のコンタクトホール136においては、第2のエッチング条件でエッチングを行う被エッチング膜の膜厚が厚くなり、ホール径の縮小量を第2のコンタクトホール138よりも大きくできる。
そのため、本実施の形態の構成によれば、シェアードコンタクトである第2のコンタクト126において加工変換差を小さくできるとともに、第1のコンタクト124においては、下方のホール径を小さくすることができる。これにより、第2のコンタクト126と第2の不純物拡散領域106bおよび第2のゲート電極112bとの接触領域140を確保しつつ、狭スペースの第1のゲート電極112a間に形成される第1のコンタクト124と第1のゲート電極112aとのショートマージンを向上させることができる。これにより半導体装置の歩留まり安定性が向上する。
また、第1のコンタクトホール136と第2のコンタクトホール138とを、同時に1度のリソグラフィプロセスで形成できるため、工程数増加を防ぎ、製造コストを抑制することができる。
以上、図面を参照して本発明の実施形態について述べたが、これらは本発明の例示であり、上記以外の様々な構成を採用することもできる。
たとえば、第1のコンタクト(面積の小さなコンタクト)を開口するに際して、垂直に近い形状となる第1のエッチング条件でエッチングを行い、開口底部高さがゲート電極上面に達する前に第2のエッチング条件(テーパー角の小さい条件)でエッチングを行い、開口底部高さがゲート電極上面を越えた後にテーパー角が90度に近い第3のエッチング条件で行うことなども、本発明の思想に沿った実施形態である。
100 半導体装置
101 基板
102 素子分離領域
106a 第1の不純物拡散領域
106b 第2の不純物拡散領域
110 ゲート絶縁膜
112a 第1のゲート電極
112b 第2のゲート電極
114 サイドウォール
120 カバー絶縁膜
122 層間絶縁膜
124 第1のコンタクト
126 第2のコンタクト
130 レジスト膜
132 第1の開口部
134 第2の開口部
136 第1のコンタクトホール
138 第2のコンタクトホール
140 接触領域
θ テーパー角度
θ テーパー角度
第1のコンタクトホール136の深さ
第2のコンタクトホール138の深さ

Claims (17)

  1. 基板と、
    前記基板上にそれぞれゲート絶縁膜を介して形成された第1のゲート電極および第2のゲート電極と、
    前記第1のゲート電極の側方に形成された第1の不純物拡散領域と、
    前記第2のゲート電極の側方に形成された第2の不純物拡散領域と、
    前記基板上の全面に形成された絶縁膜と、
    前記第1の不純物拡散領域に接続するとともに、前記第1のゲート電極とは接続しないように前記絶縁膜に形成された第1のコンタクトと、
    前記第2のゲート電極および前記第2の不純物拡散領域に共通して接続するように前記絶縁膜に形成された第2のコンタクトと、
    を含み、
    前記第1のコンタクトおよび前記第2のコンタクトは、それぞれ、前記絶縁膜の表面から前記基板に向かう途中の位置でテーパー角度が小さくなるように変化する形状を有し、前記第2のコンタクトにおいてテーパー角度が変化する位置が、前記第1のコンタクトにおいてテーパー角度が変化する位置よりも前記基板に近い半導体装置。
  2. 請求項1に記載の半導体装置において、
    前記第1のコンタクトおよび前記第2のコンタクトは、それぞれ、前記テーパー角度が変化する位置から、前記基板の方向に向かうにつれて径が縮小する形状を有する半導体装置。
  3. 請求項1または2に記載の半導体装置において、
    前記第1のコンタクトおよび前記第2のコンタクトは、それぞれ、前記絶縁膜表面から前記テーパー角度が変化する位置までは、径が等しい形状を有する半導体装置。
  4. 請求項1から3いずれかに記載の半導体装置において、
    前記絶縁膜の表面の平面視において、前記第2のコンタクトの面積が前記第1のコンタクトの面積よりも大きい半導体装置。
  5. 請求項1から4いずれかに記載の半導体装置において、
    前記第2のコンタクトにおける前記テーパー角度の変化する位置が、前記第2のゲート電極の上面よりも前記基板に近い下方にある半導体装置。
  6. 請求項1から5いずれかに記載の半導体装置において、
    前記第1のコンタクトにおける前記テーパー角度の変化する位置が、前記第1のゲート電極の上面よりも前記基板から遠い上方にある半導体装置。
  7. 請求項5または6に記載の半導体装置において、
    前記第1のゲート電極の上面および前記第2のゲート電極の上面とが同じ高さである半導体装置。
  8. 請求項1から7いずれかに記載の半導体装置において、
    前記絶縁膜の表面において、前記第1のコンタクトの形状は真円であり、前記第2のコンタクトの形状は楕円である半導体装置。
  9. 請求項1から8いずれかに記載の半導体装置において、
    前記第2のコンタクトがSRAMのシェアードコンタクトある半導体装置。
  10. 基板上にそれぞれゲート絶縁膜を介して形成された第1のゲート電極および第2のゲート電極と、
    前記第1のゲート電極の側方に形成された第1の不純物拡散領域と、
    前記第2のゲート電極の側方に形成された第2の不純物拡散領域と、
    前記基板上の全面に形成された絶縁膜と、
    を含む半導体装置の前記絶縁膜上に、前記第1の不純物拡散領域に接続するとともに、前記第1のゲート電極とは接続しない第1のコンタクトホールを形成するための第1の開口部、および前記第2のゲート電極および前記第2の不純物拡散領域に共通して接続する第2のコンタクトホールを形成するための第2の開口部を有するレジスト膜を形成する工程と、
    前記レジスト膜をマスクとして、第1のエッチング条件で前記絶縁膜を途中までエッチングする工程と、
    前記絶縁膜を途中までエッチングする工程の後、前記第1のエッチング条件よりも、前記絶縁膜に形成されるコンタクトホールの径が前記基板に近づくにつれて縮小しやすい第2のエッチング条件で、前記絶縁膜をエッチングして、前記絶縁膜に前記第1のコンタクトホールおよび前記第2のコンタクトホールを形成する工程と、
    を含む半導体装置の製造方法。
  11. 請求項10に記載の半導体装置の製造方法において、
    前記第2のコンタクトホールの深さが前記第2のゲート電極の上面の高さに到達した後に、前記第1のエッチング条件での前記絶縁膜のエッチングを終了して、前記第2のエッチング条件での前記絶縁膜のエッチングを開始する半導体装置の製造方法。
  12. 請求項10または11に記載の半導体装置の製造方法において、
    前記第1のコンタクトホールの深さが前記第1のゲート電極の上面の高さに到達する前に、前記第1のエッチング条件での前記絶縁膜のエッチングを終了して、前記第2のエッチング条件での前記絶縁膜のエッチングを開始する半導体装置の製造方法。
  13. 請求項10から12いずれかに記載の半導体装置の製造方法において、
    前記絶縁膜を途中までエッチングする工程において、前記第1の開口部および前記第2の開口部の開口面積を保つように前記絶縁膜をエッチングする半導体装置の製造方法。
  14. 請求項10から13いずれかに記載の半導体装置の製造方法において、
    前記第2の開口部の開口面積が、前記第1の開口部の開口面積よりも大きい半導体装置の製造方法。
  15. 請求項10から14いずれかに記載の半導体装置の製造方法において、
    前記第1のエッチング条件は、前記第2のエッチング条件よりも圧力が高い半導体装置の製造方法。
  16. 請求項10から15いずれかに記載の半導体装置の製造方法において、
    前記第1のエッチング条件は、前記第2のエッチング条件よりもバイアスパワーが低い半導体装置の製造方法。
  17. 請求項10から16いずれかに記載の半導体装置の製造方法において、
    前記第1のエッチング条件および前記第2のエッチング条件において、エッチングガスとしてCF系のガスと酸素とを用い、前記第2のエッチング条件において、前記第1のエッチング条件よりも酸素流量が少ない半導体装置の製造方法。
JP2009095295A 2009-04-09 2009-04-09 半導体装置およびその製造方法 Pending JP2010245454A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009095295A JP2010245454A (ja) 2009-04-09 2009-04-09 半導体装置およびその製造方法
US12/756,399 US20100258873A1 (en) 2009-04-09 2010-04-08 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009095295A JP2010245454A (ja) 2009-04-09 2009-04-09 半導体装置およびその製造方法

Publications (1)

Publication Number Publication Date
JP2010245454A true JP2010245454A (ja) 2010-10-28

Family

ID=42933695

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009095295A Pending JP2010245454A (ja) 2009-04-09 2009-04-09 半導体装置およびその製造方法

Country Status (2)

Country Link
US (1) US20100258873A1 (ja)
JP (1) JP2010245454A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8954828B2 (en) 2012-03-19 2015-02-10 Kabushiki Kaisha Toshiba Memory controller
US9047951B2 (en) 2012-08-29 2015-06-02 Kabushiki Kaisha Toshiba Semiconductor memory device
KR20190083982A (ko) 2018-01-05 2019-07-15 도쿄엘렉트론가부시키가이샤 에칭 방법

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8426310B2 (en) * 2010-05-25 2013-04-23 Freescale Semiconductor, Inc. Method of forming a shared contact in a semiconductor device
KR20140047917A (ko) * 2012-10-15 2014-04-23 삼성전자주식회사 반도체 장치의 제조 방법
US9263322B2 (en) * 2013-09-18 2016-02-16 Globalfoundries Singapore Pte. Ltd. Reliable contacts

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6040247A (en) * 1995-01-10 2000-03-21 Lg Semicon Co., Ltd. Method for etching contact
US6535413B1 (en) * 2000-08-31 2003-03-18 Micron Technology, Inc. Method of selectively forming local interconnects using design rules
TW483111B (en) * 2001-06-08 2002-04-11 Promos Technologies Inc Method for forming contact of memory device
US7319067B2 (en) * 2005-11-07 2008-01-15 United Microelectronics Corp. Method of simultaneously controlling ADI-AEI CD differences of openings having different sizes and etching process utilizing the same method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8954828B2 (en) 2012-03-19 2015-02-10 Kabushiki Kaisha Toshiba Memory controller
US9047951B2 (en) 2012-08-29 2015-06-02 Kabushiki Kaisha Toshiba Semiconductor memory device
KR20190083982A (ko) 2018-01-05 2019-07-15 도쿄엘렉트론가부시키가이샤 에칭 방법
US10950458B2 (en) 2018-01-05 2021-03-16 Tokyo Electron Limited Etching method

Also Published As

Publication number Publication date
US20100258873A1 (en) 2010-10-14

Similar Documents

Publication Publication Date Title
KR100414220B1 (ko) 공유 콘택을 가지는 반도체 장치 및 그 제조 방법
TWI281231B (en) Method for forming storage node of capacitor in semiconductor device
KR20040009864A (ko) 자기 정렬된 접합영역 콘택홀을 갖는 반도체 장치 및 그제조 방법
US8647973B2 (en) Semiconductor device capable of reducing electrical defects and method of fabricating the same
US20140042548A1 (en) Dram structure with buried word lines and fabrication thereof, and ic structure and fabrication thereof
JP2005005669A (ja) 半導体素子の製造方法
JP2010245454A (ja) 半導体装置およびその製造方法
US20230097668A1 (en) Semiconductor device including self-aligned contact and method of manufacturing the semiconductor device
JP2004080029A (ja) ダマシン配線を利用した半導体素子の製造方法
JP2004128395A (ja) 半導体装置及び半導体装置の製造方法
US7989335B2 (en) Methods of forming insulation layer patterns and methods of manufacturing semiconductor devices including insulation layer patterns
US7842593B2 (en) Semiconductor device and method for fabricating the same
US20070015356A1 (en) Method for forming contact hole in semiconductor device
JP2009158813A (ja) 半導体装置の製造方法、及び半導体装置
US20090023285A1 (en) Method of forming contact of semiconductor device
JP2004128188A (ja) 半導体装置の製造方法
US7682897B2 (en) DRAM fabrication method using oxidation spacers on pillar dielectric sidewalls
US20180233451A1 (en) Pad structure and method for fabricating the same
KR100586553B1 (ko) 반도체 소자의 게이트 및 이의 형성 방법
KR20060022573A (ko) 반도체 소자의 콘택홀 형성 방법
US20080153289A1 (en) Method for manufacturing semiconductor devices and plug
US20230247825A1 (en) Semiconductor device
JP2007299972A (ja) 半導体装置及びその製造方法
KR20080000980A (ko) 벌브 타입의 리세스 채널을 갖는 반도체 소자의 제조방법
TW202310172A (zh) 半導體裝置結構