JP2010103162A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2010103162A
JP2010103162A JP2008270959A JP2008270959A JP2010103162A JP 2010103162 A JP2010103162 A JP 2010103162A JP 2008270959 A JP2008270959 A JP 2008270959A JP 2008270959 A JP2008270959 A JP 2008270959A JP 2010103162 A JP2010103162 A JP 2010103162A
Authority
JP
Japan
Prior art keywords
layer
metal layer
seed layer
forming
formation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008270959A
Other languages
Japanese (ja)
Other versions
JP5532578B2 (en
Inventor
Hisaya Sakai
久弥 酒井
Noriyoshi Shimizu
紀嘉 清水
Nobuyuki Otsuka
信幸 大塚
Takahiro Tahira
貴裕 田平
Masaki Haneda
雅希 羽根田
Michie Sunayama
理江 砂山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2008270959A priority Critical patent/JP5532578B2/en
Publication of JP2010103162A publication Critical patent/JP2010103162A/en
Application granted granted Critical
Publication of JP5532578B2 publication Critical patent/JP5532578B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To suppress formation of a void in a pattern of a via, wiring, etc. <P>SOLUTION: After a via hole 33 reaching lower-layer wiring 30 is formed and a barrier metal layer 34 and a seed layer 35a are formed, a plating layer is buried in the via hole 33 by an electrolytic plating method. At this time, assuming that an overhang 101b is formed at a frontage of the via hole 33 after the seed layer 35a is formed, the via hole 33 when having, for example, an opening diameter ≤70 nm is made to have an opening diameter W2 of ≥20 nm after the seed layer 35a is formed. Consequently, when electrolytic plating using the seed layer 35a is performed, the frontage is closed before the plating layer is buried in the via hole 33 to avoid the formation of a void. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、半導体装置の製造方法に関し、特に、配線又はビアの形成プロセスを含む半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device including a process of forming wirings or vias.

近年の半導体装置においては、配線及びビアの材料として銅(Cu)が広く利用されている。Cuを用いた配線及びビアは、ダマシン法を用いて形成するのが主流となっている(例えば、特許文献1〜6参照。)。   In recent semiconductor devices, copper (Cu) is widely used as a material for wiring and vias. Wiring and vias using Cu are mainly formed using a damascene method (see, for example, Patent Documents 1 to 6).

Cuを用いたダマシン法による配線又はビアの形成では、例えば、まず、絶縁層に配線溝又はビアホール(凹部)が形成され、その凹部内壁を含む絶縁層表面に、高融点金属等を用いたバリアメタル層が形成される。そして、そのバリアメタル層上に、PVD(Physical Vapor Deposition)法、CVD(Chemical Vapor Deposition)法、スパッタリング法等を用いて、Cuを用いたシード層が形成され、そのシード層を用いた電解めっき法により、凹部にCuのめっき層が埋め込まれる。その後、CMP(Chemical Mechanical Polishing)により、絶縁層上に形成された不要なCu及びバリアメタル層が除去されることで、Cuを用いた配線又はビアが形成される。
特開2001−244216号公報 特開2004−014816号公報 特開2005−217346号公報 特開2006−148074号公報 特開2006−148075号公報 特開2007−227819号公報
In the formation of wiring or vias by the damascene method using Cu, for example, first, wiring grooves or via holes (recesses) are formed in the insulating layer, and a barrier using a refractory metal or the like is formed on the insulating layer surface including the inner walls of the recesses. A metal layer is formed. Then, a seed layer using Cu is formed on the barrier metal layer by using a PVD (Physical Vapor Deposition) method, a CVD (Chemical Vapor Deposition) method, a sputtering method, etc., and electroplating using the seed layer By this method, a Cu plating layer is embedded in the recess. Thereafter, unnecessary Cu and barrier metal layers formed on the insulating layer are removed by CMP (Chemical Mechanical Polishing), thereby forming wirings or vias using Cu.
JP 2001-244216 A JP 2004-014816 A JP 2005-217346 A JP 2006-148074 A JP 2006-148075 A JP 2007-227819 A

凹部へのめっき層の埋め込みに際しては、ボイドの発生がしばしば問題になる。ボイドは、配線或いはビアの電気的特性の劣化、短命化の原因になり得る。ボイドの発生原因の1つとして、凹部へのめっき層の埋め込み前のシード層の凹部内壁での成膜が不均一になり易いことが挙げられる。   In embedding the plating layer in the recess, the generation of voids often becomes a problem. Voids can cause deterioration of electrical characteristics of wiring or vias and shortening of life. One of the causes of the generation of voids is that film formation on the inner wall of the concave portion of the seed layer before the plating layer is embedded in the concave portion tends to be non-uniform.

例えば、シード層が、凹部の間口を狭めるように突出したオーバーハング形状になって形成されると、電解めっきの際、凹部がめっき層で完全に埋め込まれる前にその間口が塞がれ、ボイドが発生してしまう場合がある。このようなボイドの発生は、微小な配線或いはビアを形成する場合ほど、より起こり易くなる。   For example, if the seed layer is formed in an overhang shape protruding so as to narrow the opening of the recess, the opening is closed before the recess is completely filled with the plating layer during electrolytic plating. May occur. Such voids are more likely to occur as fine wiring or vias are formed.

また、形成したシード層に、凹部の側壁等、膜厚の薄い部分や未形成領域が存在していると、電解めっきの際、そのような薄い部分がめっき液に溶解したり未形成領域が広がったりして、めっき層の埋め込み不良が発生し、ボイドが発生してしまう場合がある。たとえ電解めっきの際には凹部がめっき層で十分に埋め込まれていたとしても、後の熱処理工程等を経て、そのようなシード層の薄かった部分や未形成領域を起点にボイドが発生してくる場合もある。このようなボイドの発生は、絶縁層に低誘電率(Low−k)材料を用いていて、エッチングにより凹部がボーイング形状となって形成されるような場合に起こり易い。   In addition, if the formed seed layer has a thin portion or an unformed region such as a side wall of a recess, such a thin portion is dissolved in the plating solution or an unformed region is not formed during electrolytic plating. In some cases, the plating layer may be spread, resulting in poor filling of the plating layer and voids. Even if the recesses are sufficiently filled with the plating layer during electrolytic plating, voids are generated from the thinned or unformed regions of the seed layer after the subsequent heat treatment process. Sometimes it comes. Such voids are likely to occur when a low dielectric constant (Low-k) material is used for the insulating layer and the concave portion is formed in a bow shape by etching.

方法の一観点によれば、絶縁層に開口寸法50nm以上70nm以下の凹部を形成する工程と、前記凹部内壁及び前記絶縁層の上方に第1金属層を形成し、前記第1金属層形成後の前記凹部の開口寸法が20nm以上となるようにする工程と、前記第1金属層上に前記凹部を埋める第2金属層を形成する工程と、を含むことを特徴とする半導体装置の製造方法が提供される。   According to one aspect of the method, a step of forming a recess having an opening size of 50 nm or more and 70 nm or less in the insulating layer, a first metal layer is formed over the inner wall of the recess and the insulating layer, and after the formation of the first metal layer And a step of forming a second metal layer filling the recess on the first metal layer, and a method of manufacturing a semiconductor device comprising: Is provided.

また、方法の別の観点によれば、絶縁層にボーイング形状を有する凹部を形成する工程と、前記凹部内を含む前記絶縁層の上方に、前記凹部の側壁部における膜厚が10nm以上で、かつ、前記凹部に開口を残して、第1金属層を形成する工程と、前記第1金属層上に前記凹部を埋める第2金属層を形成する工程と、を含む半導体装置の製造方法が提供される。   According to another aspect of the method, the step of forming a concave portion having a bow shape in the insulating layer, and the film thickness in the side wall portion of the concave portion above the insulating layer including the inside of the concave portion is 10 nm or more, In addition, a method for manufacturing a semiconductor device is provided, which includes a step of forming a first metal layer leaving an opening in the recess, and a step of forming a second metal layer filling the recess on the first metal layer. Is done.

開示の半導体装置の製造方法によれば、ボイドの発生を効果的に抑えることができ、高性能かつ高信頼性の半導体装置が実現可能になる。   According to the disclosed method for manufacturing a semiconductor device, generation of voids can be effectively suppressed, and a high-performance and highly reliable semiconductor device can be realized.

以下、図面を参照して詳細に説明する。
まず、第1の実施の形態について説明する。
はじめに、配線及びビアを備えた半導体装置の一例について説明する。
Hereinafter, it will be described in detail with reference to the drawings.
First, the first embodiment will be described.
First, an example of a semiconductor device provided with wirings and vias will be described.

図1は半導体装置の一例の部分断面模式図である。
図1に例示する半導体装置1は、半導体基板2にSTI(Shallow Trench Isolation)法等により形成された素子分離絶縁膜3で画定された素子領域に、MOS(Metal Oxide Semiconductor)トランジスタ4が形成された構造を有している。
FIG. 1 is a schematic partial sectional view of an example of a semiconductor device.
In a semiconductor device 1 illustrated in FIG. 1, a MOS (Metal Oxide Semiconductor) transistor 4 is formed in an element region defined by an element isolation insulating film 3 formed on a semiconductor substrate 2 by an STI (Shallow Trench Isolation) method or the like. Have a structure.

MOSトランジスタ4は、ゲート絶縁膜4aを介して形成されたゲート電極4b、ゲート電極4bの側壁に形成された側壁絶縁膜4c、ゲート電極4bの両側の半導体基板2内に形成されたソース・ドレイン領域4d,4eを備えている。   The MOS transistor 4 includes a gate electrode 4b formed through a gate insulating film 4a, a sidewall insulating film 4c formed on the sidewall of the gate electrode 4b, and a source / drain formed in the semiconductor substrate 2 on both sides of the gate electrode 4b. Regions 4d and 4e are provided.

このようなMOSトランジスタ4が形成された半導体基板2上には、配線5,6,7及びビア8を含む多層配線が形成されている。
下層の配線5,6はそれぞれ、層間絶縁膜9を貫通して形成された導電プラグ10,11を介して、MOSトランジスタ4のソース・ドレイン領域4d,4eに接続されている。配線5,6には、層間絶縁膜12との間にバリアメタル層13,14が形成されており、配線5,6及び層間絶縁膜12の上には、キャップ層15が形成されている。
On the semiconductor substrate 2 on which such a MOS transistor 4 is formed, a multilayer wiring including wirings 5, 6, 7 and vias 8 is formed.
The lower wirings 5 and 6 are connected to the source / drain regions 4d and 4e of the MOS transistor 4 through conductive plugs 10 and 11 formed through the interlayer insulating film 9, respectively. Barrier metal layers 13 and 14 are formed between the wirings 5 and 6 and the interlayer insulating film 12, and a cap layer 15 is formed on the wirings 5 and 6 and the interlayer insulating film 12.

さらに、下層の配線5,6のうち、一方の配線6は、層間絶縁膜16を貫通して形成されたビア8を介して、上層の配線7に接続されている。ビア8には、層間絶縁膜16との間にバリアメタル層17が形成されている。ビア8及び層間絶縁膜16の上には、キャップ層18が形成されている。また、配線7には、層間絶縁膜19との間にバリアメタル層20が形成されている。   Further, of the lower wirings 5 and 6, one wiring 6 is connected to the upper wiring 7 through a via 8 formed through the interlayer insulating film 16. A barrier metal layer 17 is formed between the via 8 and the interlayer insulating film 16. A cap layer 18 is formed on the via 8 and the interlayer insulating film 16. In addition, a barrier metal layer 20 is formed between the wiring 7 and the interlayer insulating film 19.

このような多層配線のさらに上層には、半導体装置1の種類に応じ、同様の構成の配線層が必要な層数だけ形成され、最上層には、外部接続用の電極パッド等が形成されるようになる。   In the upper layer of such a multilayer wiring, as many wiring layers having the same configuration as the number of necessary layers are formed according to the type of the semiconductor device 1, and electrode pads for external connection are formed on the uppermost layer. It becomes like this.

なお、ここでは、配線5,6,7及びビア8がいずれもシングルダマシン法によって形成されている場合を例示している。
続いて、上記のような構成を有する半導体装置に適用可能な配線及びビアの形成方法について説明する。
Here, the case where the wirings 5, 6, 7 and the via 8 are all formed by the single damascene method is illustrated.
Subsequently, a method for forming wirings and vias applicable to the semiconductor device having the above configuration will be described.

まず、一例として、シングルダマシン法によるビアの形成方法について述べる。ビアは、例えば、次のような流れで形成される。
図2はビアホール形成工程の要部断面模式図、図3はバリアメタル層形成工程の要部断面模式図、図4はシード層形成工程の要部断面模式図、図5はめっき層形成後の一例の要部断面模式図である。
First, as an example, a method for forming a via by a single damascene method will be described. The via is formed by the following flow, for example.
2 is a schematic cross-sectional view of the main part of the via hole forming process, FIG. 3 is a schematic cross-sectional view of the main part of the barrier metal layer forming process, FIG. 4 is a schematic cross-sectional view of the main part of the seed layer forming process, and FIG. It is a principal part cross-sectional schematic diagram of an example.

ビアを形成する際には、まず、下層配線30上に、キャップ層31及び絶縁層32を形成する。キャップ層31には、例えば、シリコンカーバイド(SiC)や炭素含有シリコン酸化膜(SiOC)等を用いることができる。また、絶縁層32には、その全部或いは一部に、例えば、酸化シリコン(SiO)や、有機系又は無機系のLow−k材料(ポーラス構造のものを含む)等を用いることができる。そして、キャップ層31及び絶縁層32の形成後は、フォトリソグラフィ技術及びエッチング技術を用い、図2に示すように、それら絶縁層32及びキャップ層31を貫通して下層配線30に達するビアホール33を形成する。   When forming the via, first, the cap layer 31 and the insulating layer 32 are formed on the lower layer wiring 30. For the cap layer 31, for example, silicon carbide (SiC), a carbon-containing silicon oxide film (SiOC), or the like can be used. Further, for example, silicon oxide (SiO), an organic or inorganic low-k material (including a porous structure), or the like can be used for the insulating layer 32 in whole or in part. After the formation of the cap layer 31 and the insulating layer 32, via holes 33 reaching the lower layer wiring 30 through the insulating layer 32 and the cap layer 31 are formed using photolithography technology and etching technology as shown in FIG. Form.

ビアホール33の形成後は、図3に示すように、例えば、スパッタリング法を用いて、ビアホール33の壁面を含む絶縁層32の表面に、ビアホール33に通じる開口を残すようにして、バリアメタル層34を形成する。バリアメタル層34には、タンタル(Ta),チタン(Ti),タングステン(W),ジルコニウム(Zr),ルテニウム(Ru)等の高融点金属を用いることができる。バリアメタル層34には、Ta,Ti,W,Zr,Ruのうちの1種、又はこれらの金属のうち少なくとも1種を含む合金、或いはこれらの金属や合金の窒素化合物を用いることができる。さらに、バリアメタル層34は、これらの金属、合金、窒素化合物の単層、或いはそれらを組み合わせた積層構造とすることができる。   After the formation of the via hole 33, as shown in FIG. 3, the barrier metal layer 34 is left on the surface of the insulating layer 32 including the wall surface of the via hole 33 by using, for example, a sputtering method so as to leave an opening leading to the via hole 33. Form. For the barrier metal layer 34, a refractory metal such as tantalum (Ta), titanium (Ti), tungsten (W), zirconium (Zr), ruthenium (Ru), or the like can be used. For the barrier metal layer 34, one of Ta, Ti, W, Zr, and Ru, an alloy containing at least one of these metals, or a nitrogen compound of these metals or alloys can be used. Furthermore, the barrier metal layer 34 can be a single layer of these metals, alloys, nitrogen compounds, or a laminated structure combining them.

バリアメタル層34の形成後は、図4に示すように、例えば、スパッタリング法を用いて、バリアメタル層34上に、ビアホール33に通じる開口を残すようにして、シード層35aを形成する。Cuを用いてビアを形成する場合、シード層35aには、例えば、Cu、或いはCuとアルミニウム(Al),Ti,Zr,ニッケル(Ni),銀(Ag),パラジウム(Pd),マンガン(Mn),マグネシウム(Mg)のうちの少なくとも1種とを含むCu合金等を用いる。シード層35aは、Cu又はCu合金の単層、CuとCu合金の積層構造、或いはCu合金とCu合金の積層構造とすることができる。   After the formation of the barrier metal layer 34, as shown in FIG. 4, the seed layer 35a is formed on the barrier metal layer 34 so as to leave an opening leading to the via hole 33 by using, for example, a sputtering method. When forming a via using Cu, for example, Cu or Cu and aluminum and aluminum (Al), Ti, Zr, nickel (Ni), silver (Ag), palladium (Pd), manganese (Mn) are used as the seed layer 35a. ), A Cu alloy containing at least one of magnesium (Mg) is used. The seed layer 35a can be a single layer of Cu or Cu alloy, a laminated structure of Cu and Cu alloy, or a laminated structure of Cu alloy and Cu alloy.

シード層35aの形成後は、シード層35aを用いた電解めっき法により、Cu等の配線材料のめっき層35bをビアホール33内に形成する。なお、めっき層35bの形成後は、通常、CMPにより、絶縁層32上の不要なめっき層35b、シード層35a及びバリアメタル層34が除去される。   After the seed layer 35a is formed, a plating layer 35b of a wiring material such as Cu is formed in the via hole 33 by an electrolytic plating method using the seed layer 35a. After the formation of the plating layer 35b, the unnecessary plating layer 35b, seed layer 35a, and barrier metal layer 34 on the insulating layer 32 are usually removed by CMP.

ここで、電解めっき法によるめっき層35bの形成時には、図5に示すように、めっき層35b内にボイド100が発生してしまう場合がある。ボイド100は、最終的に得られるビアの抵抗増加、容量増加、信号遅延といった電気的特性の劣化や、寿命の短命化等を引き起こす可能性がある。このようなボイド100の発生には、めっき層35bに先立って形成されるバリアメタル層34及びシード層35aの形成状態が影響してくる。   Here, when forming the plating layer 35b by the electrolytic plating method, as shown in FIG. 5, a void 100 may be generated in the plating layer 35b. The void 100 may cause deterioration of electrical characteristics such as an increase in resistance of a via finally obtained, an increase in capacitance, a signal delay, a shortened life, and the like. The generation of the void 100 is affected by the formation state of the barrier metal layer 34 and the seed layer 35a formed prior to the plating layer 35b.

即ち、バリアメタル層34及びシード層35aには、それぞれ図3及び図4に示したように、ビアホール33の間口にオーバーハング101a,101bが形成される場合がある。なお、シード層35aのオーバーハング101bは、シード層35aの前に形成されるバリアメタル層34のオーバーハング101aにより助長され易い。バリアメタル層34及びシード層35aにこのようなオーバーハング101a,101bが形成されると、その分、ビアホール33内に通じる間口の開口寸法(径)は狭くなる。そのため、シード層35aを用いた電解めっき時には、ビアホール33内にめっき層35bが十分埋め込まれる前に、その間口が塞がってしまい、ボイド100が発生するという現象が起こり易くなる。   That is, in the barrier metal layer 34 and the seed layer 35a, as shown in FIGS. 3 and 4, overhangs 101a and 101b may be formed at the openings of the via holes 33, respectively. The overhang 101b of the seed layer 35a is easily promoted by the overhang 101a of the barrier metal layer 34 formed before the seed layer 35a. When such overhangs 101a and 101b are formed in the barrier metal layer 34 and the seed layer 35a, the opening size (diameter) of the front opening leading to the via hole 33 is reduced accordingly. Therefore, at the time of electrolytic plating using the seed layer 35a, before the plating layer 35b is sufficiently embedded in the via hole 33, the gap is closed and the phenomenon that the void 100 is generated easily occurs.

また、図6はめっき層形成後の別例の要部断面模式図である。
バリアメタル層34或いはシード層35aの形成工程後のビアホール33内、特にその側壁には、それらの未形成領域102や、それらが殆ど形成されていない極薄領域103ができてしまう場合がある。さらに、一旦は形成されたシード層35aが、その後の電解めっき時にめっき液に溶解してしまい、未形成領域102ができてしまう場合もある。未形成領域102や極薄領域103が存在すると、ビアホール33内にめっき層35bが十分に埋め込まれずにボイド104が発生してしまい易くなる。また、一見ビアホール33内がめっき層35bで十分に埋め込まれているようであっても、その後の工程(熱処理工程等)を経たときにボイドが発生してくる場合もある。
Moreover, FIG. 6 is a principal part cross-sectional schematic diagram of another example after plating layer formation.
In the via hole 33 after the step of forming the barrier metal layer 34 or the seed layer 35a, particularly in the side wall thereof, the unformed region 102 or the extremely thin region 103 in which they are hardly formed may be formed. Furthermore, the seed layer 35a once formed may be dissolved in the plating solution at the time of subsequent electrolytic plating, and an unformed region 102 may be formed. If the unformed region 102 or the ultrathin region 103 exists, the plating layer 35b is not sufficiently embedded in the via hole 33, and the void 104 is likely to be generated. Even if the via hole 33 appears to be sufficiently filled with the plating layer 35b, voids may be generated when the subsequent process (heat treatment process or the like) is performed.

近年、ビアや配線等のパターンは微細化が進んでいるが、そのパターンサイズが縮小するにつれ、上記のようなパターン間口の閉塞によるボイド100の発生や、パターン内壁の被覆不良によるボイド104の発生は起こり易くなる。特に、直径70nm以下のビアや、幅70nm以下の配線を形成しようとする場合には、そのようなボイド100,104の発生が一層起こり易くなる。   In recent years, patterns of vias, wirings, and the like have been miniaturized, but as the pattern size is reduced, the generation of voids 100 due to the blockage of the pattern openings as described above, and the generation of voids 104 due to poor coverage of the pattern inner walls. Is more likely to occur. In particular, when a via having a diameter of 70 nm or less or a wiring having a width of 70 nm or less is to be formed, such voids 100 and 104 are more likely to occur.

そこで、ここでは、種々の条件で上記のようなめっき層35bの形成を行い、そのめっき層35bによるビアホール33の埋め込み状態について検証する。
ビアホール33の埋め込み状態の検証のため、まず、図2に示したように、絶縁層32に、開口径(直径)Wが70nmで、所定深さ、例えば深さ250nmのビアホール33を複数形成する。
Therefore, here, the plating layer 35b as described above is formed under various conditions, and the filling state of the via hole 33 by the plating layer 35b is verified.
In order to verify the buried state of the via hole 33, first, as shown in FIG. 2, a plurality of via holes 33 having an opening diameter (diameter) W of 70 nm and a predetermined depth, for example, a depth of 250 nm, are formed in the insulating layer 32. .

次いで、ビアホール33を複数形成した絶縁層32の全面に対し、図3及び図4に示したように、バリアメタル層34及びシード層35aを、スパッタリング法を用いて順に形成する。その際、バリアメタル層34及びシード層35aを種々の条件で形成し、バリアメタル層34形成後のビアホール33の開口径W1、及びシード層35a形成後のビアホール33の開口径W2をそれぞれ調整する。なお、開口径W1,W2は、オーバーハング101a,101bによってビアホール33の間口が最も狭くなっている部分の径である。   Next, as shown in FIGS. 3 and 4, a barrier metal layer 34 and a seed layer 35a are sequentially formed on the entire surface of the insulating layer 32 in which a plurality of via holes 33 are formed, using a sputtering method. At that time, the barrier metal layer 34 and the seed layer 35a are formed under various conditions, and the opening diameter W1 of the via hole 33 after the formation of the barrier metal layer 34 and the opening diameter W2 of the via hole 33 after the formation of the seed layer 35a are adjusted. . The opening diameters W1 and W2 are the diameters of the portions where the opening of the via hole 33 is the narrowest due to the overhangs 101a and 101b.

このようにして各条件で開口径W1のバリアメタル層34及び開口径W2のシード層35aを形成した後、電解めっき法により、一定の条件で、めっき層35bを形成する。
そして、形成しためっき層35b内のボイドの有無を、高分解能SEM(Scanning Electron Microscope)を用いた断面観察により確認する。この断面観察の結果から、複数のビアホール33のうち、ボイドが発生していないビアホール33の数を求め、次式(1)より、その比率(埋め込み達成率)を求める。
Thus, after forming the barrier metal layer 34 with the opening diameter W1 and the seed layer 35a with the opening diameter W2 under each condition, the plating layer 35b is formed under certain conditions by electrolytic plating.
And the presence or absence of the void in the formed plating layer 35b is confirmed by cross-sectional observation using a high resolution SEM (Scanning Electron Microscope). From the cross-sectional observation result, the number of via holes 33 in which no void is generated among the plurality of via holes 33 is obtained, and the ratio (embedding achievement rate) is obtained from the following equation (1).

埋め込み達成率(%)=ボイド無しビアホールの個数/全ビアホール数×100 ・・・(1)
バリアメタル層34及びシード層35aの形成条件と形成後の開口径W1,W2、並びにめっき層35b形成後の埋め込み達成率を調査した結果の一例を表1に示す。また、表2に、表1の調査に用いたバリアメタル層34及びシード層35aの形成条件を示す。
Embedding achievement rate (%) = number of void-free via holes / total number of via holes × 100 (1)
Table 1 shows an example of the results of examining the formation conditions of the barrier metal layer 34 and the seed layer 35a, the opening diameters W1 and W2 after the formation, and the filling achievement rate after the formation of the plating layer 35b. Table 2 shows the conditions for forming the barrier metal layer 34 and the seed layer 35a used in the investigation of Table 1.

なお、埋め込み不良の形態として、前述のように、めっき層35bで十分に埋め込まれる前にビアホール33の開口が閉塞することによってボイドが発生してしまうものがある(図5)。また、埋め込み不良の別の形態として、前述のように、ビアホール33の内壁におけるバリアメタル層34やシード層35aの被覆不良によってボイドが発生してしまうものがある(図6)。ここでは、電解めっき時のビアホール33の開口閉塞によって発生する埋め込み不良を調査対象とし、そのような埋め込み不良を選択的に検出するため、ビアホール33の内壁におけるバリアメタル層34やシード層35aの被覆が十分確保できる条件を設定している。   Note that, as described above, there is a case in which a void is generated by closing the opening of the via hole 33 before being sufficiently filled with the plating layer 35b, as described above (FIG. 5). Further, as another form of the filling failure, as described above, there is a case where voids are generated due to the covering failure of the barrier metal layer 34 and the seed layer 35a on the inner wall of the via hole 33 (FIG. 6). Here, an embedding defect caused by closing the opening of the via hole 33 at the time of electrolytic plating is investigated, and in order to selectively detect such embedding defect, the barrier metal layer 34 and the seed layer 35a are covered on the inner wall of the via hole 33. The conditions that can be secured are set.

Figure 2010103162
Figure 2010103162

Figure 2010103162
Figure 2010103162

バリアメタル層34は、表2に示したように、2種類の条件BRM(a),(b)で形成している。条件BRM(a),(b)ではいずれも、バリアメタル層34を、その形成途中でターゲット電力及び基板(ビアホール33の形成後でバリアメタル層34の形成前の基板)のバイアス電力を2ステップで変化させて形成する(マルチ・ステップ・スパッタリング法)。条件BRM(a)では、第1ステップで膜厚10nm、第2ステップで膜厚5nmの、計15nmの膜厚のバリアメタル層34を形成する。また、条件BRM(b)では、第1ステップで膜厚5nm、第2ステップで膜厚2nmの、計7nmの膜厚のバリアメタル層34を形成する。なお、膜厚は、絶縁層32表面のフィールド部における値である。   As shown in Table 2, the barrier metal layer 34 is formed under two types of conditions BRM (a) and (b). In both conditions BRM (a) and (b), the barrier metal layer 34 is subjected to two steps of the target power and the bias power of the substrate (the substrate after the formation of the via hole 33 and before the formation of the barrier metal layer 34) during the formation thereof. (The multi-step sputtering method). Under the condition BRM (a), a barrier metal layer 34 having a total thickness of 15 nm, which is 10 nm in the first step and 5 nm in the second step, is formed. Further, under the condition BRM (b), the barrier metal layer 34 having a total thickness of 7 nm, which is 5 nm in the first step and 2 nm in the second step, is formed. The film thickness is a value in the field portion on the surface of the insulating layer 32.

一方、シード層35aは、表2に示したように、3種類の異なるターゲット電力とバイアス電力の条件Seed(a)〜(c)で、いずれも60nmの膜厚で、形成している。なお、膜厚は、絶縁層32表面のフィールド部における値である。   On the other hand, as shown in Table 2, the seed layer 35a is formed with a film thickness of 60 nm in all three types of target power and bias power conditions Seed (a) to (c). The film thickness is a value in the field portion on the surface of the insulating layer 32.

表2に示したような条件BRM(a),(b)及び条件Seed(a)〜(c)を組み合わせ、複数のビアホール33に対して表1に示したような範囲の開口径W1,W2でバリアメタル層34及びシード層35aを形成した試料A〜Fを得る。そして、各試料A〜Fについて、一定条件で電解めっきを行ってめっき層35bを形成し、SEMを用いた断面観察を行って埋め込み達成率を求める。   A combination of conditions BRM (a) and (b) and conditions Seed (a) to (c) as shown in Table 2, and opening diameters W1 and W2 in a range as shown in Table 1 for a plurality of via holes 33. Thus, samples A to F in which the barrier metal layer 34 and the seed layer 35a are formed are obtained. And about each sample A-F, electrolytic plating is performed on fixed conditions, the plating layer 35b is formed, the cross-sectional observation using SEM is performed, and an embedding achievement rate is calculated | required.

表1より、埋め込み達成率が100%、即ち、複数のビアホール33が全てボイド無しでめっき層35bにより埋め込まれるのは、試料D,Eである。試料D,Eでは、それらの全ビアホール33について、シード層35a形成後(めっき層35b形成前)の開口径W2が20nm以上になっている。   From Table 1, it is samples D and E that the filling achievement rate is 100%, that is, the plurality of via holes 33 are filled with the plating layer 35b without any voids. In Samples D and E, the opening diameter W2 of all the via holes 33 after the formation of the seed layer 35a (before the formation of the plating layer 35b) is 20 nm or more.

これに対し、埋め込み達成率が100%未満となる試料A〜C,Fでは、表1に示したように、複数のビアホール33の中にシード層35a形成後の開口径W2が20nm未満となるものが含まれている。但し、試料A〜C,Fでも、複数のビアホール33のうち、シード層35a形成後の開口径W2が20nm以上になっているものについては、ボイドの発生は認められない。   On the other hand, in samples A to C and F in which the filling achievement rate is less than 100%, as shown in Table 1, the opening diameter W2 after the seed layer 35a is formed in the plurality of via holes 33 is less than 20 nm. Things are included. However, in Samples A to C and F, generation of voids is not observed in the plurality of via holes 33 in which the opening diameter W2 after formation of the seed layer 35a is 20 nm or more.

このように、シード層35a形成後の開口径W2を20nm以上にすることにより、ビアホール33内のボイドの発生を効果的に抑えることができるようになる。
また、表1より、バリアメタル層34を条件BRM(a)で形成し、その形成後の開口径W1が50nm未満となるときには、シード層35aの形成条件によらず、全ビアホール33のシード層35a形成後の開口径W2が20nm以上になるということがない。
Thus, by setting the opening diameter W2 after forming the seed layer 35a to 20 nm or more, generation of voids in the via hole 33 can be effectively suppressed.
Further, from Table 1, when the barrier metal layer 34 is formed under the condition BRM (a) and the opening diameter W1 after the formation is less than 50 nm, the seed layer of all the via holes 33 regardless of the formation conditions of the seed layer 35a. The opening diameter W2 after the formation of 35a does not become 20 nm or more.

ここで、バリアメタル層34形成後の開口径W1と、シード層35a形成後の開口径W2との関係について説明する。
表3に、バリアメタル層34及びシード層35aの形成条件と形成後の開口径W1,W2を調査した結果の一例を示す。また、表4に、表3の調査に用いたバリアメタル層34及びシード層35aの形成条件を示す。
Here, the relationship between the opening diameter W1 after forming the barrier metal layer 34 and the opening diameter W2 after forming the seed layer 35a will be described.
Table 3 shows an example of the results of examining the formation conditions of the barrier metal layer 34 and the seed layer 35a and the opening diameters W1 and W2 after the formation. Table 4 shows the conditions for forming the barrier metal layer 34 and the seed layer 35a used in the investigation of Table 3.

Figure 2010103162
Figure 2010103162

Figure 2010103162
Figure 2010103162

ここでは、バリアメタル層34は、表4に示したように、その形成途中でターゲット電力及びバイアス電力を2ステップで変化させる条件BRM(c)で形成している。条件BRM(c)では、第1ステップで膜厚5nm、第2ステップで膜厚1nmの、計6nmの膜厚のバリアメタル層34を形成する。なお、膜厚は、絶縁層32表面のフィールド部における値である。この条件BRM(c)でバリアメタル層34を形成すると、その形成後の開口径W1を50nm以上にすることができる。   Here, as shown in Table 4, the barrier metal layer 34 is formed under the condition BRM (c) in which the target power and the bias power are changed in two steps during the formation thereof. Under the condition BRM (c), a barrier metal layer 34 having a total thickness of 6 nm is formed, with a film thickness of 5 nm in the first step and a film thickness of 1 nm in the second step. The film thickness is a value in the field portion on the surface of the insulating layer 32. When the barrier metal layer 34 is formed under this condition BRM (c), the opening diameter W1 after the formation can be set to 50 nm or more.

一方、シード層35aは、表4に示したように、3種類の異なるターゲット電力とバイアス電力の条件Seed(d)〜(f)で、いずれも60nmの膜厚で、形成している。なお、膜厚は、絶縁層32表面のフィールド部における膜厚である。   On the other hand, as shown in Table 4, the seed layer 35a is formed with a film thickness of 60 nm in all three different target power and bias power conditions Seed (d) to (f). The film thickness is the film thickness in the field portion on the surface of the insulating layer 32.

条件BRM(c)及び条件Seed(d)〜(f)を組み合わせ、複数のビアホール33に対して表3に示したような範囲の開口径W1,W2でバリアメタル層34及びシード層35aを形成した試料G〜Iを得る。   By combining the condition BRM (c) and the conditions Seed (d) to (f), the barrier metal layer 34 and the seed layer 35a are formed with the opening diameters W1 and W2 in the range shown in Table 3 for the plurality of via holes 33. Samples GI were obtained.

表3より、バリアメタル層34形成後の開口径W1が50nm以上であっても、シード層35aの形成条件によっては、全ビアホール33のシード層35a形成後の開口径W2を20nm以上にすることができない場合があることがわかる。従って、シード層35a形成後の開口径W2を20nm以上にする場合、バリアメタル層34形成後の開口径W1を50nm以上にすると共に、シード層35aの形成条件を最適化することも重要になってくるということができる。   From Table 3, even if the opening diameter W1 after the formation of the barrier metal layer 34 is 50 nm or more, the opening diameter W2 after the formation of the seed layer 35a of all the via holes 33 should be 20 nm or more depending on the formation conditions of the seed layer 35a. It can be seen that there are cases where it is not possible. Therefore, when the opening diameter W2 after forming the seed layer 35a is set to 20 nm or more, it is important to set the opening diameter W1 after forming the barrier metal layer 34 to 50 nm or more and to optimize the formation conditions of the seed layer 35a. It can be said that it will come.

なお、ここでは、シングルダマシン法によりビアを形成する場合を例にして説明したが、シングルダマシン法による配線の形成も、上記のビアの形成フローと同様に行うことができる。   Note that, here, the case where vias are formed by a single damascene method has been described as an example, but wiring formation by a single damascene method can also be performed in the same manner as the above via formation flow.

また、ここでは、直径70nmのビアホール33を例にしてその埋め込み状態を調査した結果について説明した。同様の形成フローで、幅70nmの配線溝を形成し、そこにバリアメタル層及びシード層を介してめっき層を埋め込む場合にも、このビアホール33について得られたのと同様の結果が得られる。開口幅Wが70nmの配線溝の場合、シード層形成後の開口幅W2を20nm以上にし、また、バリアメタル層形成後の開口幅W1を50nm以上にすると共にシード層形成後の開口幅W2を20nm以上にすればよい。なお、配線溝の開口幅W,W1,W2は、配線溝の間口が最も狭くなっている部分の幅である。   In addition, here, the result of investigating the filling state of the via hole 33 having a diameter of 70 nm as an example has been described. The same result as that obtained for the via hole 33 can be obtained also when a wiring groove having a width of 70 nm is formed by the same formation flow and the plating layer is embedded in the wiring groove through the barrier metal layer and the seed layer. In the case of a wiring groove having an opening width W of 70 nm, the opening width W2 after forming the seed layer is set to 20 nm or more, the opening width W1 after forming the barrier metal layer is set to 50 nm or more, and the opening width W2 after forming the seed layer is set to What is necessary is just to be 20 nm or more. The opening widths W, W1, and W2 of the wiring grooves are the widths of the portions where the width of the wiring groove is the narrowest.

このように、第1の実施の形態では、開口寸法が70nmのパターン(ビアホール、配線溝)を形成し、そこにバリアメタル層及びシード層を介してめっき層を形成する。その際、めっき層形成直前のパターン間口の開口寸法(開口径、開口幅)が20nm以上になるようにする。これにより、ボイドの発生を効果的に抑えて、パターンにめっき層を埋め込むことができるようになる。その結果、電気的特性の劣化、短命化、信号遅延等を抑えた配線層が形成可能になり、高性能かつ高信頼性の半導体装置が実現可能になる。   Thus, in the first embodiment, a pattern (via hole, wiring groove) with an opening size of 70 nm is formed, and a plating layer is formed there via the barrier metal layer and the seed layer. At that time, the opening size (opening diameter, opening width) of the pattern opening immediately before the formation of the plating layer is set to 20 nm or more. Thereby, generation | occurrence | production of a void can be suppressed effectively and a plating layer can be embedded in a pattern. As a result, it is possible to form a wiring layer that suppresses deterioration of electrical characteristics, shortening of life, signal delay, and the like, and a high-performance and highly reliable semiconductor device can be realized.

以上の説明では、開口寸法が70nmのパターンを例にして説明したが、上記手法が適用可能なパターンの開口寸法は、70nmに限定されるものではない。特に、開口寸法が70nmを下回る、より微小なパターン(例えば開口寸法50nm〜70nm)については、同様にめっき層形成直前のパターン間口の開口径が20nm以上になるようにすることで、パターン内のボイドの発生を効果的に抑えることができる。開口寸法が70nmを上回るパターン(例えば開口寸法100nm)であっても、上記手法によれば、同様にパターン内のボイドの発生を効果的に抑えることが可能である。   In the above description, a pattern having an opening size of 70 nm has been described as an example. However, the opening size of a pattern to which the above method can be applied is not limited to 70 nm. In particular, for a finer pattern with an opening dimension of less than 70 nm (for example, an opening dimension of 50 nm to 70 nm), the opening diameter of the pattern opening immediately before the formation of the plating layer is set to 20 nm or more in the same manner. Generation of voids can be effectively suppressed. Even in the case of a pattern having an opening dimension exceeding 70 nm (for example, an opening dimension of 100 nm), the generation of voids in the pattern can be effectively suppressed according to the above method.

なお、シード層を形成する際には、シード層形成後のパターン間口の開口寸法が、20nm以上で、かつ、元々のパターン開口寸法の65%以下となるように、シード層を形成することが好ましい。これは、65%を上回るような範囲では、シード層が薄くなり、後の電解めっき時にシード層がめっき液に溶解し、それに起因したボイドの発生が起こる可能性が高まるためである。   When forming the seed layer, the seed layer may be formed so that the opening size of the pattern opening after forming the seed layer is 20 nm or more and 65% or less of the original pattern opening size. preferable. This is because in a range exceeding 65%, the seed layer becomes thin, and the seed layer is dissolved in the plating solution at the time of subsequent electrolytic plating, and the possibility of occurrence of voids due to this increases.

以下、上記手法を用いた実施例について説明する。
(第1実施例)
まず、第1実施例について説明する。図7〜図11は、第1実施例のビア形成工程の説明図である。以下、図7〜図11を参照して各工程を順に説明する。
Hereinafter, examples using the above method will be described.
(First embodiment)
First, the first embodiment will be described. 7 to 11 are explanatory views of the via forming process of the first embodiment. Hereafter, each process is demonstrated in order with reference to FIGS.

図7は第1実施例のビアホール形成工程の要部断面模式図である。
まず、図7に示すように、半導体基板に形成されているMOSトランジスタ等の素子に電気的に接続されている下層配線40上に、キャップ層41、層間絶縁膜42及びハードマスク43を順に形成する。キャップ層41及びハードマスク43はそれぞれ、例えば、SiCやSiOC等を、CVD法により、数十nmの膜厚で形成する。層間絶縁膜42は、例えば、有機系又は無機系のLow−k膜(ポーラス構造のものを含む)等を、塗布法やCVD法により、100nm〜数百nmの膜厚で形成する。例えば、キャップ層41、層間絶縁膜42及びハードマスク43を、合計膜厚140nm程度で形成する。
FIG. 7 is a schematic sectional view showing an important part of a via hole forming step according to the first embodiment.
First, as shown in FIG. 7, a cap layer 41, an interlayer insulating film 42, and a hard mask 43 are sequentially formed on a lower layer wiring 40 electrically connected to an element such as a MOS transistor formed on a semiconductor substrate. To do. The cap layer 41 and the hard mask 43 are each formed of, for example, SiC, SiOC, or the like with a film thickness of several tens of nm by a CVD method. As the interlayer insulating film 42, for example, an organic or inorganic Low-k film (including a porous structure) is formed with a film thickness of 100 nm to several hundreds of nm by a coating method or a CVD method. For example, the cap layer 41, the interlayer insulating film 42, and the hard mask 43 are formed with a total film thickness of about 140 nm.

キャップ層41、層間絶縁膜42及びハードマスク43の形成後は、フォトリソグラフィ技術及びエッチング技術を用いて、それらハードマスク43、層間絶縁膜42及びキャップ層41を貫通して下層配線40に達する、直径(開口径W)70nmのビアホール44を形成する。例えば、ビアホール44の形成には、フルオロカーボンを含むガス(CF系ガス)、アンモニアを含むガス(NH3系ガス)、及び窒素(N2)及び水素(H2)を含むガス(N2/H2ガス)等をエッチングガスとして用いたプラズマエッチングが利用可能である。 After the formation of the cap layer 41, the interlayer insulating film 42, and the hard mask 43, the photolithography technique and the etching technique are used to penetrate the hard mask 43, the interlayer insulating film 42, and the cap layer 41 to reach the lower layer wiring 40. A via hole 44 having a diameter (opening diameter W) of 70 nm is formed. For example, in forming the via hole 44, a gas containing fluorocarbon (CF gas), a gas containing ammonia (NH 3 gas), and a gas containing nitrogen (N 2 ) and hydrogen (H 2 ) (N 2 / H Plasma etching using 2 gas) as an etching gas can be used.

図8は第1実施例のバリアメタル層形成工程の要部断面模式図である。
ビアホール44の形成後は、図8に示すように、例えば、スパッタリング法を用いて、層間絶縁膜42上(ビアホール44の壁面)及びハードマスク43上に、ビアホール44に通じる開口を残して、バリアメタル層45を形成する。ここでは、バリアメタル層45形成後の開口径W1が50nm以上となるように、バリアメタル層45を形成する。
FIG. 8 is a schematic cross-sectional view of the relevant part in the barrier metal layer forming step of the first embodiment.
After the formation of the via hole 44, as shown in FIG. 8, for example, a sputtering method is used to leave an opening leading to the via hole 44 on the interlayer insulating film 42 (wall surface of the via hole 44) and the hard mask 43. A metal layer 45 is formed. Here, the barrier metal layer 45 is formed so that the opening diameter W1 after the formation of the barrier metal layer 45 is 50 nm or more.

なお、バリアメタル層45をスパッタリング法により形成する場合には、基板にバイアスを印加しないノンバイアス・スパッタリング法と、基板にバイアスを印加しながらスパッタリングを行うバイアス・スパッタリング法の、いずれも用いることができる。また、バリアメタル層45は、その形成を終始一定の条件で形成する方法のほか、形成途中でターゲット電力及び基板のバイアス電力を変化させるマルチ・ステップ・スパッタリング法により形成することもできる。   When the barrier metal layer 45 is formed by a sputtering method, both a non-bias sputtering method that does not apply a bias to the substrate and a bias sputtering method that performs sputtering while applying a bias to the substrate can be used. it can. Further, the barrier metal layer 45 can be formed by a multi-step sputtering method in which the target power and the substrate bias power are changed during the formation, in addition to the method of forming the barrier metal layer 45 from a constant condition throughout the formation.

バリアメタル層45を2ステップで形成する場合の条件の一例を次に示す。
<第1ステップ>
膜厚(ハードマスク43上):3nm〜5nm
ターゲット電力:5kW〜40kW
バイアス電力:0W〜500W
圧力:4×10-2Pa
<第2ステップ>
膜厚(ハードマスク43上):0nm〜3nm
ターゲット電力:0.1kW〜5kW
バイアス電力:0W〜500W
圧力:4×10-2Pa
このような条件を用いることにより、ビアホール44の壁面に被覆不良を発生させずに、かつ、バリアメタル層45形成後の開口径W1を50nm以上にすることができる。
An example of the conditions for forming the barrier metal layer 45 in two steps is as follows.
<First step>
Film thickness (on hard mask 43): 3 nm to 5 nm
Target power: 5kW-40kW
Bias power: 0W to 500W
Pressure: 4 × 10 −2 Pa
<Second step>
Film thickness (on hard mask 43): 0 nm to 3 nm
Target power: 0.1 kW to 5 kW
Bias power: 0W to 500W
Pressure: 4 × 10 −2 Pa
By using such conditions, it is possible to make the opening diameter W1 after the formation of the barrier metal layer 45 50 nm or more without causing a coating defect on the wall surface of the via hole 44.

図9は第1実施例のシード層形成工程の要部断面模式図である。
バリアメタル層45の形成後は、図9に示すように、例えば、スパッタリング法を用いて、バリアメタル層45上に、ビアホール44に通じる開口を残して、Cuのシード層46aを形成する。ここでは、シード層46a形成後の開口径W2が20nm以上となるように、シード層46aを形成する。
FIG. 9 is a schematic sectional view showing an important part of the seed layer forming step of the first embodiment.
After the formation of the barrier metal layer 45, as shown in FIG. 9, a Cu seed layer 46a is formed on the barrier metal layer 45, leaving an opening leading to the via hole 44, for example, by sputtering. Here, the seed layer 46a is formed so that the opening diameter W2 after the seed layer 46a is formed is 20 nm or more.

なお、シード層46aには、Cuのほか、銅アルミニウム(CuAl)、銅チタン(CuTi)等の合金を用いることもできる。
シード層46aをスパッタリング法により形成する場合には、ノンバイアス・スパッタリング法とバイアス・スパッタリング法のいずれも用いることができる。
In addition to Cu, alloys such as copper aluminum (CuAl) and copper titanium (CuTi) can also be used for the seed layer 46a.
When the seed layer 46a is formed by a sputtering method, either a non-bias sputtering method or a bias sputtering method can be used.

シード層46aの形成条件の一例を次に示す。
膜厚(ハードマスク43上):30nm〜100nm
ターゲット電力:5kW〜30kW
バイアス電力:0W〜200W
圧力:1×10-5Pa〜10Pa
このような条件を用いることにより、バリアメタル層45の表面に被覆不良を発生させずに、かつ、シード層46a形成後の開口径W2を20nm以上にすることができる。
An example of the conditions for forming the seed layer 46a is as follows.
Film thickness (on hard mask 43): 30 nm to 100 nm
Target power: 5kW-30kW
Bias power: 0W to 200W
Pressure: 1 × 10 −5 Pa to 10 Pa
By using such conditions, it is possible to make the opening diameter W2 after forming the seed layer 46a 20 nm or more without causing a coating failure on the surface of the barrier metal layer 45.

なお、シード層46aは、マルチ・ステップ・スパッタリング法により形成することもできる。
図10は第1実施例のめっき層形成工程の要部断面模式図である。
The seed layer 46a can also be formed by a multi-step sputtering method.
FIG. 10 is a schematic cross-sectional view of the relevant part in the plating layer forming step of the first embodiment.

シード層46aの形成後は、図10に示すように、シード層46aを用いた電解めっき法により、配線材料であるCuのめっき層46bをビアホール44内に埋め込む。例えば、硫酸銅浴に浸漬し、電流密度7A/cm2〜30A/cm2の条件で、膜厚500nm〜1500nmのめっき層46bを形成する。 After the formation of the seed layer 46a, as shown in FIG. 10, a Cu plating layer 46b as a wiring material is embedded in the via hole 44 by an electrolytic plating method using the seed layer 46a. For example, the plating layer 46b having a film thickness of 500 nm to 1500 nm is formed under the condition of a current density of 7 A / cm 2 to 30 A / cm 2 by dipping in a copper sulfate bath.

この電解めっき時には、上記のように、予めバリアメタル層45形成後の開口径W1を50nm以上とし、かつ、シード層46a形成後の開口径W2を20nm以上としている。そのため、間口の閉塞によってビアホール44内にボイドを発生させることなく、ビアホール44内にめっき層46bを埋め込むことができる。   At the time of this electrolytic plating, as described above, the opening diameter W1 after forming the barrier metal layer 45 is 50 nm or more, and the opening diameter W2 after forming the seed layer 46a is 20 nm or more. Therefore, the plating layer 46 b can be embedded in the via hole 44 without generating a void in the via hole 44 due to the closing of the opening.

図11は第1実施例のCMP工程の要部断面模式図である。
めっき層46bの形成後は、図11に示すように、CMPにより、ハードマスク43上の不要なめっき層46b、シード層46a及びバリアメタル層45を除去する。これにより、ビアホール44内にバリアメタル層45を介してシード層46a及びめっき層46bが形成されたビア46が得られる。なお、CMP後のビア46の最小径(バリアメタル層45を除く部分の径)W3は、50nm以上になる。
FIG. 11 is a schematic sectional view showing an important part of a CMP process according to the first embodiment.
After the plating layer 46b is formed, unnecessary plating layer 46b, seed layer 46a and barrier metal layer 45 on the hard mask 43 are removed by CMP as shown in FIG. Thereby, the via 46 in which the seed layer 46 a and the plating layer 46 b are formed in the via hole 44 through the barrier metal layer 45 is obtained. Note that the minimum diameter (diameter of the portion excluding the barrier metal layer 45) W3 of the via 46 after CMP is 50 nm or more.

(第2実施例)
次に、第2実施例について説明する。なお、この第2実施例では、上記第1実施例で述べたビアホール形成工程(図7)は同じであるため、それ以後の工程について、次の図12〜図15を参照して順に説明する。
(Second embodiment)
Next, a second embodiment will be described. In the second embodiment, since the via hole forming step (FIG. 7) described in the first embodiment is the same, the subsequent steps will be described in order with reference to FIGS. .

図12は第2実施例のシード層形成工程の要部断面模式図である。
ここでは、上記図7に示したようにビアホール44を形成した後、バリアメタル層を形成することなく、図12に示すように、Cu及びMnを含むシード層46c、例えば、銅マンガン(CuMn)合金のシード層46cを形成する。シード層46cは、例えば、スパッタリング法を用い、層間絶縁膜42上(ビアホール44の壁面)及びハードマスク43上に、ビアホール44に通じる開口を残して、形成する。ここでは、シード層46c形成後の開口径W2が20nm以上となるように、シード層46cを形成する。
FIG. 12 is a schematic sectional view showing an important part of a seed layer forming step according to the second embodiment.
Here, after forming the via hole 44 as shown in FIG. 7 and without forming a barrier metal layer, as shown in FIG. 12, a seed layer 46c containing Cu and Mn, for example, copper manganese (CuMn), is formed. An alloy seed layer 46c is formed. The seed layer 46c is formed by using, for example, a sputtering method, leaving an opening leading to the via hole 44 on the interlayer insulating film 42 (wall surface of the via hole 44) and the hard mask 43. Here, the seed layer 46c is formed so that the opening diameter W2 after the seed layer 46c is formed is 20 nm or more.

シード層46cをスパッタリング法により形成する場合には、ノンバイアス・スパッタリング法とバイアス・スパッタリング法のいずれも用いることができる。
シード層46cの形成条件の一例を次に示す。
When the seed layer 46c is formed by a sputtering method, either a non-bias sputtering method or a bias sputtering method can be used.
An example of the conditions for forming the seed layer 46c is as follows.

膜厚(ハードマスク43上):30nm〜100nm
ターゲット電力:5kW〜30kW
バイアス電力:0W〜200W
圧力:1×10-5Pa〜10Pa
Mn添加量:0.1atom%〜10atom%
このような条件を用いることにより、ビアホール44の壁面に被覆不良を発生させずに、かつ、シード層46c形成後の開口径W2を20nm以上にすることができる。
Film thickness (on hard mask 43): 30 nm to 100 nm
Target power: 5kW-30kW
Bias power: 0W to 200W
Pressure: 1 × 10 −5 Pa to 10 Pa
Mn addition amount: 0.1 atom% to 10 atom%
By using such conditions, it is possible to make the opening diameter W2 after formation of the seed layer 46c 20 nm or more without causing a coating defect on the wall surface of the via hole 44.

なお、シード層46cは、マルチ・ステップ・スパッタリング法により形成することもできる。
図13は第2実施例のめっき層形成工程の要部断面模式図である。
The seed layer 46c can also be formed by a multi-step sputtering method.
FIG. 13 is a schematic cross-sectional view of the relevant part in the plating layer forming step of the second embodiment.

シード層46cの形成後は、図13に示すように、シード層46cを用いた電解めっき法により、Cuのめっき層46bをビアホール44内に埋め込む。
電解めっき時には、上記のように、予めシード層46c形成後の開口径W2を20nm以上としているため、ボイドを発生させずにビアホール44内にめっき層46bを埋め込むことができる。
After the formation of the seed layer 46c, as shown in FIG. 13, a Cu plating layer 46b is embedded in the via hole 44 by an electrolytic plating method using the seed layer 46c.
At the time of electrolytic plating, as described above, since the opening diameter W2 after the seed layer 46c is formed is set to 20 nm or more, the plating layer 46b can be embedded in the via hole 44 without generating a void.

図14は第2実施例の熱処理工程の要部断面模式図である。
めっき層46bの形成後は、熱処理を行い、シード層46cに添加したMnを熱拡散させる。シード層46c中のMnは、熱処理により、シード層46cと層間絶縁膜42との界面に拡散し、層間絶縁膜42内のSiやOと反応してMnSixyやMnOxといったMn酸化物を形成する。このMn酸化物は、層間絶縁膜42中へのCuの拡散をブロックするに十分なバリア性を有している。
FIG. 14 is a schematic sectional view showing an important part of a heat treatment process according to the second embodiment.
After the formation of the plating layer 46b, heat treatment is performed to thermally diffuse Mn added to the seed layer 46c. Mn in the seed layer 46c diffuses to the interface between the seed layer 46c and the interlayer insulating film 42 by heat treatment and reacts with Si and O in the interlayer insulating film 42 to react with Mn oxides such as MnSi x O y and MnO x . Form. This Mn oxide has a barrier property sufficient to block diffusion of Cu into the interlayer insulating film 42.

このように、バリアメタル層を形成することなく、Mnを含有するシード層46cを形成し、めっき層46bの形成後、熱処理を行うことにより、配線材料と層間絶縁膜42との界面には、図14に示すように、自己整合的にバリア層50が形成される。バリア層50は、ビアホール44の側壁に、例えば、5nm以下程度の膜厚で形成される。   In this way, by forming the seed layer 46c containing Mn without forming the barrier metal layer, and performing the heat treatment after the formation of the plating layer 46b, at the interface between the wiring material and the interlayer insulating film 42, As shown in FIG. 14, the barrier layer 50 is formed in a self-aligning manner. The barrier layer 50 is formed on the sidewall of the via hole 44 with a film thickness of about 5 nm or less, for example.

このようにMnを拡散させてバリア層50を形成するための熱処理は、例えば、真空雰囲気、不活性ガス雰囲気、大気雰囲気、微量酸素雰囲気等の雰囲気下で、温度150℃以上、時間30秒以上の条件で行うことができる。   The heat treatment for diffusing Mn in this way to form the barrier layer 50 is performed at a temperature of 150 ° C. or more and a time of 30 seconds or more in an atmosphere such as a vacuum atmosphere, an inert gas atmosphere, an air atmosphere, or a trace oxygen atmosphere, for example. Can be performed under the following conditions.

図15は第2実施例のCMP工程の要部断面模式図である。
バリア層50を形成する熱処理後は、図15に示すように、CMPにより、ハードマスク43上の不要なめっき層46b、シード層46c及びバリア層50を除去する。これにより、ビアホール44内に自己整合的に形成されたバリア層50を介してシード層46c及びめっき層46bが形成されたビア46が得られる。なお、CMP後のビア46の最小径(バリア層50を除く部分の径)W3は、膜厚5nm以下のバリア層50が形成されている場合、60nm以上になる。
FIG. 15 is a schematic sectional view showing an important part of a CMP process according to the second embodiment.
After the heat treatment for forming the barrier layer 50, as shown in FIG. 15, the unnecessary plating layer 46b, seed layer 46c and barrier layer 50 on the hard mask 43 are removed by CMP. Thereby, the via 46 in which the seed layer 46c and the plating layer 46b are formed through the barrier layer 50 formed in a self-aligned manner in the via hole 44 is obtained. Note that the minimum diameter (diameter of the portion excluding the barrier layer 50) W3 of the via 46 after CMP is 60 nm or more when the barrier layer 50 having a film thickness of 5 nm or less is formed.

なお、バリア層50は、上記図14で述べた熱処理工程を経ずにCMPを行ってビア46を形成した後、他工程の熱処理、例えば、より上層の絶縁膜を形成する際の熱等を利用して、形成することも可能である。   Note that the barrier layer 50 is subjected to CMP without the heat treatment step described in FIG. 14 to form the via 46, and then subjected to heat treatment in other steps, for example, heat at the time of forming an upper insulating film. It is also possible to form by using.

(第3実施例)
次に、第3実施例について説明する。なお、この第3実施例では、上記第1実施例で述べたビアホール44の形成工程(図7)及びバリアメタル層45の形成工程(図8)までは同じであるため、それ以後の工程について、次の図16〜図19を参照して順に説明する。
(Third embodiment)
Next, a third embodiment will be described. In the third embodiment, the process up to the formation process of the via hole 44 (FIG. 7) and the formation process of the barrier metal layer 45 (FIG. 8) described in the first embodiment are the same. These will be described in order with reference to FIGS.

図16は第3実施例のシード層形成工程の要部断面模式図である。
上記図7及び図8に示したようにビアホール44の形成、及びバリアメタル層45の形成を行った後、図16に示すように、所定の条件でスパッタリングを行い、バリアメタル層45上に、Cu及びMnを含むシード層46cを形成する。シード層46cは、シード層46c形成後の開口径W2が20nm以上となるように形成する。
FIG. 16 is a schematic sectional view showing an important part of a seed layer forming step according to the third embodiment.
After forming the via hole 44 and the barrier metal layer 45 as shown in FIG. 7 and FIG. 8, sputtering is performed under predetermined conditions as shown in FIG. A seed layer 46c containing Cu and Mn is formed. The seed layer 46c is formed so that the opening diameter W2 after the seed layer 46c is formed is 20 nm or more.

図17は第3実施例のめっき層形成工程の要部断面模式図である。
シード層46cの形成後は、図17に示すように、所定の条件で電解めっきを行い、Cuのめっき層46bをビアホール44内に埋め込む。この電解めっき時には、予めバリアメタル層45形成後の開口径W1を50nm以上とし、かつ、シード層46c形成後の開口径W2を20nm以上としているため、ボイドを発生させずにビアホール44内にめっき層46bを埋め込むことができる。
FIG. 17 is a schematic cross-sectional view of the relevant part in the plating layer forming step of the third embodiment.
After the formation of the seed layer 46 c, as shown in FIG. 17, electrolytic plating is performed under predetermined conditions to embed the Cu plating layer 46 b in the via hole 44. At the time of this electrolytic plating, the opening diameter W1 after the formation of the barrier metal layer 45 is set to 50 nm or more and the opening diameter W2 after the formation of the seed layer 46c is set to 20 nm or more, so that plating is performed in the via hole 44 without generating voids. Layer 46b can be embedded.

図18は第3実施例の熱処理工程の要部断面模式図である。
めっき層46bの形成後は、所定の条件で熱処理を行い、配線材料と層間絶縁膜42及びバリアメタル層45との界面に、図14に示すように、膜厚5nm以下程度のバリア層50を自己整合的に形成する。
FIG. 18 is a schematic sectional view showing an important part of a heat treatment process according to the third embodiment.
After the formation of the plating layer 46b, heat treatment is performed under predetermined conditions, and a barrier layer 50 having a thickness of about 5 nm or less is formed at the interface between the wiring material, the interlayer insulating film 42, and the barrier metal layer 45 as shown in FIG. Form in a self-aligning manner.

ここで、この第3実施例におけるバリア層50の形成状態について説明する。
図19はビアホール側壁部におけるバリア層の形成状態の説明図である。
層間絶縁膜42にビアホール44を形成し、バリアメタル層45を形成した後には、その形成条件によっては、ビアホール44の側壁にバリアメタル層45の未形成領域200が生じてしまう場合がある。また、製造環境や製造時の取り扱いによっては、続くシード層46cの形成までの間に、バリアメタル層45が酸化して変質してしまった変質バリアメタル層45aがビアホール44の側壁に生成されてしまう可能性もある。
Here, the formation state of the barrier layer 50 in the third embodiment will be described.
FIG. 19 is an explanatory diagram of the formation state of the barrier layer on the side wall of the via hole.
After the via hole 44 is formed in the interlayer insulating film 42 and the barrier metal layer 45 is formed, an unformed region 200 of the barrier metal layer 45 may be formed on the sidewall of the via hole 44 depending on the formation conditions. Depending on the manufacturing environment and handling during manufacturing, a modified barrier metal layer 45a in which the barrier metal layer 45 is oxidized and deteriorated before the formation of the subsequent seed layer 46c is generated on the sidewall of the via hole 44. There is also a possibility of end.

しかし、このような場合であっても、Mnを含有するシード層46cを形成すると、後の熱処理時には、拡散したMnと未形成領域200の層間絶縁膜42内のSi,Oとの反応や、拡散したMnと変質バリアメタル層45a表面のOとの反応が起こる。それにより、未形成領域200や変質バリアメタル層45a上にバリア層50が形成されるようになる。また、同様に、拡散したMnとバリアメタル層45表面に存在するOとの反応が起こると、バリアメタル層45上にもバリア層50が形成される。   However, even in such a case, when the seed layer 46c containing Mn is formed, the reaction between the diffused Mn and Si and O in the interlayer insulating film 42 in the unformed region 200 during the subsequent heat treatment, A reaction between the diffused Mn and O on the surface of the altered barrier metal layer 45a occurs. As a result, the barrier layer 50 is formed on the unformed region 200 and the altered barrier metal layer 45a. Similarly, when a reaction between diffused Mn and O present on the surface of the barrier metal layer 45 occurs, the barrier layer 50 is also formed on the barrier metal layer 45.

この結果、元々形成されているバリアメタル層45と、新たに形成されたバリア層50とにより、配線材料であるCuの層間絶縁膜42内への拡散を効果的にブロックすることが可能になる。   As a result, the diffusion of Cu, which is a wiring material, into the interlayer insulating film 42 can be effectively blocked by the originally formed barrier metal layer 45 and the newly formed barrier layer 50. .

このようなバリア層50を形成する熱処理を行った後は、CMPにより、ハードマスク43上の不要なめっき層46b、シード層46c、バリア層50及びバリアメタル層45を除去することで、ビア46が得られる。なお、CMP後のビア46の最小径(バリアメタル層45及びバリア層50を除く部分の径)W3は、膜厚5nm以下のバリア層50が形成されている場合、40nm以上になる。   After performing the heat treatment for forming the barrier layer 50, the unnecessary plating layer 46b, seed layer 46c, barrier layer 50, and barrier metal layer 45 on the hard mask 43 are removed by CMP to thereby remove the via 46. Is obtained. Note that the minimum diameter W3 of the via 46 after CMP (the diameter of the portion excluding the barrier metal layer 45 and the barrier layer 50) W3 is 40 nm or more when the barrier layer 50 having a film thickness of 5 nm or less is formed.

なお、以上の説明では、バリアメタル層及びシード層をスパッタリング法を用いて形成する場合を例にして述べたが、スパッタリング法のほか、PVD法、CVD法、ALD(Atomic Layer Deposition)法等を用いることもできる。   In the above description, the case where the barrier metal layer and the seed layer are formed using the sputtering method has been described as an example. However, in addition to the sputtering method, a PVD method, a CVD method, an ALD (Atomic Layer Deposition) method, or the like is used. It can also be used.

また、以上の説明では、シングルダマシン法を用いたパターン形成を例にして述べたが、ビアホールと配線溝を同時に埋め込むデュアルダマシン法を用いてパターン形成を行う場合も、上記同様の手法を用いることが可能である。   In the above description, the pattern formation using the single damascene method has been described as an example. However, the same method as described above is also used when pattern formation is performed using the dual damascene method in which the via hole and the wiring groove are simultaneously filled. Is possible.

次に、第2の実施の形態について説明する。
近年では、配線及びビアのパターン材料に、主に低抵抗化を目的としてCuが利用され、また、層間絶縁膜材料には、主に容量低減を目的としてLow−k材料が利用されることが多くなってきている。
Next, a second embodiment will be described.
In recent years, Cu is mainly used as a pattern material for wiring and vias for the purpose of reducing resistance, and a low-k material is mainly used as an interlayer insulating film material for the purpose of reducing capacitance. It is getting more.

しかしながら、Low−k膜は、プラズマ耐性が弱いという性質を有している。そのため、Low−k膜の層間絶縁膜に、キャップ層やハードマスク等の他の絶縁材料と共に、配線溝及びビアホールをプラズマエッチングにより形成しようとすると、層間絶縁膜にサイドエッチングが生じ、ボーイングが形成されてしまう場合がある。   However, the Low-k film has a property of weak plasma resistance. Therefore, when trying to form wiring trenches and via holes in the low-k interlayer insulating film together with other insulating materials such as a cap layer and a hard mask by plasma etching, side etching occurs in the interlayer insulating film and bowing is formed. It may be done.

ここで、図20〜図24は、層間絶縁膜材料にLow−k材料を用いた場合の配線形成工程の一例を説明する図である。図20はバリアメタル層及びシード層形成後の状態の一例を示す図、図21はめっき層形成初期段階の一例を示す図、図22はめっき層形成後の状態の一例を示す図、図23は熱処理後の状態の一例を示す図、図24はCMP後の状態の一例を示す図である。   Here, FIG. 20 to FIG. 24 are diagrams for explaining an example of a wiring formation process when a low-k material is used as an interlayer insulating film material. 20 is a diagram showing an example of the state after the formation of the barrier metal layer and the seed layer, FIG. 21 is a diagram showing an example of the initial stage of the plating layer formation, FIG. 22 is a diagram showing an example of the state after the formation of the plating layer, and FIG. FIG. 24 is a diagram showing an example of a state after heat treatment, and FIG. 24 is a diagram showing an example of a state after CMP.

例えば、図20に示すように、まず、MOSトランジスタ等の素子を形成した半導体基板上方に、テトラエトキシシラン(TEOS)を用いてSiO膜(TEOS膜)70をCVD法により形成する。続いて、膜厚30nm程度のSiC膜をキャップ層71として形成する。その後、キャップ層71上に、塗布法やCVD法により、膜厚130nm程度のLow−k膜(ポーラス構造のものを含む)の層間絶縁膜72を形成する。そして、所定膜厚のSiC膜73aとSiO膜73bとを積層してハードマスク73を形成した後、プラズマエッチングにより、ハードマスク73、層間絶縁膜72及びキャップ層71を順にエッチングしていき、所定寸法の配線溝74を形成する。例えば、幅50nm〜100nm、深さ150nm〜400nm程度(アスペクト比>2.5)の配線溝74を形成する。また、その形成時のエッチングガスには、CF系ガス、NH3系ガス、N2/H2ガス等を用いる。 For example, as shown in FIG. 20, first, a SiO film (TEOS film) 70 is formed by CVD using tetraethoxysilane (TEOS) above a semiconductor substrate on which elements such as MOS transistors are formed. Subsequently, an SiC film having a thickness of about 30 nm is formed as the cap layer 71. Thereafter, an interlayer insulating film 72 of a low-k film (including a porous structure) having a thickness of about 130 nm is formed on the cap layer 71 by a coating method or a CVD method. Then, a hard mask 73 is formed by laminating a SiC film 73a and a SiO film 73b having a predetermined thickness, and then the hard mask 73, the interlayer insulating film 72, and the cap layer 71 are sequentially etched by plasma etching. A wiring groove 74 having a size is formed. For example, the wiring trench 74 having a width of 50 nm to 100 nm and a depth of about 150 nm to 400 nm (aspect ratio> 2.5) is formed. Further, CF gas, NH 3 gas, N 2 / H 2 gas, or the like is used as an etching gas for the formation.

このような配線溝74の形成時において、層間絶縁膜72をLow−k膜により形成していると、ハードマスク73やキャップ層71とのエッチングレートの違いにより、層間絶縁膜72にサイドエッチングが生じ、ボーイング72aが発生する場合がある。   When the interlayer insulating film 72 is formed of a low-k film during the formation of the wiring trench 74, side etching is performed on the interlayer insulating film 72 due to the difference in etching rate with the hard mask 73 and the cap layer 71. This may cause a bowing 72a.

配線溝74の形成後は、例えば、スパッタリング法により、図20に示したように、Ta等が堆積されてバリアメタル層75が形成され、Cu等の堆積成分76cが堆積されてシード層76aが形成される。バリアメタル層75及びシード層76aは、ハードマスク73上(フィールド部)に比べ、配線溝74の側壁部には薄く形成され易い。特に、上記のように配線溝74にボーイング72aが発生していると、その形状のために、バリアメタル層75やシード層76aの形成条件によっては、配線溝74の側壁部のバリアメタル層75やシード層76aの膜厚が非常に薄くなってしまう。なお、図20には、シード層76aが部分的に薄くなって形成されている場合を例示している。   After the formation of the wiring trench 74, Ta or the like is deposited by sputtering, for example, to form a barrier metal layer 75, and a deposition component 76c such as Cu is deposited to form a seed layer 76a. It is formed. The barrier metal layer 75 and the seed layer 76a are easily formed thinner on the side wall portion of the wiring groove 74 than on the hard mask 73 (field portion). In particular, when the bowing 72a is generated in the wiring groove 74 as described above, depending on the formation conditions of the barrier metal layer 75 and the seed layer 76a, the barrier metal layer 75 on the side wall of the wiring groove 74 is formed due to the shape. Or the seed layer 76a becomes very thin. FIG. 20 illustrates the case where the seed layer 76a is formed to be partially thin.

このような状態から電解めっきを行うと、図21に示すように、シード層76aがめっき液77に溶解して未形成領域(溶解部分)300ができる、といった現象が起こり易くなる。また、未形成領域300は形成されなくとも、シード層76aがめっき液77への溶解で薄くなり、バリアメタル層75との密着力が低下する、といった現象が起こる場合もある。   When electrolytic plating is performed from such a state, as shown in FIG. 21, a phenomenon that the seed layer 76a is dissolved in the plating solution 77 to form an unformed region (dissolved portion) 300 is likely to occur. Even if the unformed region 300 is not formed, a phenomenon may occur in which the seed layer 76a is thinned by dissolution in the plating solution 77 and adhesion with the barrier metal layer 75 is reduced.

シード層76aがめっき液77に溶解した状態でも電解めっきは進行し、図22に示すように、めっき層76bが配線溝74内に十分に埋め込まれた状態を得ることは可能である。しかし、その後、熱処理雰囲気に晒すと、図23に示すように、シード層76aの未形成領域300や、バリアメタル層75との密着力が弱い部分を起点に、ボイド301が発生してくる場合がある。この場合、CMP後には、ボイド301が残った不良の配線76が形成されてしまうようになる。   Electroplating proceeds even when the seed layer 76a is dissolved in the plating solution 77, and it is possible to obtain a state in which the plating layer 76b is sufficiently embedded in the wiring groove 74 as shown in FIG. However, after that, when exposed to a heat treatment atmosphere, as shown in FIG. 23, when a void 301 is generated starting from an unformed region 300 of the seed layer 76a or a portion having a weak adhesion to the barrier metal layer 75. There is. In this case, after CMP, a defective wiring 76 in which the void 301 remains is formed.

なお、このようなボイド301の発生は、配線76形成時の熱処理のほか、配線76形成後の他工程の熱処理(より上層の絶縁膜を形成する際の熱等)によっても、同様に起こり得る。   The generation of the void 301 can also occur in the same manner not only by the heat treatment at the time of forming the wiring 76 but also by the heat treatment in another process after the formation of the wiring 76 (heat at the time of forming an upper insulating film). .

そこで、次のようなシード層76aの形成方法を採用し、層間絶縁膜72にボーイング72aが発生しているような場合であっても、シード層76aのめっき液77への溶解による未形成領域300の発生等を抑え、ボイド301の発生を抑制する。   Therefore, the following formation method of the seed layer 76a is adopted, and even if the bowing 72a is generated in the interlayer insulating film 72, the unformed region due to the dissolution of the seed layer 76a in the plating solution 77 is used. Generation | occurrence | production of 300 etc. are suppressed and generation | occurrence | production of the void 301 is suppressed.

図25は配線溝形成工程の要部断面模式図、図26はめっき層形成初期段階の要部断面模式図、図27はめっき層形成工程の要部断面模式図、図28は熱処理工程の要部断面模式図、図29はCMP工程の要部断面模式図である。   25 is a schematic cross-sectional view of the main part of the wiring groove forming process, FIG. 26 is a schematic cross-sectional view of the main part in the initial stage of plating layer formation, FIG. 27 is a schematic cross-sectional view of the main part of the plating layer forming process, and FIG. FIG. 29 is a partial cross-sectional schematic diagram of the CMP process.

ここでは、図25に示すように、配線溝74の形成後、まず、スパッタリング法により、バリアメタル層75としてTa膜等を形成する。その際は、例えば、ターゲット電力が1.0kW〜20kW、基板のバイアス電力が0W〜300W、圧力が4×10-2Paの条件でスパッタリングを行い、フィールド部の膜厚が3nm〜20nm程度となるようにバリアメタル層75を形成する。 Here, as shown in FIG. 25, after the wiring groove 74 is formed, a Ta film or the like is first formed as the barrier metal layer 75 by sputtering. In that case, for example, sputtering is performed under conditions of a target power of 1.0 kW to 20 kW, a substrate bias power of 0 W to 300 W, and a pressure of 4 × 10 −2 Pa, and the film thickness of the field portion is about 3 nm to 20 nm. A barrier metal layer 75 is formed so as to be.

次いで、このようにして形成したバリアメタル層75上に、スパッタリング法により、シード層76aを形成する。その際は、例えば、ターゲット電力が2.0kW〜5.0kW、基板のバイアス電力が50W〜150W、圧力が1×10-5Pa〜10Paの条件でスパッタリングを行い、シード層76aを形成する。シード層76aは、例えば、フィールド部の膜厚が3nm〜25nm程度となるように形成する。 Next, a seed layer 76a is formed on the barrier metal layer 75 thus formed by sputtering. In that case, for example, sputtering is performed under the conditions of a target power of 2.0 kW to 5.0 kW, a substrate bias power of 50 W to 150 W, and a pressure of 1 × 10 −5 Pa to 10 Pa to form the seed layer 76a. The seed layer 76a is formed, for example, so that the film thickness of the field portion is about 3 nm to 25 nm.

一般にスパッタリング法では、例えば、アルゴン(Ar)をプラズマ化してターゲットに衝突させ、その衝突によってターゲットから発生した堆積成分(Cu原子、Cuイオン等)が基板に衝突することで、基板上に堆積成分が堆積されていく。その際、スパッタリング条件によっては、堆積成分の基板上への堆積と共に、一旦堆積された堆積成分がArイオンの衝突等によりエッチングされる現象(再スパッタリング)が起こる。   Generally, in the sputtering method, for example, argon (Ar) is turned into plasma and collided with a target, and deposition components (Cu atoms, Cu ions, etc.) generated from the target collide with the substrate due to the collision, thereby depositing components on the substrate. Will be deposited. At this time, depending on the sputtering conditions, a phenomenon (resputtering) occurs in which the deposited component is etched by Ar ion collision or the like, along with deposition of the deposited component on the substrate.

ここでは、シード層76aを形成する際、堆積成分76cの堆積と共に、そのような再スパッタリングが起こるような条件を用いる。そして、バリアメタル層75上へのシード層76aの堆積を行いながら、配線溝74の底部に堆積されたシード層76aの一部の堆積成分76cを再スパッタリングして、配線溝74の側壁部に堆積させるようにする。これにより、ボーイング72aが発生している、シード層76aの膜厚が薄くなり易かった配線溝74の側壁部において、シード層76aを一定以上の膜厚に確保する。なお、このようなスパッタリング法を用いたシード層76aの形成方法の詳細については後述する。   Here, when forming the seed layer 76a, conditions are used such that such resputtering occurs along with the deposition of the deposition component 76c. Then, while depositing the seed layer 76 a on the barrier metal layer 75, a part of the deposited component 76 c of the seed layer 76 a deposited on the bottom of the wiring groove 74 is re-sputtered to form the sidewall of the wiring groove 74. Try to deposit. As a result, the seed layer 76a is secured to a certain thickness or more on the side wall portion of the wiring groove 74 where the bowing 72a is generated and the film thickness of the seed layer 76a is easily reduced. The details of the method for forming the seed layer 76a using such a sputtering method will be described later.

配線溝74の側壁部におけるシード層76aの膜厚を一定以上とすることにより、続く電解めっきの際には、図26に示すように、未形成領域や密着力低下を生じさせるようなシード層76aのめっき液77への溶解が抑えられるようになる。   By setting the film thickness of the seed layer 76a on the side wall portion of the wiring groove 74 to a certain value or more, as shown in FIG. The dissolution of 76a in the plating solution 77 is suppressed.

このように、シード層76aの膜厚を一定以上にし、未形成領域等を生じさせるような電解めっき時の溶解を抑えるようにすることにより、図27に示すように、めっき層76bが配線溝74内に十分に埋め込まれていく。そして、めっき層76bの形成後、熱処理を行っても、図28に示すように、ボイドの発生は効果的に抑えられ、CMP後も、図29に示すように、ボイドの無い、良好な配線76が得られるようになる。   In this way, by setting the film thickness of the seed layer 76a to a certain value or more and suppressing dissolution during electroplating that causes an unformed region or the like, the plating layer 76b becomes a wiring groove as shown in FIG. It is fully embedded in 74. Even if heat treatment is performed after the formation of the plating layer 76b, generation of voids is effectively suppressed as shown in FIG. 28, and good wiring without voids is also obtained after CMP as shown in FIG. 76 is obtained.

なお、層間絶縁膜72のボーイング72aの程度は、次のような値で評価することが可能である。図30は層間絶縁膜のボーイングの説明図である。
例えば、図30に示すように、バリアメタル層75の形成後で、シード層76aの形成前の状態を想定する。ここで、バリアメタル層75を形成した状態での配線溝74の側方への膨らみが開始する部分から、その膨らみが終了する部分までの高さをh、膨らみが開始する部分(又は膨らみが終了する部分)から最も大きく膨らんだ部分までの幅をwとする。この場合に、次式(2)により得られる値を、ボーイング72aの程度を示すボーイング率とする。
The degree of bowing 72a of the interlayer insulating film 72 can be evaluated by the following values. FIG. 30 is an explanatory view of the bowing of the interlayer insulating film.
For example, as shown in FIG. 30, a state is assumed after the barrier metal layer 75 is formed and before the seed layer 76a is formed. Here, the height from the part where the bulging to the side of the wiring groove 74 in the state where the barrier metal layer 75 is formed to the part where the bulging ends is h, and the part where the bulging starts (or the bulging is Let w be the width from the end portion) to the largest swollen portion. In this case, the value obtained by the following equation (2) is set as the bowing rate indicating the degree of the bowing 72a.

ボーイング率(%)=w/h×100 ・・・(2)
ボーイング率が大きいものほど、膨らみの程度、即ちボーイング72aの程度が大きいことになる。
Boeing rate (%) = w / h × 100 (2)
The larger the bowing rate, the larger the degree of swelling, that is, the degree of the bowing 72a.

なお、ここではシード層76aの形成前(めっき層76bの形成直前)の状態でh,wを定義したが、例えば、バリアメタル層75の形成前の状態で、同様にh,wを定義し、ボーイング率を求めるようにしても構わない。   Here, h and w are defined before the formation of the seed layer 76a (immediately before the formation of the plating layer 76b), but for example, h and w are similarly defined before the formation of the barrier metal layer 75. The Boeing rate may be obtained.

続いて、シード層76aの形成方法について、より詳細に説明する。
ここでは、配線溝74の底部に堆積されたシード層76aの一部が再スパッタリングによって配線溝74の側壁部に堆積されるような条件のバイアス・スパッタリング法によりシード層76aを形成する。そこで、まず、このようにシード層76aをバイアス・スパッタリング法により形成した場合に得られるシード層76aの膜厚と、ノンバイアス・スパッタリング法により形成した場合に得られるシード層76aの膜厚との違いについて述べる。
Next, a method for forming the seed layer 76a will be described in more detail.
Here, the seed layer 76a is formed by a bias sputtering method under such a condition that a part of the seed layer 76a deposited on the bottom of the wiring groove 74 is deposited on the side wall of the wiring groove 74 by resputtering. Therefore, first, the film thickness of the seed layer 76a obtained when the seed layer 76a is formed by the bias sputtering method and the film thickness of the seed layer 76a obtained when the seed layer 76a is formed by the non-bias sputtering method. Describe the differences.

図31はスパッタリング法によるシード層の膜厚の違いを説明する図であって、(A)はノンバイアス・スパッタリング法を用いた場合の説明図、(B)はバイアス・スパッタリング法を用いた場合の説明図である。   FIGS. 31A and 31B are diagrams for explaining the difference in the thickness of the seed layer by the sputtering method. FIG. 31A is an explanatory diagram when the non-bias sputtering method is used, and FIG. 31B is the case when the bias sputtering method is used. It is explanatory drawing of.

ノンバイアス・スパッタリング法によりシード層76aを形成した場合には、図31(A)に示すように、フィールド部には比較的厚く、配線溝74の側壁部には比較的薄く、シード層76aが形成される。一方、バイアス・スパッタリング法によりシード層76aを形成した場合には、図31(B)に示すように、フィールド部には比較的薄く、配線溝74の側壁部には比較的厚く、シード層76aが形成される。   When the seed layer 76a is formed by the non-bias sputtering method, as shown in FIG. 31A, the field layer is relatively thick and the side wall of the wiring groove 74 is relatively thin. It is formed. On the other hand, when the seed layer 76a is formed by bias sputtering, the seed layer 76a is relatively thin in the field portion and relatively thick in the side wall portion of the wiring groove 74 as shown in FIG. Is formed.

バイアス・スパッタリング法では、ノンバイアス・スパッタリング法に比べ、堆積成分76cやArイオンがバイアスによって強く加速され、堆積後のシード層76aの再スパッタリングが強く現れる。ノンバイアス・スパッタリング法では、そのような再スパッタリングが抑えられ、シード層76aの堆積が優勢になっている。このような再スパッタリング効果により、バイアス・スパッタリング法では、ノンバイアス・スパッタリング法に比べ、フィールド部におけるシード層76aの膜厚が薄くなる。   In the bias sputtering method, compared to the non-bias sputtering method, the deposition components 76c and Ar ions are strongly accelerated by the bias, and re-sputtering of the seed layer 76a after deposition appears strongly. In the non-bias sputtering method, such resputtering is suppressed, and the deposition of the seed layer 76a is dominant. Due to such a re-sputtering effect, the bias sputtering method has a thinner film thickness of the seed layer 76a in the field portion than the non-bias sputtering method.

そして、バイアス・スパッタリング法の場合には、配線溝74の底部の再スパッタリングされたシード層76aの一部の堆積成分76cが、ノンバイアス・スパッタリング法では薄くなってしまう配線溝74の側壁部に堆積する。そのため、バイアス・スパッタリング法では、ノンバイアス・スパッタリング法に比べ、配線溝74の側壁部におけるシード層76aの膜厚が厚くなる。   In the case of the bias sputtering method, a part of the deposited component 76c of the resputtered seed layer 76a at the bottom of the wiring groove 74 is formed on the side wall portion of the wiring groove 74 that becomes thin by the non-bias sputtering method. accumulate. Therefore, in the bias sputtering method, the thickness of the seed layer 76a on the side wall portion of the wiring groove 74 is thicker than that in the non-bias sputtering method.

今、ノンバイアス・スパッタリング法により形成したシード層76aと、バイアス・スパッタリング法により形成したシード層76aとの、フィールド部における膜厚の差分をエッチ量Δdとする。   The difference in film thickness in the field portion between the seed layer 76a formed by the non-bias sputtering method and the seed layer 76a formed by the bias sputtering method is defined as an etching amount Δd.

図32はエッチ量と配線溝側壁部のシード層膜厚との関係の一例を示す図である。なお、ここでは、上記式(2)のボーイング率が7%〜9%程度の試料について得られた、エッチ量Δdと配線溝74の側壁部におけるシード層76aの膜厚との関係の一例を示している。   FIG. 32 is a diagram showing an example of the relationship between the etching amount and the seed layer thickness on the side wall of the wiring trench. Here, an example of the relationship between the etching amount Δd and the film thickness of the seed layer 76a in the side wall portion of the wiring groove 74 obtained for a sample having a bowing rate of about 7% to 9% in the above formula (2). Show.

上記のように、再スパッタリングが起こるような条件でバイアス・スパッタリング法によりシード層76aの形成を行うと、ノンバイアス・スパッタリング法によりシード層76aを形成した場合に対し、フィールド部においてエッチ量Δdが生じる。そして、図32に示すように、そのようなエッチ量Δdの増加に伴い、配線溝74の側壁部におけるシード層76aの膜厚は厚くなる傾向が見られる。   As described above, when the seed layer 76a is formed by the bias sputtering method under the condition that resputtering occurs, the etching amount Δd in the field portion is smaller than that when the seed layer 76a is formed by the non-bias sputtering method. Arise. As shown in FIG. 32, as the etching amount Δd increases, the seed layer 76a on the side wall of the wiring groove 74 tends to increase in thickness.

このように、バイアス・スパッタリング法によりシード層76aを形成することにより、配線溝74の側壁部におけるシード層76aの膜厚を厚くすることができる。また、配線溝74の側壁部におけるシード層76aの膜厚は、フィールド部におけるエッチ量Δdによって制御することが可能であるということができる。   Thus, by forming the seed layer 76a by the bias sputtering method, the film thickness of the seed layer 76a on the side wall portion of the wiring groove 74 can be increased. Further, it can be said that the film thickness of the seed layer 76a in the side wall portion of the wiring groove 74 can be controlled by the etching amount Δd in the field portion.

シード層76aをバイアス・スパッタリング法で形成する場合、フィールド部におけるシード層76aの堆積速度(形成速度)Dと、その再スパッタリングによるエッチング速度(除去速度)Eとの比D/Eは、2.0〜5.0の範囲に設定することが好ましい。   When the seed layer 76a is formed by bias sputtering, the ratio D / E between the deposition rate (formation rate) D of the seed layer 76a in the field portion and the etching rate (removal rate) E by resputtering is 2. It is preferable to set in the range of 0-5.0.

ノンバイアス・スパッタリング法の場合、通常、比D/Eは5.0を上回るような範囲となる。比D/Eが5.0を上回る範囲では、シード層76aの堆積が優勢となって、配線溝74の底部に堆積されたシード層76aの一部を再スパッタリングして配線溝74の側壁部に一定以上の膜厚で堆積させることが難しくなる。   In the case of the non-bias sputtering method, the ratio D / E is usually in a range exceeding 5.0. In the range where the ratio D / E exceeds 5.0, the deposition of the seed layer 76a becomes dominant, and a part of the seed layer 76a deposited on the bottom of the wiring groove 74 is re-sputtered to form the sidewall portion of the wiring groove 74. It is difficult to deposit the film with a certain thickness.

また、比D/Eが2.0を下回る範囲では、配線溝74内に堆積されたシード層76a、特に配線溝74の底部に堆積されたシード層76aが、再スパッタリングによって薄くなり、未形成領域等を生じさせるようなシード層76aの溶解が起こる可能性が高くなる。なお、比D/Eが1.0の場合は、シード層76aの堆積と再スパッタリングとが均衡する条件となるが、シード層76aを形成する際、この条件でバイアス・スパッタリングを行うのみでは、配線溝74の底部及び側壁部の全体にシード層76aを形成することは難しい。   In the range where the ratio D / E is less than 2.0, the seed layer 76a deposited in the wiring groove 74, particularly the seed layer 76a deposited at the bottom of the wiring groove 74 is thinned by resputtering and is not formed. There is a high possibility that the seed layer 76a is dissolved to cause a region or the like. When the ratio D / E is 1.0, the deposition of the seed layer 76a and the resputtering are balanced. However, when the seed layer 76a is formed, the bias sputtering is only performed under this condition. It is difficult to form the seed layer 76a on the entire bottom and side walls of the wiring trench 74.

上記のように、比D/Eを2.0〜5.0の範囲に設定することにより、配線溝74の底部、或いは底部及び側壁部への堆積を行いつつ、配線溝74の底部に堆積したシード層76aの一部を再スパッタリングにより側壁部に堆積させることができる。それにより、配線溝74の底部及び側壁部の全体に一定膜厚のシード層76aを形成することができる。   As described above, by setting the ratio D / E in the range of 2.0 to 5.0, deposition is performed on the bottom of the wiring groove 74, or on the bottom of the wiring groove 74 while performing deposition on the bottom and side walls. A part of the seed layer 76a thus deposited can be deposited on the side wall by resputtering. Thereby, a seed layer 76a having a constant film thickness can be formed on the entire bottom and side walls of the wiring trench 74.

形成するシード層76aの膜厚は、ボイドの発生原因となるシード層76aの未形成領域や密着力低下を生じさせるようなめっき液77への溶解を回避できる範囲に設定すればよい。続いて、シード層76aの膜厚について調査した結果について説明する。   The film thickness of the seed layer 76a to be formed may be set within a range in which dissolution of the seed layer 76a in which the seed layer 76a is not formed, which causes generation of voids, or dissolution in the plating solution 77 that causes a decrease in adhesion force can be avoided. Next, the results of investigating the film thickness of the seed layer 76a will be described.

まず、シード層76aのめっき液77への溶解の程度を評価する方法について述べる。なお、ここでは、上記式(2)のボーイング率が7%〜9%程度の試料を用いている。
図33はシード層の溶解の程度を評価する方法の説明図であって、(A)は配線溝の断面模式図、(B)は配線溝側壁部の部分拡大図である。
First, a method for evaluating the degree of dissolution of the seed layer 76a in the plating solution 77 will be described. Here, a sample having a bowing rate of the above formula (2) of about 7% to 9% is used.
FIGS. 33A and 33B are explanatory views of a method for evaluating the degree of dissolution of the seed layer, wherein FIG. 33A is a schematic cross-sectional view of a wiring groove, and FIG. 33B is a partially enlarged view of a wiring groove side wall.

シード層76aの溶解の程度を評価するに当たっては、配線溝74及びバリアメタル層75の形成後、シード層76aを形成した試料を、ごく短時間、めっき液77に浸漬する。その後、その試料の配線溝74のSEMを用いた断面観察を行い、その断面の所定領域74aについて、配線溝74の側壁部分と、めっき液77への浸漬によってシード層76aが溶解した溶解部分(未形成領域)300との面積の比率を求め、次式(3)より、シード層溶解率を求める。   In evaluating the degree of dissolution of the seed layer 76a, after forming the wiring trench 74 and the barrier metal layer 75, the sample on which the seed layer 76a is formed is immersed in the plating solution 77 for a very short time. Thereafter, a cross-section observation using SEM of the wiring groove 74 of the sample is performed, and in a predetermined region 74 a of the cross section, a side wall portion of the wiring groove 74 and a dissolved portion in which the seed layer 76 a is dissolved by immersion in the plating solution 77 ( The ratio of the area to the (unformed region) 300 is obtained, and the seed layer dissolution rate is obtained from the following equation (3).

シード層溶解率(%)=シード層溶解部分の面積/配線溝側壁部分の面積×100 ・・・(3)
シード層76aを種々の条件で形成し、エッチ量Δd(配線溝74の側壁部におけるシード層76aの膜厚)の異なる複数の試料について、このようなシード層溶解率を求める。
Seed layer dissolution rate (%) = area of seed layer dissolved portion / area of wiring trench side wall portion × 100 (3)
The seed layer 76a is formed under various conditions, and such seed layer dissolution rate is obtained for a plurality of samples having different etching amounts Δd (film thickness of the seed layer 76a in the side wall portion of the wiring groove 74).

図34はエッチ量とシード層溶解率との関係の一例を示す図である。
図34に示すように、エッチ量Δdを増加させるほど、シード層溶解率を低く抑えることができる。例えば、エッチ量Δdを23nm以上とすると、シード層溶解率を5%以下に抑えることができる。
FIG. 34 is a diagram showing an example of the relationship between the etching amount and the seed layer dissolution rate.
As shown in FIG. 34, the seed layer dissolution rate can be kept lower as the etching amount Δd is increased. For example, when the etching amount Δd is 23 nm or more, the seed layer dissolution rate can be suppressed to 5% or less.

エッチ量Δdを23nm以上とした場合、上記図32の知見によれば、配線溝74の側壁部におけるシード層76aの膜厚が10nm以上となる。換言すれば、エッチ量Δdを制御して配線溝74の側壁部における膜厚が10nm以上となるようにシード層76aを形成すれば、電解めっき時のめっき液77へのシード層溶解率を低く抑えることが可能になるということができる。   When the etching amount Δd is 23 nm or more, according to the knowledge shown in FIG. 32, the film thickness of the seed layer 76a in the side wall portion of the wiring groove 74 is 10 nm or more. In other words, if the seed layer 76a is formed so that the film thickness at the side wall portion of the wiring groove 74 is 10 nm or more by controlling the etching amount Δd, the seed layer dissolution rate in the plating solution 77 during electroplating is lowered. It can be said that it becomes possible to suppress.

図35はシード層溶解率とボイド発生数との関係の一例を示す図である。
図35には、まず、シード層溶解率が33.0%、エッチ量Δdが3nm、配線溝74の側壁部におけるシード層76aの膜厚が5nmの場合に、めっき層76bの形成からCMPまで行ったときの、その試料のボイド発生数を示している。また、図35には、シード層溶解率が0%、エッチ量Δdが23nm、配線溝74の側壁部におけるシード層76aの膜厚が10nmの場合に、めっき層76bの形成からCMPまで行ったときの、その試料のボイド発生数を示している。
FIG. 35 is a diagram showing an example of the relationship between the seed layer dissolution rate and the number of voids generated.
In FIG. 35, first, from the formation of the plating layer 76b to the CMP when the seed layer dissolution rate is 33.0%, the etching amount Δd is 3 nm, and the film thickness of the seed layer 76a on the side wall of the wiring groove 74 is 5 nm. It shows the number of voids generated in the sample when performed. Further, in FIG. 35, when the seed layer dissolution rate is 0%, the etching amount Δd is 23 nm, and the thickness of the seed layer 76a on the side wall of the wiring groove 74 is 10 nm, the formation from the plating layer 76b to the CMP is performed. The number of voids generated in the sample is shown.

図35に示したように、シード層76aの配線溝74の側壁部における膜厚を10nmと厚く形成している場合には、5nmと薄く形成している場合に比べて、シード層溶解率を低く抑え、ボイド発生数を大幅に低減することができる。   As shown in FIG. 35, when the thickness of the sidewall of the wiring groove 74 of the seed layer 76a is formed as thick as 10 nm, the seed layer dissolution rate is higher than that when formed as thin as 5 nm. The number of voids can be greatly reduced by keeping it low.

シード層溶解率を5%以下に抑えることにより、同様にボイド発生数を大幅に低減することが可能であり、シード層76aの配線溝74の側壁部における膜厚を10nm以上とすることにより、同様にボイドの発生を効果的に抑制することができる。   Similarly, by suppressing the seed layer dissolution rate to 5% or less, it is possible to significantly reduce the number of voids generated, and by setting the film thickness in the side wall portion of the wiring groove 74 of the seed layer 76a to 10 nm or more, Similarly, the generation of voids can be effectively suppressed.

なお、シード層76aの配線溝74の側壁部における膜厚は、15nm以下となるように設定しておくことが好ましい。これは、15nmを上回るような膜厚で形成すると、元々の配線溝74の開口幅にもよるが、間口が狭まり、電解めっき時に配線溝74内に十分にめっき層76bが埋め込まれる前に間口が塞がってボイドが発生する、といった現象が起こる可能性が高まるためである。特に、開口幅が50nm〜70nmといった微小な配線溝74を形成する場合には、このような現象が起こり易くなる。シード層76aの配線溝74の側壁部における膜厚の上限値については、配線溝74の開口幅やそれに起因したボイドの発生の可能性等を考慮し、適宜設定することが望ましい。   In addition, it is preferable to set the film thickness in the side wall part of the wiring groove | channel 74 of the seed layer 76a so that it may be 15 nm or less. If the film thickness is greater than 15 nm, it depends on the opening width of the original wiring groove 74, but the opening is narrowed before the plating layer 76 b is sufficiently embedded in the wiring groove 74 during electrolytic plating. This is because the possibility of occurrence of a phenomenon such as blocking and generation of voids increases. In particular, when a minute wiring groove 74 having an opening width of 50 nm to 70 nm is formed, such a phenomenon is likely to occur. The upper limit value of the film thickness at the side wall portion of the wiring groove 74 of the seed layer 76a is preferably set in consideration of the opening width of the wiring groove 74 and the possibility of voids resulting therefrom.

以上説明したように、第2の実施の形態では、シード層を形成する際のスパッタリング条件を調整し、配線溝の底部、或いは底部及び側壁部への堆積を行いつつ、配線溝の底部に堆積したシード層の一部を再スパッタリングにより側壁部に堆積させる。それにより、配線溝の底部及び側壁部の全体に一定膜厚のシード層を形成することができ、未形成領域や密着力低下を生じさせるようなシード層のめっき液への溶解を抑制することができるようになる。その結果、ボイドの発生を効果的に抑え、電気的特性の劣化、短命化、信号遅延等を抑えた配線層が形成可能になり、高性能かつ高信頼性の半導体装置が実現可能になる。   As described above, in the second embodiment, the sputtering conditions for forming the seed layer are adjusted, and deposition is performed on the bottom of the wiring groove while performing deposition on the bottom of the wiring groove, or on the bottom and side walls. A portion of the seed layer is deposited on the sidewalls by resputtering. As a result, a seed layer having a constant film thickness can be formed on the entire bottom and side walls of the wiring trench, and the dissolution of the seed layer in the plating solution that causes a decrease in the non-formed region and adhesion force is suppressed. Will be able to. As a result, it is possible to form a wiring layer that effectively suppresses the generation of voids and suppresses deterioration of electrical characteristics, shortening of life, signal delay, and the like, and a high-performance and highly reliable semiconductor device can be realized.

なお、第2の実施の形態では、配線を形成する場合を例にして述べたが、上記手法は、ビアを形成する場合にも、同様に適用可能である。上記手法が適用可能な配線の幅やビアの径は特に限定されるものではない。例えば、開口幅が50nm〜70nmの配線溝から形成する配線や、開口径が50nm〜70nmのビアホールから形成するビアといった、比較的微小な開口寸法のパターンに対しても適用可能である。   In the second embodiment, the case where the wiring is formed has been described as an example. However, the above method can be similarly applied to the case where the via is formed. The width of the wiring and the diameter of the via to which the above method can be applied are not particularly limited. For example, the present invention can be applied to a pattern with a relatively small opening size such as a wiring formed from a wiring groove having an opening width of 50 nm to 70 nm and a via formed from a via hole having an opening diameter of 50 nm to 70 nm.

また、第2の実施の形態では、シングルダマシン法により配線を形成する場合を例にして述べたが、デュアルダマシン法を用いて配線とビアを同時に形成する場合にも、同様に適用可能である。   Further, in the second embodiment, the case where the wiring is formed by the single damascene method has been described as an example, but the present invention can be similarly applied to the case where the wiring and the via are simultaneously formed by using the dual damascene method. .

また、第2の実施の形態において、上記第1の実施の形態で述べたのと同様に、バリアメタル層を形成せずに、或いはバリアメタル層を形成した上で、Cu及びMnを含むシード層を形成するようにしてもよい。この場合、そのようなCu及びMnを含むシード層の形成に、この第2の実施の形態で述べたような手法を用いることができる。Cu及びMnを含むシード層を形成することにより、熱処理によって配線溝或いはバリアメタル層との界面に自己整合的にMnSixy等のバリア層が形成されるようになる。 In the second embodiment, as described in the first embodiment, the seed containing Cu and Mn is formed without forming the barrier metal layer or after forming the barrier metal layer. A layer may be formed. In this case, the method as described in the second embodiment can be used to form such a seed layer containing Cu and Mn. By forming the seed layer containing Cu and Mn, a barrier layer such as MnSi x O y is formed in a self-aligned manner at the interface with the wiring groove or the barrier metal layer by heat treatment.

以上説明した実施の形態に関し、さらに以下の付記を開示する。
(付記1) 絶縁層に開口寸法50nm以上70nm以下の凹部を形成する工程と、
前記凹部内壁及び前記絶縁層の上方に第1金属層を形成し、前記第1金属層形成後の前記凹部の開口寸法が20nm以上となるようにする工程と、
前記第1金属層上に前記凹部を埋める第2金属層を形成する工程と、
を含むことを特徴とする半導体装置の製造方法。
Regarding the embodiment described above, the following additional notes are further disclosed.
(Additional remark 1) The process of forming the recessed part of opening dimension 50nm or more and 70nm or less in an insulating layer,
Forming a first metal layer above the inner wall of the recess and the insulating layer so that the opening size of the recess after the formation of the first metal layer is 20 nm or more;
Forming a second metal layer filling the recess on the first metal layer;
A method for manufacturing a semiconductor device, comprising:

(付記2) 前記第1金属層形成後の前記凹部の開口寸法が、20nm以上で、かつ、前記絶縁層に形成した前記凹部の開口寸法の65%以下となるように、前記第1金属層を形成することを特徴とする付記1記載の半導体装置の製造方法。   (Supplementary Note 2) The first metal layer is formed so that the opening size of the recess after forming the first metal layer is 20 nm or more and 65% or less of the opening size of the recess formed in the insulating layer. The method of manufacturing a semiconductor device according to appendix 1, wherein:

(付記3) 前記第1金属層の形成前に、前記凹部内を含む前記絶縁層上に、前記凹部に開口を残して、バリア層を形成する工程をさらに含み、
前記バリア層上に前記第1金属層を形成し、
前記バリア層及び前記第1金属層形成後の前記凹部の開口寸法が20nm以上となるように前記第1金属層を形成することを特徴とする付記1又は2に記載の半導体装置の製造方法。
(Additional remark 3) Before forming the said 1st metal layer, it further includes the process of leaving an opening in the said recessed part on the said insulating layer containing the inside of the said recessed part, and forming a barrier layer,
Forming the first metal layer on the barrier layer;
3. The method of manufacturing a semiconductor device according to appendix 1 or 2, wherein the first metal layer is formed so that an opening size of the recess after forming the barrier layer and the first metal layer is 20 nm or more.

(付記4) 前記絶縁層に開口寸法70nmの前記凹部を形成する場合に、前記バリア層形成後で前記第1金属層形成前の前記凹部の開口寸法が50nm以上となるように前記バリア層を形成することを特徴とする付記3記載の半導体装置の製造方法。   (Additional remark 4) When forming the said recessed part with an opening dimension of 70 nm in the said insulating layer, the said barrier layer is formed so that the opening dimension of the said recessed part after formation of the said barrier layer and before the said 1st metal layer formation may be 50 nm or more. The method of manufacturing a semiconductor device according to attachment 3, wherein the method is formed.

(付記5) 前記第1金属層は、複数種の元素を含み、
前記第1金属層に含まれる前記複数種の元素のうち、所定の元素を、前記第1金属層に隣接する他層との界面に拡散させる熱処理を行う工程をさらに含むことを特徴とする付記1乃至4のいずれかに記載の半導体装置の製造方法。
(Supplementary Note 5) The first metal layer includes a plurality of elements.
The method further includes a step of performing a heat treatment for diffusing a predetermined element of the plurality of types of elements included in the first metal layer to an interface with another layer adjacent to the first metal layer. 5. A method for manufacturing a semiconductor device according to any one of 1 to 4.

(付記6) 前記第1金属層は、CuとMnとを含むことを特徴とする付記5記載の半導体装置の製造方法。
(付記7) 前記第1金属層を、スパッタリング法、PVD法、CVD法又はALD法により形成し、
前記第2金属層を、前記第1金属層を用いた電解めっき法により形成することを特徴とする付記1乃至6のいずれかに記載の半導体装置の製造方法。
(Additional remark 6) The said 1st metal layer contains Cu and Mn, The manufacturing method of the semiconductor device of Additional remark 5 characterized by the above-mentioned.
(Supplementary Note 7) The first metal layer is formed by a sputtering method, a PVD method, a CVD method, or an ALD method,
The method for manufacturing a semiconductor device according to any one of appendices 1 to 6, wherein the second metal layer is formed by an electrolytic plating method using the first metal layer.

(付記8) 前記第1金属層をバイアス・スパッタリング法により形成し、
前記第2金属層を、前記第1金属層を用いた電解めっき法により形成することを特徴とする付記1乃至7のいずれかに記載の半導体装置の製造方法。
(Appendix 8) Forming the first metal layer by a bias sputtering method,
The method for manufacturing a semiconductor device according to any one of appendices 1 to 7, wherein the second metal layer is formed by an electrolytic plating method using the first metal layer.

(付記9) 絶縁層にボーイング形状を有する凹部を形成する工程と、
前記凹部内を含む前記絶縁層の上方に、前記凹部の側壁部における膜厚が10nm以上で、かつ、前記凹部に開口を残して、第1金属層を形成する工程と、
前記第1金属層上に前記凹部を埋める第2金属層を形成する工程と、
を含むことを特徴とする半導体装置の製造方法。
(Additional remark 9) The process of forming the recessed part which has a bow shape in an insulating layer,
Forming a first metal layer above the insulating layer including the inside of the recess, the film thickness of the side wall of the recess being 10 nm or more, and leaving an opening in the recess;
Forming a second metal layer filling the recess on the first metal layer;
A method for manufacturing a semiconductor device, comprising:

(付記10) 前記凹部の側壁部における膜厚が10nm以上15nm以下の前記第1金属層を形成することを特徴とする付記9記載の半導体装置の製造方法。
(付記11) 前記第1金属層を形成する際には、スパッタリング法を用い、前記凹部の底部に前記第1金属層を形成しつつ、前記凹部の底部に形成された前記第1金属層の一部を除去して前記凹部の側壁部に付着させることを特徴とする付記9又は10に記載の半導体装置の製造方法。
(Additional remark 10) The manufacturing method of the semiconductor device of Additional remark 9 characterized by forming the said 1st metal layer whose film thickness in the side wall part of the said recessed part is 10 nm or more and 15 nm or less.
(Additional remark 11) When forming the said 1st metal layer, using the sputtering method, forming the said 1st metal layer in the bottom part of the said recessed part, the said 1st metal layer formed in the bottom part of the said recessed part 11. The method of manufacturing a semiconductor device according to appendix 9 or 10, wherein a part of the semiconductor device is removed and attached to the side wall of the recess.

(付記12) 前記第1金属層を形成する際には、形成された前記第1金属層の除去速度に対する、前記第1金属層の形成速度の比を、2.0以上5.0以下とすることを特徴とする付記11記載の半導体装置の製造方法。   (Additional remark 12) When forming the said 1st metal layer, ratio of the formation rate of the said 1st metal layer with respect to the removal rate of the formed said 1st metal layer is 2.0 or more and 5.0 or less A method for manufacturing a semiconductor device according to appendix 11, wherein:

(付記13) 前記第1金属層をバイアス・スパッタリング法により形成し、
前記第2金属層を、前記第1金属層を用いた電解めっき法により形成することを特徴とする付記9乃至12のいずれかに記載の半導体装置の製造方法。
(Appendix 13) Forming the first metal layer by bias sputtering,
13. The method of manufacturing a semiconductor device according to any one of appendices 9 to 12, wherein the second metal layer is formed by an electrolytic plating method using the first metal layer.

(付記14) 前記絶縁層は、低誘電率材料を用いて形成されていることを特徴とする付記9乃至13のいずれかに記載の半導体装置の製造方法。   (Additional remark 14) The said insulating layer is formed using the low dielectric constant material, The manufacturing method of the semiconductor device in any one of Additional remark 9 thru | or 13 characterized by the above-mentioned.

半導体装置の一例の部分断面模式図である。It is a partial cross section schematic diagram of an example of a semiconductor device. ビアホール形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a via-hole formation process. バリアメタル層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a barrier metal layer formation process. シード層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a seed layer formation process. めっき層形成後の一例の要部断面模式図である。It is a principal part cross-sectional schematic diagram of an example after plating layer formation. めっき層形成後の別例の要部断面模式図である。It is a principal part cross-sectional schematic diagram of another example after plating layer formation. 第1実施例のビアホール形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the via-hole formation process of 1st Example. 第1実施例のバリアメタル層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the barrier metal layer formation process of 1st Example. 第1実施例のシード層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the seed layer formation process of 1st Example. 第1実施例のめっき層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the plating layer formation process of 1st Example. 第1実施例のCMP工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the CMP process of 1st Example. 第2実施例のシード層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the seed layer formation process of 2nd Example. 第2実施例のめっき層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the plating layer formation process of 2nd Example. 第2実施例の熱処理工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the heat processing process of 2nd Example. 第2実施例のCMP工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the CMP process of 2nd Example. 第3実施例のシード層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the seed layer formation process of 3rd Example. 第3実施例のめっき層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the plating layer formation process of 3rd Example. 第3実施例の熱処理工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the heat processing process of 3rd Example. ビアホール側壁部におけるバリア層の形成状態の説明図である。It is explanatory drawing of the formation state of the barrier layer in a via-hole side wall part. バリアメタル層及びシード層形成後の状態の一例を示す図である。It is a figure which shows an example of the state after barrier metal layer and seed layer formation. めっき層形成初期段階の一例を示す図である。It is a figure which shows an example of a plating layer formation initial stage. めっき層形成後の状態の一例を示す図である。It is a figure which shows an example of the state after plating layer formation. 熱処理後の状態の一例を示す図である。It is a figure which shows an example of the state after heat processing. CMP後の状態の一例を示す図である。It is a figure which shows an example of the state after CMP. 配線溝形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a wiring groove | channel formation process. めっき層形成初期段階の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the plating layer formation initial stage. めっき層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a plating layer formation process. 熱処理工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a heat processing process. CMP工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a CMP process. 層間絶縁膜のボーイングの説明図である。It is explanatory drawing of the bowing of an interlayer insulation film. スパッタリング法によるシード層の膜厚の違いを説明する図であって、(A)はノンバイアス・スパッタリング法を用いた場合の説明図、(B)はバイアス・スパッタリング法を用いた場合の説明図である。It is a figure explaining the difference in the film thickness of the seed layer by sputtering method, (A) is explanatory drawing at the time of using a non-bias sputtering method, (B) is explanatory drawing at the time of using a bias sputtering method. It is. エッチ量と配線溝側壁部のシード層膜厚との関係の一例を示す図である。It is a figure which shows an example of the relationship between the etching amount and the seed layer film thickness of a wiring groove side wall part. シード層の溶解の程度を評価する方法の説明図であって、(A)は配線溝の断面模式図、(B)は配線溝側壁部の部分拡大図である。It is explanatory drawing of the method of evaluating the grade of melt | dissolution of a seed layer, Comprising: (A) is a cross-sectional schematic diagram of a wiring groove | channel, (B) is the elements on larger scale of a wiring groove side wall part. エッチ量とシード層溶解率との関係の一例を示す図である。It is a figure which shows an example of the relationship between an etching amount and a seed layer dissolution rate. シード層溶解率とボイド発生数との関係の一例を示す図である。It is a figure which shows an example of the relationship between a seed layer melt | dissolution rate and the number of void generation.

符号の説明Explanation of symbols

1 半導体装置
2 半導体基板
3 素子分離絶縁膜
4 MOSトランジスタ
4a ゲート絶縁膜
4b ゲート電極
4c 側壁絶縁膜
4d,4e ソース・ドレイン領域
5,6,7,76 配線
8,46 ビア
9,12,16,19,42,72 層間絶縁膜
10,11 導電プラグ
13,14,17,20,34,45,75 バリアメタル層
15,18,31,41,71 キャップ層
30 下層配線
32 絶縁層
33,44 ビアホール
35a,46a,46c,76a シード層
35b,46b,76b めっき層
40 下層配線
43,73 ハードマスク
45a 変質バリアメタル層
50 バリア層
70 TEOS膜
72a ボーイング
73a SiC膜
73b SiO膜
74 配線溝
74a 所定領域
76c 堆積成分
77 めっき液
100,104,301 ボイド
101a,101b オーバーハング
102,200,300 未形成領域
103 極薄領域
h 高さ
w 幅
W,W1,W2,W3 開口径
W3 最小径
Δd エッチ量
DESCRIPTION OF SYMBOLS 1 Semiconductor device 2 Semiconductor substrate 3 Element isolation insulating film 4 MOS transistor 4a Gate insulating film 4b Gate electrode 4c Side wall insulating film 4d, 4e Source / drain region 5, 6, 7, 76 Wiring 8, 46 Via 9, 9, 16, 19, 42, 72 Interlayer insulating film 10, 11 Conductive plug 13, 14, 17, 20, 34, 45, 75 Barrier metal layer 15, 18, 31, 41, 71 Cap layer 30 Lower layer wiring 32 Insulating layer 33, 44 Via hole 35a, 46a, 46c, 76a Seed layer 35b, 46b, 76b Plating layer 40 Lower layer wiring 43, 73 Hard mask 45a Altered barrier metal layer 50 Barrier layer 70 TEOS film 72a Boeing 73a SiC film 73b SiO film 74 Wiring groove 74a Predetermined region 76c Deposited component 77 Plating solution 100, 104, 01 void 101a, 101b overhang 102,200,300 free area 103 ultrathin region h Height w width W, W1, W2, W3 opening diameter W3 minimum diameter Δd-etching

Claims (8)

絶縁層に開口寸法50nm以上70nm以下の凹部を形成する工程と、
前記凹部内壁及び前記絶縁層の上方に第1金属層を形成し、前記第1金属層形成後の前記凹部の開口寸法が20nm以上となるようにする工程と、
前記第1金属層上に前記凹部を埋める第2金属層を形成する工程と、
を含むことを特徴とする半導体装置の製造方法。
Forming a recess having an opening size of 50 nm to 70 nm in the insulating layer;
Forming a first metal layer above the inner wall of the recess and the insulating layer so that the opening size of the recess after the formation of the first metal layer is 20 nm or more;
Forming a second metal layer filling the recess on the first metal layer;
A method for manufacturing a semiconductor device, comprising:
前記第1金属層の形成前に、前記凹部内を含む前記絶縁層上に、前記凹部に開口を残して、バリア層を形成する工程をさらに含み、
前記バリア層上に前記第1金属層を形成し、
前記バリア層及び前記第1金属層形成後の前記凹部の開口寸法が20nm以上となるように前記第1金属層を形成することを特徴とする請求項1記載の半導体装置の製造方法。
Before forming the first metal layer, further comprising a step of forming a barrier layer on the insulating layer including the inside of the recess, leaving an opening in the recess.
Forming the first metal layer on the barrier layer;
2. The method of manufacturing a semiconductor device according to claim 1, wherein the first metal layer is formed so that an opening size of the recess after forming the barrier layer and the first metal layer is 20 nm or more.
前記絶縁層に開口寸法70nmの前記凹部を形成する場合に、前記バリア層形成後で前記第1金属層形成前の前記凹部の開口寸法が50nm以上となるように前記バリア層を形成することを特徴とする請求項2記載の半導体装置の製造方法。   When forming the concave portion having an opening size of 70 nm in the insulating layer, forming the barrier layer so that the opening size of the concave portion after forming the barrier layer and before forming the first metal layer is 50 nm or more. The method of manufacturing a semiconductor device according to claim 2, wherein: 前記第1金属層は、複数種の元素を含み、
前記第1金属層に含まれる前記複数種の元素のうち、所定の元素を、前記第1金属層に隣接する他層との界面に拡散させる熱処理を行う工程をさらに含むことを特徴とする請求項1乃至3のいずれかに記載の半導体装置の製造方法。
The first metal layer includes a plurality of types of elements,
The method further includes a step of performing a heat treatment for diffusing a predetermined element among the plurality of types of elements included in the first metal layer to an interface with another layer adjacent to the first metal layer. Item 4. A method for manufacturing a semiconductor device according to any one of Items 1 to 3.
前記第1金属層を、スパッタリング法、PVD法、CVD法又はALD法により形成し、
前記第2金属層を、前記第1金属層を用いた電解めっき法により形成することを特徴とする請求項1乃至4のいずれかに記載の半導体装置の製造方法。
Forming the first metal layer by sputtering, PVD, CVD, or ALD;
5. The method of manufacturing a semiconductor device according to claim 1, wherein the second metal layer is formed by an electrolytic plating method using the first metal layer. 6.
絶縁層にボーイング形状を有する凹部を形成する工程と、
前記凹部内を含む前記絶縁層の上方に、前記凹部の側壁部における膜厚が10nm以上で、かつ、前記凹部に開口を残して、第1金属層を形成する工程と、
前記第1金属層上に前記凹部を埋める第2金属層を形成する工程と、
を含むことを特徴とする半導体装置の製造方法。
Forming a recess having a bowing shape in the insulating layer;
Forming a first metal layer above the insulating layer including the inside of the recess, the film thickness of the side wall of the recess being 10 nm or more, and leaving an opening in the recess;
Forming a second metal layer filling the recess on the first metal layer;
A method for manufacturing a semiconductor device, comprising:
前記第1金属層を形成する際には、スパッタリング法を用い、前記凹部の底部に前記第1金属層を形成しつつ、前記凹部の底部に形成された前記第1金属層の一部を除去して前記凹部の側壁部に付着させることを特徴とする請求項6記載の半導体装置の製造方法。   When forming the first metal layer, a part of the first metal layer formed at the bottom of the recess is removed while forming the first metal layer at the bottom of the recess using a sputtering method. The method for manufacturing a semiconductor device according to claim 6, wherein the semiconductor device is attached to the side wall of the recess. 前記第1金属層を形成する際には、形成された前記第1金属層の除去速度に対する、前記第1金属層の形成速度の比を、2.0以上5.0以下とすることを特徴とする請求項7記載の半導体装置の製造方法。   When forming the first metal layer, the ratio of the formation rate of the first metal layer to the removal rate of the formed first metal layer is set to 2.0 or more and 5.0 or less. A method for manufacturing a semiconductor device according to claim 7.
JP2008270959A 2008-10-21 2008-10-21 Manufacturing method of semiconductor device Expired - Fee Related JP5532578B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008270959A JP5532578B2 (en) 2008-10-21 2008-10-21 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008270959A JP5532578B2 (en) 2008-10-21 2008-10-21 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2010103162A true JP2010103162A (en) 2010-05-06
JP5532578B2 JP5532578B2 (en) 2014-06-25

Family

ID=42293583

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008270959A Expired - Fee Related JP5532578B2 (en) 2008-10-21 2008-10-21 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP5532578B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019079956A (en) * 2017-10-25 2019-05-23 大日本印刷株式会社 Wiring board and wiring board manufacturing method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118927A (en) * 1999-10-22 2001-04-27 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
JP2004134498A (en) * 2002-10-09 2004-04-30 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
JP2006073792A (en) * 2004-09-02 2006-03-16 Sony Corp Semiconductor device and manufacturing method therefor
JP2007059660A (en) * 2005-08-25 2007-03-08 Sony Corp Semiconductor device and manufacturing method thereof
JP2007142236A (en) * 2005-11-21 2007-06-07 Sony Corp Semiconductor device and method of manufacturing semiconductor device
JPWO2005067025A1 (en) * 2004-01-08 2007-07-26 富士通株式会社 Wiring structure forming method and semiconductor device
JP2007207830A (en) * 2006-01-31 2007-08-16 Tokyo Electron Ltd Depositing method of seed film, plasma depositing device, and storage medium
JP2008198933A (en) * 2007-02-15 2008-08-28 Fujitsu Ltd Semiconductor device and method for manufacturing the same
JP2008261268A (en) * 2007-04-11 2008-10-30 Toyota Motor Corp Control device and control method of variable valve timing mechanism

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118927A (en) * 1999-10-22 2001-04-27 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
JP2004134498A (en) * 2002-10-09 2004-04-30 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
JPWO2005067025A1 (en) * 2004-01-08 2007-07-26 富士通株式会社 Wiring structure forming method and semiconductor device
JP2006073792A (en) * 2004-09-02 2006-03-16 Sony Corp Semiconductor device and manufacturing method therefor
JP2007059660A (en) * 2005-08-25 2007-03-08 Sony Corp Semiconductor device and manufacturing method thereof
JP2007142236A (en) * 2005-11-21 2007-06-07 Sony Corp Semiconductor device and method of manufacturing semiconductor device
JP2007207830A (en) * 2006-01-31 2007-08-16 Tokyo Electron Ltd Depositing method of seed film, plasma depositing device, and storage medium
JP2008198933A (en) * 2007-02-15 2008-08-28 Fujitsu Ltd Semiconductor device and method for manufacturing the same
JP2008261268A (en) * 2007-04-11 2008-10-30 Toyota Motor Corp Control device and control method of variable valve timing mechanism

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019079956A (en) * 2017-10-25 2019-05-23 大日本印刷株式会社 Wiring board and wiring board manufacturing method
JP7006129B2 (en) 2017-10-25 2022-01-24 大日本印刷株式会社 How to make a wiring board

Also Published As

Publication number Publication date
JP5532578B2 (en) 2014-06-25

Similar Documents

Publication Publication Date Title
US10056328B2 (en) Ruthenium metal feature fill for interconnects
JP4832807B2 (en) Semiconductor device
JP5103914B2 (en) Semiconductor device manufacturing method and semiconductor device
TWI234846B (en) Method of forming multi layer conductive line in semiconductor device
US7651943B2 (en) Forming diffusion barriers by annealing copper alloy layers
US7524755B2 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
KR101144219B1 (en) Semiconductor device and method for manufacturing the same
US20080128907A1 (en) Semiconductor structure with liner
US8084354B2 (en) Method of fabricating a metal cap layer with enhanced etch resistivity for copper-based metal regions in semiconductor devices
TWI518843B (en) Interconnect structure and method for forming interconnect structure
JP2005277390A (en) Semiconductor device and its manufacturing method
JP2010010250A (en) Semiconductor device and method of manufacturing the same
KR100660915B1 (en) Method for fabricating interconnection of semiconductor device having improved interconnection reliability
JP2009026989A (en) Semiconductor device, manufacturing method of the semiconductor device
EP2162906B1 (en) A method for producing a copper contact
JP5309722B2 (en) Semiconductor device and manufacturing method thereof
US8278218B2 (en) Electrical conductor line having a multilayer diffusion barrier for use in a semiconductor device and method for forming the same
JP5532578B2 (en) Manufacturing method of semiconductor device
US20030068887A1 (en) Electroless plating process, and embedded wire and forming process thereof
US7682967B2 (en) Method of forming metal wire in semiconductor device
JP4740071B2 (en) Semiconductor device
JP2007194566A (en) Semiconductor device, and its process for fabrication
JP4786680B2 (en) Manufacturing method of semiconductor device
US20180053688A1 (en) Method of metal filling recessed features in a substrate
US20070264815A1 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130618

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130620

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130806

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140401

R150 Certificate of patent or registration of utility model

Ref document number: 5532578

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140414

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees