JP2009524254A - 界面キャップ構造体を用いて最終レベル銅・c4間接続部を形成する方法 - Google Patents

界面キャップ構造体を用いて最終レベル銅・c4間接続部を形成する方法 Download PDF

Info

Publication number
JP2009524254A
JP2009524254A JP2008551511A JP2008551511A JP2009524254A JP 2009524254 A JP2009524254 A JP 2009524254A JP 2008551511 A JP2008551511 A JP 2008551511A JP 2008551511 A JP2008551511 A JP 2008551511A JP 2009524254 A JP2009524254 A JP 2009524254A
Authority
JP
Japan
Prior art keywords
layer
final level
dielectric
cap structure
interfacial conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008551511A
Other languages
English (en)
Other versions
JP5186392B2 (ja
Inventor
ドーバンスペック、ティモシー、エイチ
ランダーズ、ウィリアム、エフ
ジュパンスキー、ニールセン、ドナ、エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009524254A publication Critical patent/JP2009524254A/ja
Application granted granted Critical
Publication of JP5186392B2 publication Critical patent/JP5186392B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03009Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • H01L2224/1148Permanent masks, i.e. masks left in the finished device, e.g. passivation layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemically Coating (AREA)

Abstract

【課題】 ICチップの最終レベル銅相互接続部と制御崩壊チップ接続部(controlled-collapse chip connection、C4)との間に界面キャップ構造体を製造する方法を提供すること。
【解決手段】 本発明は、実質的にアルミニウムを含まない最終レベル銅・C4間接続部を含む半導体デバイスの製造方法に関する。具体的には、最終レベル銅・C4間接続部は、CoWP、NiMoP、NiMoB、NiReP、NiWP及びこれらの組み合わせを含む界面キャップ構造体(30)を含む。界面キャップ構造体は、少なくとも1つのCoWP層を含むことが好ましい。こうしたCoWP層は、選択的な無電解めっきプロセスによって、最終レベル銅相互接続部(22)の上に、容易に形成することができる。
【選択図】 図9

Description

本発明は、導電性メタライゼーションを含む高速集積回路(IC)デバイスに関する。より具体的には、本発明は、ICチップの最終レベル銅相互接続部と制御崩壊チップ接続部(controlled-collapse chip connection、C4)との間に界面キャップ構造体を製造する方法に関する。
半導体デバイスの製造においては、特に製造プロセスの後半段階(「バック・エンド・オブ・ライン(backend of line)」すなわち「BEOL」)において、金属ラインが、多層構造体の誘電体層内に埋め込まれることが多い。金属パッドが上に形成された金属ラインの最後の層は(当技術分野においては、端末ビア(terminal via)又はTV層と呼ばれることもある)、一般に、「ファー・バック・エンド・オブ・ライン(far back end of process)すなわち「FBEOL」と呼ばれるプロセスによって製造される。パッド及び金属ラインは共に、ICチップから他のシステム部品への最終レベルの相互接続を提供する。ICチップの大部分は、相互接続部を形成するのにアルミニウム(Al)を使用するが、最近では、銅(Cu)の相互接続部が用いられる。AlではなくCuの相互接続部を用いる利点は、導電率(より低い抵抗を有する)がより高く、容量性負荷がより小さく、電力消費がより小さく、クロストークがより少なく、金属層がより少なく、潜在的な製造ステップがより少ないことである。
銅相互接続部を含むICチップは、一般にフリップ・チップ・ボンディング(flip chip bond)とも呼ばれるはんだバンプによって、基板又は他の電気部品に電気的に接続することが可能である。はんだバンプ技術(当技術分野においては、フリップ・チップ技術としても知られる)は、より高密度及びより高性能の接続をもたらす。はんだバンプ技術の一例は、制御崩壊チップ接続(C4)であり、ここでは、はんだバンプがICチップ及び基板の両方の上に設けられ、ICチップ及び基板のはんだバンプを位置合わせし、接続のためにはんだをリフローすることによって、接続が確立される。典型的なC4接続においては、最初に、基板内に配置された銅相互接続部の上に形成されるボール制限メタラジ(ball-limiting metallurgy、BLM)上にはんだを付着させ、続いて、はんだをその融解温度より上に加熱し、その結果、はんだがリフローされてボールになることによって、はんだバンプが形成される。表面張力のために、このように形成されたはんだボールのサイズ及び形状は、BLMの寸法によって制限される。
しかしながら、はんだバンプ内に含まれるスズ(Sn)が銅相互接続部内に拡散することがあり、一般に、スズ(Sn)はCuと相互作用して脆いCu/Sn金属間界面を形成することから、はんだバンプから銅相互接続部が分離される。はんだが銅相互接続部内に拡散するのを防ぐために、はんだバンプと銅相互接続部との間に少なくとも1つのアルミニウム・キャッピング層が設けられる。さらに、銅がアルミニウム・キャッピング層内に拡散するのを防ぐために、アルミニウム・キャピング層と銅相互接続部との間に、窒化チタン又は窒化タンタルのような材料を含む付加的な拡散バリア層が設けられる。このようなアルミニウム・キャッピング層及び関連した拡散バリア層の製造には、多数の処理ステップが必要であり、そのことが、ICチップの製造コストを著しく増大させる。
米国特許出願第10/707,896号
従って、最終レベル銅・C4間接続において改善されたキャッピング構造体に対する必要性が引き続き存在する。こうした改善されたキャッピング構造体は、(1)最終レベル銅相互接続部とC4はんだバンプとの間に良好な電気的接続を提供し、(2)Snが銅相互接続部内に拡散するのを排除又は低減し、(3)従来のアルミニウム・ベースのキャッピング構造体と比較すると、より低コストでより簡単な製造プロセスによって製造できることが好ましい。
一態様において、本発明は、
最終レベル誘電体層内に埋め込まれた最終レベル銅相互接続部を含む半導体デバイスを準備するステップと、
最終レベル銅相互接続部を選択的に覆う界面導電性キャップ構造体を形成するステップであって、界面導電性キャップ構造体は、CoWP、NiMoP、NiMoB、NiReP、NiWP、又はこれらの組み合わせを含む、ステップと、
界面導電性キャップ構造体及び最終レベル誘電体層の上に第1の誘電体キャップ層を形成するステップと、
第1の誘電体キャップ層の上に少なくとも1つの付加的な誘電体キャップ層を形成するステップと、
第1の誘電体キャップ層及び少なくとも1つの付加的な誘電体キャップ層を通るビアを形成して、界面導電性キャップ構造体を露出させるステップと、
界面導電性キャップ構造体の上に、ビア内に少なくとも1つのボール制限メタラジ(BLM)層を形成するステップと、
少なくとも1つのBLM層の上に少なくとも1つの制御崩壊チップ接続部(C4)を形成するステップと
を含む方法に関する。
界面導電性キャップ構造体は、好ましくは約200Åから約1000Åまでの厚さ、より好ましくは約400Åから約500Åまでの厚さのCoWP層を含み、最も好ましくは、界面導電性キャップ構造体は、実質的にアルミニウムを含まない。
このような界面導電性キャップ構造体は、窒化シリコンを含み、約100Åから約300Åまでの厚さであることが好ましい、第1の誘電体キャップ層内に埋め込むことができる。
さらに、最終レベル銅相互接続部、界面導電性キャップ構造体、少なくとも1つのBML層及び少なくとも1つのC4接続部は、第1の誘電体キャップ層及び少なくとも1つの付加的な誘電体キャップ層を通って延びる導電性経路を形成する。必須ではないが、本発明の好ましい実施形態においては、第1の誘電体キャップ層の上に配置された、二酸化シリコン層、窒化シリコン層及び感光性ポリイミド層を含む3つの付加的な誘電体キャップ層が存在する。
界面導電性キャップ構造体は、選択的な無電解めっきプロセスによって形成することができる。さらに、ビアは、最初に少なくとも1つの付加的な誘電体キャップ層の部分を選択的に除去して第1の誘電体キャップ層を露出させ、次に第1の誘電体キャップ層の露出された部分を選択的に除去し、界面導電性キャップ構造体で停止することによって形成される。第1の誘電体キャップ層の露出された部分は、事前BLMスパッタ洗浄プロセスによって選択的に除去されることがより好ましい。
別の態様においては、本発明は、
最終レベル誘電体層内に埋め込まれた最終レベル銅相互接続部を含む半導体デバイスを準備するステップと、
最終レベル銅相互接続部を選択的に覆う界面導電性キャップ構造体を形成するステップと、
界面導電性キャップ構造体及び最終レベル誘電体層の上に第1の誘電体キャップ層を形成するステップと、
第1の誘電体キャップ層の上に少なくとも1つの付加的な誘電体キャップ層を形成するステップと、
少なくとも1つの付加的な誘電体キャップ層の部分を選択的に除去して、第1の誘電体キャップ層を露出させるステップと、
第1の誘電体キャップ層の露出された部分を選択的に除去し、少なくとも1つの付加的な誘電体キャップ層で停止する、インサイチュ(in-situ)スパッタ洗浄を行なうステップと、
界面導電性キャップ構造体の上に少なくとも1つのボール制限メタラジ(BLM)層を形成するステップと、
少なくとも1つのBLM層の上に少なくとも1つの制御崩壊チップ接続部(C4)を形成するステップと
を含む方法に関する。
本発明の他の態様、特徴及び利点は、以下の開示及び添付の特許請求の範囲からより完全に明らかになるであろう。
次の米国特許出願は、全ての目的のために、その全体が引用として組み込まれる。
米国特許出願公開第2005/016575 A1号として2005年7月28日に公開され、2004年1月22日に出願された、「INTEGRATION OF HIGH PERFORMANCE COPPER INDUCTORS WITH BOND PADS」という名称の特許文献1。
以下の説明においては、本発明が完全に理解されるように、特定の構造体、構成要素、材料、寸法、処理ステップ及び技術などの多くの具体的な細部が述べられる。しかしながら、当業者であれば、本発明はこれらの具体的な細部がなくても実施できることが分かるであろう。他の例においては、本発明を不明瞭にするのを避けるために、周知の構造体又は処理ステップは、詳しくは説明していない。
層、領域、又は基板としてある要素が別の要素の「上(on)」にあるというときは、その要素が、他の要素の上に直接あるものとすることができ、又は、介在する要素が存在する場合があることが分かるであろう。それに対して、ある要素が別の要素の「直接上に(directly on)」あるというときは、介在する要素は存在しない。ある要素が別の要素に「接続する(connected)」又は「結合する(coupled)」というときは、その要素は、他の要素に直接的に接続又は結合することができ、又は、介在する要素が存在する場合があることも分かるであろう。それに対して、ある要素が「直接接続する(directly connected)」、又は「直接結合する(directlycoupled)」というときは、介在する要素は存在しない。
本発明は、最終レベル銅・C4間接続部において、新規な界面導電性キャッピング構造体を提供するものである。具体的には、銅相互接続部をキャッピングするために、界面導電性キャッピング構造体は、通常、従来の最終レベル銅・C4間接続部に用いられるアルミニウムを含んでいない。代わりに、本発明の界面導電性キャッピング構造体は、選択的な無電解めっき法によって銅相互接続部の上に形成された薄いCoWP層を含む。このように、本発明は、アルミニウム・キャップ層及び関連した拡散バリア層に対する必要性をなくし、よって、最終レベル銅・C4間接続部のための製造プロセスを簡単化し、製造コストを著しく低減させるものである。
本発明は、事前のBLMスパッタ洗浄からCoWP層を保護するために、薄いCoWP層の上に薄い誘電体キャップ層をさらに提供する。誘電体キャップ層が存在しない場合には、事前BLMスパッタ洗浄プロセスにより、CoWP層の一部分が除去され得る。薄い誘電体キャップ層は犠牲保護層として働き、即ち、CoWP層の代わりに、薄い誘電体キャップ層の一部分が、事前BLMスパッタ洗浄により除去される。薄い誘電体キャップ層は、窒化シリコンから形成されることが好ましい。しかしながら、薄い誘電体キャップ層は、事前BLMスパッタ洗浄プロセスからCoWP層を保護することができる他のいずれの適切な誘電体材料から形成されてもよい。
ここで、添付の図1〜図9を参照することによって、本発明の界面導電性キャッピング構造体を形成するための処理ステップが、より詳細に説明される。
これらの図面は縮尺通りに描かれておらず、図面中、同様の要素及び/又は対応する要素は、同様の参照番号によって表されることに留意されたい。図においては、1つの銅相互接続部及び1つのC4はんだバンプしか示されていないことにも留意すべきである。このような1つの実施形態が説明されているが、本発明は、いずれか特定の数の銅相互接続部及びC4はんだバンプの形成に限定されるものではない。
まず、層間誘電体層10の上に形成された、最終レベル誘電体層20を含む半導体デバイスの部分図を示す図1を参照する。最終レベル銅相互接続部22の上面が露出された状態で、少なくとも1つの最終レベル銅相互接続部22が、最終レベル誘電体層20内に埋め込まれる。
層間誘電体層10は、一般に、例えば、二酸化シリコン、窒化シリコン及び酸窒化シリコン等といった層間誘電体を形成するために用いられる、いずれかの適切な誘電体材料を含むことができる。ポリアリレン・エーテル、ドープされたシリケート及び炭素がドープされた酸化物などの有機誘電体を用いることもできる。層間誘電体層10は、テトラエチルオルトシリケート(TEOS)ベースの化学的物質を用いる化学気相堆積プロセスによって形成された二酸化シリコンを含むことが好ましい。層間誘電体層10の厚さは、典型的には、約5000Åから約10000Åまで、より典型的には、約7000Åから約9000Åまでの範囲である。
最終レベル誘電体層20は、これらに限定されるものではないが、二酸化シリコン、窒化シリコン、酸窒化シリコン、フッ化ケイ酸塩ガラス(fluorinated silicate glass、FSG)などを含む、いずれかの適切な誘電体材料を含むことができる。最終レベル誘電体層20は、FSGを含むことが好ましい。最終レベル誘電体層20の厚さは、典型的には、約2000Åから約5000Åまで、より典型的には、約3000Åから約4000Åの範囲である。
最終レベル銅相互接続部22は、約1倍(1×)で示される、リソグラフィの最小加工寸法(minimum lithographic featuresize)で製造された配線(「細線(thinwire)」と呼ばれる)を含むことができ、細線の最小幅の約2倍(2×)及び/又は約4倍(4×)に等しい幅で製造された約2〜4の配線レベル(「太線(fatwire)」と呼ばれる)が、これらのレベルの上にある。最終レベル銅相互接続部22は、太線を含むことが好ましい。
最終レベル銅相互接続部22及び最終レベル誘電体層20は、誘電体堆積、トレンチ・パターン形成、Cu堆積及び化学機械研磨(CMP)を含む従来の処理ステップによって形成することができる。随意的に、Cuを堆積させる前に、トレンチの上に、TaN又はTiNを含むライナ(図示せず)を堆積させることができる。
図2は、銅相互接続部22を選択的に覆う薄い界面導電性キャップ層30の形成を示す。薄い界面導電性キャップ層30は、選択的な無電解めっきによって容易に形成することができるCoWPを含むことが好ましい。NiMoP、NiMoB、NiReP及びNiWPなどの他の導電性材料を、無電解めっきにより銅相互接続部の上に選択的に堆積させることもでき、従って、これらを本発明において使用し、C4はんだバンプ(後の処理ステップにおいて形成される)から最終レベル銅相互接続部22へのSnの拡散を防ぎ、かつ、最終レベル銅相互接続部22とC4はんだバンプとの間に良好な電気的接続も提供するように機能する界面導電性キャップ層30を形成することもできる。
引き続き、図3に示されるように、薄い界面導電性キャップ層30及び最終レベル誘電体層20の上に、薄い誘電体キャップ層32が形成される。薄い誘電体キャップ層32は、これらに限定されるものではないが、二酸化シリコン、窒化シリコン、酸窒化シリコン及びこれらの組み合わせを含むいずれかの適切な誘電体材料を含むことができる。薄い誘電体キャップ層32は、窒化シリコンを含むことが好ましく、後続の事前BLMスパッタ洗浄の際に犠牲保護層として働き、それにより、事前BLMスパッタ洗浄から界面導電性キャップ層30を保護する。
最終レベル誘電体層20及び薄い誘電体キャップ層32の両方とも、これらに限定されるものではないが、高密度プラズマ堆積(HDP)、プラズマ強化化学気相堆積(PECVD)等を含むいずれかの適切な誘電体堆積プロセスによって形成することができる。薄い誘電体キャップ層32は、下にある構造体に良好な整合性(conformity)を与えるHDPプロセスによって形成されることが好ましい。
次に、図4に示されるように、薄い誘電体キャップ層32の上に、少なくとも二酸化シリコン層40、窒化シリコン層50及び感光性ポリイミド層60を含む付加的な誘電体キャッピング層が連続的に堆積される。二酸化シリコン層40は、好ましくは約2500Åから約6500Åまで、より好ましくは約4000Åから約5000Åまでの厚さを有し、窒化シリコン層50は、好ましくは約2000Åから約6000Åまで、より好ましくは約3500Åから約4500Åまでの厚さを有する。
図5に示されるように、本発明においてパッシベーション(passivation)層として機能する感光性ポリイミド層60を直接パターン形成し、エッチングして、コンタクト開口部62を形成することができ、これにより窒化シリコン層50の上面が露出される。近年では、感光性ポリイミドは、フォトレジスト・マスクのようにパターン形成することができ、かつ、パターン形成及びエッチングの後、パッシベーション層として働くように堆積された表面上に残り得るという事実のため、半導体デバイスにおいて頻繁に用いられている。典型的には、ポリイミド前駆体層は、最初に、例えば、従来のフォトレジスト・スピン・コーティングによって堆積され、引き続き、低温のプリベーク及び紫外線(UV)への露光を含む処理ステップが行なわれる。UV光に露光されたポリイミド前駆体の一部分が架橋され、これにより架橋されていない未露光の領域が残され、1組のコンタクト開口部を規定する。後の現像の際、未露光領域内の架橋されていないポリイミド前駆体材料が溶解され、これにより望ましいコンタクト開口部が形成される。最終ステップの熱硬化により、内部にコンタクト開口部が形成された、パターン形成されたポリイミドの恒久的な高品質パッシベーション層が残される。
引き続き、図6に示されるように、パターン形成された感光性ポリイミド層60をマスクとして用い、窒化シリコン層50及び二酸化シリコン層40の部分を選択的に除去して、薄い誘電体キャップ層32の上面を露出するトレンチ(ビア)62’を形成する。窒化シリコン層50及び二酸化シリコン層40の選択的な除去は、1又は複数の乾式エッチング・ステップを用いて容易に行うことができる。トレンチ62’を形成する際に本発明において用い得る適切な乾式エッチング・プロセスは、これらに限定されるものではないが、反応性イオン・エッチング(RIE)、イオンビーム・エッチング、プラズマ・エッチング、又はレーザ・アブレーションを含む。窒化シリコン層50及び二酸化シリコン層40の一部分は、RIE技術を用いて選択的に除去される。
次に、トレンチ62’の露出された表面において、スパッタ・イオンミリング・ツールを用いて、洗浄剤としてHと混合されたArを用いるインサイチュ(in situ)スパッタ洗浄が行なわれる。図7に示されるように、スパッタ洗浄により、薄い誘電体キャップ層32の上部が除去され、その下にある界面導電性キャップ層30が露出される。
図8及び図9は、トレンチ62’の上への1又は複数のBLM層70の形成と、それに続いて行なわれる従来のC4処理ステップを用いるBLM層70の上へのC4はんだボール80の形成とを示す。
図9に示される完全な構造は、界面導電性キャッピング構造体、即ち、最終レベル銅相互接続22とBLM層70との間に挟まれた界面導電性キャップ層30を含む。こうした界面導電性キャッピング構造体は、いずれのアルミニウム層も、又は銅がアルミニウム内に拡散するのを防ぐために必要とされるいずれの拡散バリア層も含んでおらず、本発明のこうした界面導電性キャッピング構造体を製造するために用いられるプロセスは、従来のアルミニウム・キャップ層及び関連した拡散バリア層を製造するために用いられるものより、著しく簡単なものである。その結果、本発明の界面導電性キャッピング構造体を含む半導体デバイスについての全体的な製造コストが、著しく削減される。
本発明の最終レベル銅・C4間接続構造体は、これらに限定されるものではないが、トランジスタ、ダイオード、レジスタ、インダクタ、キャパシタ等を含む様々な電気装置と共に容易に用いることができる。例えば、本発明による最終レベル銅・C4間接続構造体は、その内容の全体が引用により組み入れられる特許文献1により述べられるようなインダクタと統合することができる。代替的に、本発明による最終レベル銅・C4間接続構造体は、いずれかのインダクタと結合せずに、トランジスタ、ダイオード、レジスタ、キャパシタ等といった他のデバイスと共に用いることができる。
本発明が、特定の実施形態、特徴及び態様に関してここに説明されたが、本発明は、これらに制限されるものではなく、寧ろ、他の変更、変形、用途及び実施形態への使用にも適用され、従って、こうした他の変更、変形、用途及び実施形態の全てを、本発明の精神及び範囲内にあるとみなすべきであることが理解されるであろう。
本発明は、半導体分野、より特定的には、こうした半導体についての最終レベル銅・C4間接続部におけるキャッピング構造体の分野内に産業上の利用性を有する。
本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。 本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。 本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。 本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。 本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。 本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。 本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。 本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。 本発明の一実施形態による、アルミニウムが含まれていない界面導電性キャップ構造を有する、例示的な銅・C4間接続部を形成する処理ステップを示す断面図である。
符号の説明
10:層間誘電体層
20:最終レベル誘電体層
22:最終レベル相互接続部
30:界面導電性キャップ層
32:薄い誘電体キャップ層
40:二酸化シリコン層
50:窒化シリコン層
60:感光性ポリイミド層
62:コンタクト開口部
62’:トレンチ
70:ボール制限メタラジ(BLM)
80:C4はんだボール

Claims (12)

  1. 最終レベル誘電体層(20)内に埋め込まれた最終レベル銅相互接続部(22)を含む半導体デバイスを準備するステップと、
    前記最終レベル銅相互接続部(22)を選択的に覆う界面導電性キャップ構造体(30)を形成するステップであって、前記界面導電性キャップ構造体は、CoWP、NiMoP、NiMoB、NiReP、NiWP、又はこれらの組み合わせを含む、ステップと、
    前記界面導電性キャップ構造体(30)及び前記最終レベル誘電体層(20)の上に第1の誘電体キャップ層(32)を形成するステップと、
    前記第1の誘電体キャップ層(32)の上に少なくとも1つの付加的な誘電体キャップ層(40、50、60)を形成するステップと、
    前記第1の誘電体キャップ層(32)及び前記少なくとも1つの付加的な誘電体キャップ層(40、50、60)を通るビア(62‘)を形成して、前記界面導電性キャップ構造体(30)を露出させるステップと、
    前記界面導電性キャップ構造体(30)の上に、前記ビア内に少なくとも1つのボール制限メタラジ(BLM)層(70)を形成するステップと、
    前記少なくとも1つのBLM層の上に少なくとも1つの制御崩壊チップ接続部(C4)(80)を形成するステップと
    を含む方法。
  2. 前記界面導電性キャップ構造体(30)は、アルミニウムを含んでいない、請求項1に記載の方法。
  3. 前記界面導電性キャップ構造体(30)は、200Åから1000Åまでの範囲の厚さを有するCoWP層を含む、請求項1に記載の方法。
  4. 前記界面導電性キャップ構造体(30)は、前記第1の誘電体キャップ層(32)内に埋め込まれている、請求項1に記載の方法。
  5. 前記第1の誘電体キャップ層(32)は、窒化シリコンを含み、100Åから300Åまでの範囲の厚さを有する、請求項1に記載の方法。
  6. 前記最終レベル銅相互接続部(22)、前記界面導電性キャップ構造体(30)、前記少なくとも1つのBLM層(70)及び前記少なくとも1つのC4接続部は、前記第1の誘電体キャップ層(32)及び前記少なくとも1つの付加的な誘電体キャップ層を通って延びる導電性経路を形成する、請求項1に記載の方法。
  7. 前記第1の誘電体キャップ層(32)の上に、二酸化シリコン層、窒化シリコン層及び感光性ポリイミド層を含む前記少なくとも3つの付加的な誘電体キャップ層が形成される、請求項1に記載の方法。
  8. 前記最終レベル銅相互接続部(22)は太線を含む、請求項1に記載の方法。
  9. 前記最終レベル誘電体層(20)はフッ化ケイ酸塩ガラスを含む、請求項1に記載の方法。
  10. 前記界面導電性キャップ構造体(30)は、選択的な無電解めっきによって形成される、請求項1に記載の方法。
  11. 前記ビアは、最初に前記少なくとも1つの付加的な誘電体キャップ層(40、50、60)の部分を選択的に除去して前記第1の誘電体キャップ層(32)を露出させ、次に前記第1の誘電体キャップ層(32)の露出された部分を選択的に除去し、前記界面導電性キャップ構造体(30)で停止することによって形成される、請求項1に記載の方法。
  12. 前記第1の誘電体キャップ層(32)の前記部分は、事前BLMスパッタ洗浄プロセスによって選択的に除去される、請求項11に記載の方法。
JP2008551511A 2006-01-18 2007-01-17 界面キャップ構造体を用いて最終レベル銅・c4間接続部を形成する方法 Expired - Fee Related JP5186392B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/306,983 2006-01-18
US11/306,983 US7863183B2 (en) 2006-01-18 2006-01-18 Method for fabricating last level copper-to-C4 connection with interfacial cap structure
PCT/US2007/060615 WO2007084907A2 (en) 2006-01-18 2007-01-17 Method for fabricating last level copper-to-c4 connection with interfacial cap structure

Publications (2)

Publication Number Publication Date
JP2009524254A true JP2009524254A (ja) 2009-06-25
JP5186392B2 JP5186392B2 (ja) 2013-04-17

Family

ID=38263767

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008551511A Expired - Fee Related JP5186392B2 (ja) 2006-01-18 2007-01-17 界面キャップ構造体を用いて最終レベル銅・c4間接続部を形成する方法

Country Status (6)

Country Link
US (1) US7863183B2 (ja)
EP (1) EP1989730A2 (ja)
JP (1) JP5186392B2 (ja)
CN (1) CN101361174B (ja)
TW (1) TW200741915A (ja)
WO (1) WO2007084907A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015092548A (ja) * 2013-09-16 2015-05-14 エスピーティーエス テクノロジーズ リミティド 半導体構造のプレクリーニング
JP2015173256A (ja) * 2014-03-11 2015-10-01 インテル コーポレイション 集積回路パッケージ
JP2016525274A (ja) * 2013-08-06 2016-08-22 クアルコム,インコーポレイテッド ダイ上の積層再分配層
CN110574158A (zh) * 2017-05-09 2019-12-13 国际商业机器公司 具有自对准焊料凸块的衬底通孔

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7785935B2 (en) * 2007-11-13 2010-08-31 Qimonda Ag Manufacturing method for forming an integrated circuit device and corresponding integrated circuit device
US7868453B2 (en) * 2008-02-15 2011-01-11 International Business Machines Corporation Solder interconnect pads with current spreading layers
US8241547B2 (en) 2008-10-02 2012-08-14 California Institute Of Technology Lithographically defined adhesion microstructures
US8932956B2 (en) * 2012-12-04 2015-01-13 International Business Machines Corporation Far back end of the line stack encapsulation
US8846529B2 (en) * 2013-01-10 2014-09-30 International Business Machines Corporation Electroless plating of cobalt alloys for on chip inductors
US8956975B2 (en) * 2013-02-28 2015-02-17 International Business Machines Corporation Electroless plated material formed directly on metal
US8937009B2 (en) * 2013-04-25 2015-01-20 International Business Machines Corporation Far back end of the line metallization method and structures
US8994173B2 (en) 2013-06-26 2015-03-31 International Business Machines Corporation Solder bump connection and method of making
US9159547B2 (en) * 2013-09-17 2015-10-13 Deca Technologies Inc. Two step method of rapid curing a semiconductor polymer layer
US10204803B2 (en) * 2013-09-17 2019-02-12 Deca Technologies Inc. Two step method of rapid curing a semiconductor polymer layer
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US11764153B1 (en) 2022-07-28 2023-09-19 Chun-Ming Lin Interconnect structure and manufacturing method for the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005210121A (ja) * 2004-01-22 2005-08-04 Internatl Business Mach Corp <Ibm> ボンディング・パッドと高性能銅インダクタの集積化
JP2005277390A (ja) * 2004-02-27 2005-10-06 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2445629A1 (fr) * 1978-12-27 1980-07-25 Thomson Csf Antenne commune pour radar primaire et radar secondaire
EP0101024B1 (en) * 1982-08-09 1988-11-09 Kabushiki Kaisha Meidensha Contact material of vacuum interrupter and manufacturing process therefor
JPS6067634A (ja) * 1983-09-24 1985-04-18 Meidensha Electric Mfg Co Ltd 真空インタラプタの電極材料とその製造方法
US4755904A (en) * 1986-06-06 1988-07-05 The Boeing Company Lightning protection system for conductive composite material structure
US5194161A (en) * 1989-09-25 1993-03-16 Board Of Regents, The University Of Texas System Materials and methods for enhanced photocatalyzation of organic compounds with palladium
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5602365A (en) * 1992-10-02 1997-02-11 Lucent Technologies Inc. Microwave duplexer and component
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5847327A (en) * 1996-11-08 1998-12-08 W.L. Gore & Associates, Inc. Dimensionally stable core for use in high density chip packages
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6437441B1 (en) * 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6245663B1 (en) * 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
US6093628A (en) * 1998-10-01 2000-07-25 Chartered Semiconductor Manufacturing, Ltd Ultra-low sheet resistance metal/poly-si gate for deep sub-micron CMOS application
US6457234B1 (en) 1999-05-14 2002-10-01 International Business Machines Corporation Process for manufacturing self-aligned corrosion stop for copper C4 and wirebond
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6596624B1 (en) 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6413854B1 (en) * 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6251786B1 (en) * 1999-09-07 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to create a copper dual damascene structure with less dishing and erosion
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6274499B1 (en) * 1999-11-19 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Method to avoid copper contamination during copper etching and CMP
US6136680A (en) * 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
TW486801B (en) * 2000-04-07 2002-05-11 Taiwan Semiconductor Mfg Method of fabricating dual damascene structure
ATE399752T1 (de) * 2000-04-24 2008-07-15 Toho Titanium Co Ltd Feste katalysatorkomponente und katalysaor für die olefinpolymerisation
US6352921B1 (en) * 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
JP2002110679A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US6750113B2 (en) * 2001-01-17 2004-06-15 International Business Machines Corporation Metal-insulator-metal capacitor in copper
US6709874B2 (en) * 2001-01-24 2004-03-23 Infineon Technologies Ag Method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation
TW480662B (en) 2001-01-29 2002-03-21 Macronix Int Co Ltd Method for forming dual damascene
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
US6554002B2 (en) * 2001-02-21 2003-04-29 United Microelectronics Corp. Method for removing etching residues
US7192645B2 (en) 2001-02-26 2007-03-20 Dielectric Systems, Inc. Porous low E (<2.0) thin films by transport co-polymerization
US6528185B2 (en) * 2001-02-28 2003-03-04 Hong Kong Polytechnic University Cobalt-tungsten-phosphorus alloy diffusion barrier coatings, methods for their preparation, and their use in plated articles
US6724069B2 (en) * 2001-04-05 2004-04-20 International Business Machines Corporation Spin-on cap layer, and semiconductor device containing same
EP1265468B1 (en) * 2001-06-05 2011-12-28 Kabushiki Kaisha Toshiba Method for manufacturing a composite member
US6544698B1 (en) * 2001-06-27 2003-04-08 University Of South Florida Maskless 2-D and 3-D pattern generation photolithography
US6764796B2 (en) * 2001-06-27 2004-07-20 University Of South Florida Maskless photolithography using plasma displays
GB2379795B (en) * 2001-09-13 2004-02-18 Univ Glasgow Method of manufacturing optical devices and related improvements
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
US6589881B2 (en) * 2001-11-27 2003-07-08 United Microelectronics Corp. Method of forming dual damascene structure
US20030134499A1 (en) 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US20030134495A1 (en) 2002-01-15 2003-07-17 International Business Machines Corporation Integration scheme for advanced BEOL metallization including low-k cap layer and method thereof
US6737747B2 (en) 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6732908B2 (en) * 2002-01-18 2004-05-11 International Business Machines Corporation High density raised stud microjoining system and methods of fabricating the same
US6756294B1 (en) * 2002-01-30 2004-06-29 Taiwan Semiconductor Manufacturing Company Method for improving bump reliability for flip chip devices
US6531386B1 (en) * 2002-02-08 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dish-free copper interconnects
US6821324B2 (en) * 2002-06-19 2004-11-23 Ramot At Tel-Aviv University Ltd. Cobalt tungsten phosphorus electroless deposition process and materials
US6617690B1 (en) * 2002-08-14 2003-09-09 Ibm Corporation Interconnect structures containing stress adjustment cap layer
US6827868B2 (en) * 2002-11-27 2004-12-07 International Business Machines Corporation Thinning of fuse passivation after C4 formation
US7825516B2 (en) 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
JP2004200273A (ja) 2002-12-17 2004-07-15 Sony Corp 半導体装置の製造方法
US7153766B2 (en) 2003-01-09 2006-12-26 Chartered Semiconductor Manufacturing Ltd. Metal barrier cap fabrication by polymer lift-off
US6967158B2 (en) 2003-03-07 2005-11-22 Freescale Semiconductor, Inc. Method for forming a low-k dielectric structure on a substrate
US6767827B1 (en) * 2003-06-11 2004-07-27 Advanced Micro Devices, Inc. Method for forming dual inlaid structures for IC interconnections
US6977218B2 (en) * 2003-07-17 2005-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating copper interconnects
US20050056810A1 (en) * 2003-09-17 2005-03-17 Jinru Bian Polishing composition for semiconductor wafers
US20050064701A1 (en) * 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
US7273803B2 (en) * 2003-12-01 2007-09-25 International Business Machines Corporation Ball limiting metallurgy, interconnection structure including the same, and method of forming an interconnection structure
TW200603698A (en) * 2004-04-13 2006-01-16 Unitive International Ltd Methods of forming solder bumps on exposed metal pads and related structures
KR100631876B1 (ko) * 2004-10-29 2006-10-09 삼성전기주식회사 반도체 레이저 소자의 제조 방법
US7196014B2 (en) * 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US7138717B2 (en) * 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7279411B2 (en) * 2005-11-15 2007-10-09 International Business Machines Corporation Process for forming a redundant structure
US7435676B2 (en) * 2006-01-10 2008-10-14 International Business Machines Corporation Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US8367543B2 (en) * 2006-03-21 2013-02-05 International Business Machines Corporation Structure and method to improve current-carrying capabilities of C4 joints

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005210121A (ja) * 2004-01-22 2005-08-04 Internatl Business Mach Corp <Ibm> ボンディング・パッドと高性能銅インダクタの集積化
JP2005277390A (ja) * 2004-02-27 2005-10-06 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016525274A (ja) * 2013-08-06 2016-08-22 クアルコム,インコーポレイテッド ダイ上の積層再分配層
JP2015092548A (ja) * 2013-09-16 2015-05-14 エスピーティーエス テクノロジーズ リミティド 半導体構造のプレクリーニング
JP2015173256A (ja) * 2014-03-11 2015-10-01 インテル コーポレイション 集積回路パッケージ
CN110574158A (zh) * 2017-05-09 2019-12-13 国际商业机器公司 具有自对准焊料凸块的衬底通孔
JP2020520090A (ja) * 2017-05-09 2020-07-02 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 自己整列はんだバンプを備えた基板貫通ビアを含む半導体デバイスを製造する方法および半導体構造
JP6996823B2 (ja) 2017-05-09 2022-01-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 自己整列はんだバンプを備えた基板貫通ビアを含む半導体デバイスを製造する方法および半導体構造
CN110574158B (zh) * 2017-05-09 2024-02-20 国际商业机器公司 具有自对准焊料凸块的衬底通孔

Also Published As

Publication number Publication date
WO2007084907A3 (en) 2007-12-21
JP5186392B2 (ja) 2013-04-17
WO2007084907A8 (en) 2009-01-29
EP1989730A2 (en) 2008-11-12
TW200741915A (en) 2007-11-01
WO2007084907A2 (en) 2007-07-26
CN101361174A (zh) 2009-02-04
US20070166992A1 (en) 2007-07-19
CN101361174B (zh) 2011-03-16
US7863183B2 (en) 2011-01-04

Similar Documents

Publication Publication Date Title
JP5186392B2 (ja) 界面キャップ構造体を用いて最終レベル銅・c4間接続部を形成する方法
US11031310B2 (en) Chip package
US8368213B2 (en) Low fabrication cost, fine pitch and high reliability solder bump
US8399989B2 (en) Metal pad or metal bump over pad exposed by passivation layer
US7582966B2 (en) Semiconductor chip and method for fabricating the same
US8592977B2 (en) Integrated circuit (IC) chip and method for fabricating the same
US8994181B2 (en) Bond pad structure to reduce bond pad corrosion
US20080050909A1 (en) Top layers of metal for high performance IC&#39;s
US20230317651A1 (en) Prevention of metal pad corrosion due to exposure to halogen
US11996356B2 (en) Low-stress passivation layer
US8324731B2 (en) Integrated circuit device
US20090115065A1 (en) Semiconductor device and manufacturing method thereof
CN113113316A (zh) 聚合物保护层的形成方法
US20080157382A1 (en) Direct termination of a wiring metal in a semiconductor device
CN112582274A (zh) 半导体装置的形成方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090313

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120619

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120822

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120822

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120822

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130108

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130121

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees