JP2009509249A - ナノテクノロジーにおける相互接続エフォートの方法の探究 - Google Patents

ナノテクノロジーにおける相互接続エフォートの方法の探究 Download PDF

Info

Publication number
JP2009509249A
JP2009509249A JP2008531422A JP2008531422A JP2009509249A JP 2009509249 A JP2009509249 A JP 2009509249A JP 2008531422 A JP2008531422 A JP 2008531422A JP 2008531422 A JP2008531422 A JP 2008531422A JP 2009509249 A JP2009509249 A JP 2009509249A
Authority
JP
Japan
Prior art keywords
delay
gate
stage
circuit
effort
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2008531422A
Other languages
English (en)
Inventor
ファン、ミョン−ユン
ソン−オク、ジュン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of JP2009509249A publication Critical patent/JP2009509249A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y35/00Methods or apparatus for measurement or analysis of nanostructures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Abstract

論理信号パスに沿って伝搬遅延を推定するための方法および装置が、ここにおいて説明される。本方法および装置は、信号パスに沿ったマルチステージ論理ゲートの動作、初期入力遷移時間、ステージ間ファンアウト、ならびに異なる論理ゲートタイプを明らかにする。本方法および装置は、入力スロープとゲート論理トポロジに対するゲート遅延依存性の推定値を提供するために、信号遷移の特徴を実効ファンアウトへと変換する。

Description

関連出願に対する相互参照
本願は、ここにその全体が参照により組み込まれる2005年9月16日に出願された米国仮出願第60/717,595号の利益を主張する。
I.序論
今日の積極的なスケーリングダウン(scaling down)は、ナノメートル領域(nanometer regime)(サブ−100nm)以下への回路寸法の突入を可能にし、以前の技術においては比較的無視可能と考えられてきている相互接続遅延効果の重要性が、VLSI設計に対する深刻な課題の1つとして出現し、それによってそれに対処すべき新しい設計方法を必要としている(半導体工業界。半導体についての国際技術ロードマップ。(2003年版)(Semiconductor Industry Association. The International Technology Roadmap for Semiconductors. (2003 Edition)))。
導体幅を縮小することは、相互接続抵抗の増大を引き起こすが、導体間隔を縮小することは、相互接続キャパシタンスの増大を引き起こす。導体が、導体の幅に比べて比較的高くなるにつれ、フリンジング(fringing)や線間結合などの3次元効果が強くなる。他方、デバイスがスケールダウンする(scales down)につれて、その動作速度の改善、あるいは、それを駆動しまたはそれによって駆動されるゲートの寄生効果(parasitic)に比べて相互接続の寄生効果を比較的大きくすることになる出力寄生効果(output parasitic)が存在する可能性がある。それ故に、ゲート遅延は改善されるが、ゲートの間の相互接続遅延は、改善されず、あるいは悪くなることさえある。さらに、VLSI技術の絶え間ない進歩は、数十億個のデバイスが単一チップ中に集積化される新しい時代を可能にする。しかしながら、技術が、デバイスサイズをスケールダウンするにつれて、チップ上により多くの機能(またはデバイス)を配置する傾向がある。それ故に、デバイスの間の相互接続の量は、トランジスタの数と共にドラスチックに増大する可能性がある。相互接続の平均長は、回路素子を接続するために増大する可能性もある。チップ面積は、物理的相互接続面積によって制限されることはしばしばである。相互接続寸法は、可能な限りスケールダウンされ、より多層の金属層が使用される。それ故に相互接続の密度は増大する。
相互接続とゲートとの間のそのようなアンバランスな逆のスケーリング効果は、ナノスケール技術における最も深刻な問題のうちの1つを引き起こす。技術スケーリングによって、論理ゲートにおける遅延(内因性遅延(intrinsic delay)とも称される)は、連続的にピコ秒領域(picosecond range)になるように減少した。しかしながら、縮小された配線形状(wire geometry)は、相互接続の抵抗およびキャパシタンスの増大と、より大きな寄生遅延をもたらすので、相互接続遅延(外因性遅延(extrinsic delay)とも称される)は、プロセスの進歩と共に、トランジスタまたは論理ゲートと同じレートでは、スケールダウンされてきてはいない。結果として、相互接続遅延は、全体的な遅延のより大きな部分になってきており、この傾向は、将来の技術世代において継続し、あるいはより深刻になるように見える(半導体工業界。半導体についての国際技術ロードマップ。(2003年版)(Semiconductor Industry Association. The International Technology Roadmap for Semiconductors. (2003 Edition));ラバエィ、J.M.、デジタル集積回路、設計の展望、プレンティスホール社、ニュージャージー(1996)(Rabaey, J. M. Digital Integrated Circuits, A Design Perspective, Prentice Hall, Inc., New Jersey (1996)))。
非常に多くの研究が、理論的な観点から相互接続モデルを提案してきている。エルモア遅延モデル(Elmore delay model)(エルモア、W.C. J.応用物理、19:55〜63(1948)(Elmore, W.C. J. Applied physics, 19:55-63 (1948)))、または第1の瞬間のインパルス応答は、特に抵抗およびキャパシタンスのツリー解析のための様々なVLSI回路設計ステージにおける広く使用される相互接続遅延モデルである。このモデルは、簡単な解析関数と閉じられた形式を有する。さらに、このモデルは、回路パラメータに対する遅延依存性についての見識(insight)を得る際に非常に効率がよい。しかしながら、このモデルは、ほとんどいつもHspiceシミュレーションに関して配線遅延を過大評価することが観察されている。さらに、このモデルは、抵抗シールディング効果を表せないので、このモデルは、遅延感受性に対処することができない。エルモア遅延についてのこれらの制限を補償するために、エルモア遅延モデルのスケーリングされたバージョン(ピレッギ、L.物理設計に関する国際シンポジウム論文集、28〜33頁、(1998)(Pileggi, L. In Proc. Intl. Symp. on Physical Design, pp. 28-33 (1998))は、スケーリングファクタを導入しているが、このモデルは、このときに遅延の大部分を過小評価する可能性がある。Hspice実験に曲線適合化技法を適用する適合化エルモア遅延モデル(Fitted Elmore delay model)(イスハーク、A.他、IEEEトランザクション 超大規模集積化(VLSI)システム、12(7):691〜696(2004年7月)(Ishaq, A. et al. IEEE Trans. Very Large Scale Integration (VLSI) Systems, 12(7): 691-696 (July 2004)))は、元のエルモア遅延モデルよりもずっとよい精度を有するが、このモデルは、元のモデルの主要な利点を受け継いでいる。しかしながら、このモデルは、依然として抵抗シールディング効果を伝達し(convey)ない。それ故に、このモデルは、回路パラメータ上で不正確な遅延
および遅延感受性を計算する可能性がある。これらの修正されたエルモア遅延モデルは、基本的に第1のモーメンタム応答(momentum response)を考慮している。これらのモデルは、多くのものが今日それを当然のことと考える限りは、うまく機能している。
実用的な観点からの別の障害は、早期設計フェーズにおけるシミュレーション時間である。いくつかのトランジスタレベルのシミュレータが、マーケットで入手可能である。これらのシミュレータは、回路設計を解析するために基本的に2つのステップ、すなわち(1)非線形ターゲット回路モデルの線形化、および、初期状態を含むすでに知られている情報を有する行列式の構築と、(2)行列式を解くこと、に従う。実際には、それは、ちょうどトランジスタの非線形特性に基づいた非線形微分方程式であるKCL方程式およびKVL方程式(ΣI=0およびΣV=0)を解くことに関するすべてである。各時間ステップにおいて、シミュレータは、動作ポイントにおける小信号モデルを構築する(すなわち、線形化)。小規模の回路シミュレーションについてさえ、かなりの数値代数が、解を、したがって回路の動作を計算するために必要とされる。従来の直接法および反復法を使用する代わりに、一部の商用シミュレータは、ルックアップテーブル、イベントドリブンアルゴリズム(event driven algorithm)またはマルチ時間ステップアルゴリズム、階層的シミュレーション、並列計算などのアプローチを採用することができる。これらは、シミュレーション時間を高速化するために、ならびにメモリを節約するために使用される。しかしながら、それは、依然として長いプロセスであり、言うまでもなくシミュレーション時間は、ターゲット回路のサイズと共に指数関数的に増大する。さらに、回路設計の性質を考えると、回路修正後の繰り返しシミュレーションが、頻繁に行われ、それによって設計最適化中により長い解析時間を必要とする。簡単でしかも効率のよい設計方法は、開発時間を短縮する際に非常に有用になるであろう。
[概要]
論理信号パスに沿った伝搬遅延を推定するための方法および装置が、ここにおいて説明される。本方法および本装置は、信号パスに沿ったマルチステージ論理ゲート(multi-stage logic gates)の動作、初期入力遷移時間、ステージ間ファンアウト、ならびに異なる論理ゲートタイプを明らかにする。本方法および本装置は、入力スロープおよびゲート論理トポロジに対するゲート遅延依存性の推定値を提供するために、信号遷移フィーチャ(signal transition features)を実効ファンアウト(effective fanout)へと変換する。
本発明の態様は、回路に対応するデバイスパラメータを受け取ることと、回路の中の各ステージの電気エフォート(electrical effort)を決定することと、初期ステージ(initial stage)の入力において実効ファンアウトを決定することと、初期ステージの出力において電気エフォートに部分的に基づいてゲート遅延(gate delay)を決定することと、初期ステージおよび少なくとも1つの後続ステージの電気エフォートに基づいてゲート信号遷移時間(gate signal transition time)を決定することと、を含む回路遅延を推定する方法を含んでいる。
本発明の態様は、ゲートおよびゲートに結合された少なくとも1つの追加のゲートの電気エフォートに基づいて、ゲート遅延を決定することと、ゲートおよびゲートに結合された少なくとも1つの追加のゲートの電気エフォートに基づいて、信号遷移時間を決定することと、を含む回路遅延を推定する方法を含んでいる。
本発明の態様は、回路遅延を推定するように構成される装置を含んでいる。本装置は、デバイスパラメータを記憶するように構成される第1のメモリと、相互接続パラメータを記憶するように構成される第2のメモリと、第1および第2のメモリに結合され、回路の中の各デバイスにおける電気エフォートを決定するように構成される電気エフォートモジュールと、デバイスパラメータ、相互接続パラメータ、および各デバイスにおける電気エフォートに部分的に基づいて回路遅延を決定するように構成される単一遷移論理エフォート(Signal Transition Logical Effort)(STLE)モジュールと、を含む。
本発明の態様は、回路遅延を推定するように構成される装置を含んでいる。本装置は、回路に対応するデバイスパラメータを記憶するための手段と、回路の中の各ステージの電気エフォートを決定するための手段と、初期ステージの入力において実効ファンアウトを決定するための手段と、初期ステージの出力において電気エフォートに部分的に基づいてゲート遅延を決定するための手段と、初期ステージおよび少なくとも1つの後続ステージの電気エフォートに基づいてゲート信号遷移時間を決定するための手段と、を含む。
[発明の実施形態の詳細な説明]
本開示の実施形態の特徴、目的、および利点は、図面と併せ解釈されるときに以下に述べられる詳細な説明からさらに明らかになるであろう。図面中において、同様な要素は、同様な参照番号を有する。
実際的なVLSI設計においては、配線は通常、ドライバによって駆動され、あるいは他の論理ゲートを駆動し、その結果、それらは、遷移中にある種のスロープでやって来る。ナノスケールIC設計における相互接続遅延の重要な課題は、ゲートの出力における波形形状に対する相互接続の影響である(エルモア、W.C. J.応用物理、19:55〜63(1948)(Elmore, W.C. J.Applied Physics, 19:55-63 (1948)))。その波形は、遷移中には直線とはかなり異なり、主として増大させられた配線寄生効果(wire parasitic)に起因した独特の波形テール(waveform tail)を含んでいる。その波形は、さらにステップ関数(step function)または線形ランプ関数(linear ramp function)が、もはや信号遷移を表すためには十分によくないことを示す。
以前の相互接続モデルの他のクリティカルな欠点は、その精度の不確かさであり、それに対する制約は、線形関数応答遅延についての単なる推定値であることである。やむを得ないことに、それらのモデルは、相互接続遅延モデルにおけるスロープ(スルーレート(slew rate)または単にスルー(slew)と呼ばれる)依存性を取り込む機会が小さい。
波形が、簡単な線型モデルを用いて厳密に近似されることができるという仮定は、主として回路の中の相互接続遅延の重要性が増すことに起因して、現代の技術では破綻してしまう。ドライバ遅延(特に非線形ドライバインピーダンス)および相互接続遅延は、互いに相互依存している。それ故に、全体の遅延の考察において駆動ステージと相互接続との間の相互依存性を明らかにすることが重要になってきている。
ナノテクノロジーにおける相互接続遅延効果が、標準CMOSの90nmプロセスおよび65nmプロセスを使用して単一チップを用いて研究されてきている。遅延を決定するための改善された方法および装置が、ここにおいて説明され、相互接続エフォート(Interconnect Effort)または信号遷移論理エフォート(Signal Transition Logical Effort)(STLE)と称される。4つのタイプのテスト回路が、相互接続遅延に対する配線キャパシタンスと配線抵抗の影響を考慮するためにインプリメントされてきている。
シリコンデータと実験データは、相互接続が、90nmプロセスにおけるゲートよりもずっと大きな回路遅延に寄与することを示している。相互接続エフォートの方法は、比較的簡単であるが、効率的である。提案される方法は、SPICEシミュレーションとよく一致しており、±5%誤差よりも小さい。最も重要なことに、相互接続エフォート遅延推定値は、純粋なゲートチェーン(gate chain)はもちろん、ゲート駆動された配線についての回路遅延を推定するために初期計算として使用されることができる。
ここにおいて説明される方法および装置は、特に相互接続寄生効果の考慮が、厳しい性能と面積の要件を用いて最良のゲートサイズまたは駆動能力を決定する際に不可欠であるナノスケール設計においては、クイックリファレンス(quick reference)として実際的な回路に適用されることができる。これはまた、どのようにして異なるトランジスタのサイズと同様に配線も、回路遅延に影響を及ぼすかについての見識をもたらす。
図1は、遅延推定装置100の一実施形態の簡略化された機能ブロック図である。装置100は、例えば集積回路の中の複数の回路パスからの選択回路パスの伝搬遅延を推定するために使用されることができる。多くの場合に、集積回路または他の何らかの論理システムは、反復的な解析および修正を必要とする1つまたは複数のクリティカルなタイミングパスを含んでいる。ここにおいて説明されるSTLEの方法および装置により、クリティカルな回路パスのタイミングは、広範囲にわたるSPICE回路解析についての必要性なしに、高速に解析されることが可能になる。
装置100は、様々なゲートパラメータおよび相互接続パラメータを受け取るための入力デバイスを含んでいる。様々なゲートサイズを含めて、ゲートパラメータは、回路メモリ110に記憶されることができる。相互接続回路を定義する接続および寸法を含めて、相互接続パラメータは、ネットリストメモリ112にネットリストの一部分として記憶されることができる。一例においては、入力デバイス102は、SPICE回路定義などの論理システム定義を受け取ることができる電子ポートである。入力デバイス102は、ユーザが伝搬遅延解析のためにその論理システム定義から特定の回路パスを選択することができるようにするユーザインターフェースを含むこともできる。例えば、入力デバイス102のユーザインターフェースにより、ユーザは、解析のための論理システムの回路図(schematic)の一部分をハイライトすることができるようになり得る。
電気エフォートモジュール124は、回路メモリ110とネットリストメモリ112に結合される。電気エフォートモジュール124は、ゲートトポロジに基づいて、電気エフォート、または各ステージのファンアウトを決定することができる。電気エフォートは、例えば正規化された、または標準のゲートモデルに基づいて決定されることができる。一般的に、電気エフォートは、2つのカスケード接続されたステージのサイズ比である。しかしながら、相互接続の長さおよび寸法は、電気エフォートに寄与する。
遷移時間モジュール120は、回路メモリ110とネットリストメモリ112に結合される。遷移時間モジュール120は、選択された回路部分の様々なパラメータに基づいて、電気エフォートに対する、ゲートの遷移時間、または立ち上がり時間および立ち下がり時間のスロープを決定するように構成される。
ゲート遅延モジュール122は、回路メモリ110とネットリストメモリ112に結合される。ゲート遅延モジュール122は、電気エフォートの関数としてハイからローへのゲート遅延と、ローからハイへのゲート遅延を決定する。
信号遷移論理エフォート(STLE)モジュール130は、遷移時間モジュール120、ゲート遅延モジュール122、および電気エフォートモジュール124のおのおのに結合される。STLEモジュール130は、選択された回路部分の集合伝搬遅延特性(aggregate propagation delay characteristics)を決定するために個々のゲート特性のおのおのを使用する。
STLEモジュール130は、前ステージの解析の結果に基づいて、選択された回路部分の各ステージを個々に連続的に解析するように集合伝搬遅延を決定する。STLEモジュール130は、初期または主要な入力信号スロープと、第1のステージの実効ファンアウトとに基づいて、最初に入力ステージを特徴づける。
STLEモジュール130は、電気エフォートに基づいて初期ステージについてのゲート遅延を決定する。STLEモジュール130は、第1のステージの遷移時間を決定するために第1のステージの電気エフォートを使用する。この遷移時間は、次のステージの遅延に対する影響を決定するために使用される。
STLEモジュール130は、そのステージによって見られる電気エフォートと、先行するステージの影響に基づいて、各ステージを介した伝搬遅延を決定し続ける。最終ステージにおいて、STLEモジュール130は、最終負荷に基づいて遅延を決定する。STLEモジュール130は、ユーザに出力するための出力デバイス140に対して最終出力、ならびに任意の中間出力を供給することができる。例えば、出力デバイス140は、各ステージの出力における推定遅延、ならびに選択された回路部分を介した最終伝搬遅延を表示することができる。
図2は、論理的相互接続遅延推定装置200の機能ブロック図である。類似した装置200は、例えば図1の回路遅延推定装置として使用されることができる。
遅延推定装置200は、ディスプレイ210と、キーボード252および入力デバイス254を含むI/Oデバイス250と、プロセッサ220と、メモリ224と、I/Oコントローラ240と、ハードドライブ262と、1つまたは複数の着脱可能ストレージドライブ264を含むことができ、この着脱可能ストレージドライブは、フロッピー(登録商標)ドライブと、光ストレージ266と、他の何らかのストレージデバイス268と、モデムなどの通信デバイス230と、ネットワークインターフェースカード(network interface card)(NIC)234を含むことができる。様々な要素は、遅延推定装置200内の1つまたは複数のコンピュータバス202を使用して結合されることができる。1つまたは複数のストレージデバイス268は、それだけには限定されないがROM、RAM、不揮発性RAM、フラッシュメモリ、磁気ストレージ、光ストレージ、テープストレージ、ハードディスクストレージなど、あるいは他の何らかの形態のプロセッサ読取り可能媒体を含むことができる。
回路は、メモリ224に対してロードされ、あるいはそうでなければ入力されることができ、プロセッサ220は、選択された回路部分のSTLE解析を実現するようにメモリ224に記憶される1つまたは複数のプロセッサ読取り可能命令を実行することができる。STLE遅延解析は比較的高速であるので、ユーザは、クリティカルな回路パスを反復的に最適化するためにクリティカルな回路パスに関連したパラメータを修正し、STLE解析を非常に多数回再実行することができる。
相互接続エフォート伝搬遅延推定法(interconnect effort propagation delay estimation method)は、論理エフォートの方法を拡張し、回路の予想される遅延を推定するための簡単で効率的な方法を提供している。ここにおける説明は、様々な長さの配線負荷を有するインバータチェーンに焦点を当て、立ち上がり遷移および立ち下がり遷移からの平均ゲート遅延(それ以外に指摘がない限り単に「遅延」と称される)となるように論理ゲートのメトリック(metric)を定義する。長い配線は、多くの場合にメモリにおけるようにインバータチェーンによって駆動される。しかしながら、インバータチェーンは、簡単のために説明されており、ここにおいて説明される方法および装置のオペレーションに対する限定ではない。典型的な論理ゲートは、インバータに対するその関係の観点から説明されることができ、したがって他のゲートタイプ、およびゲートタイプの組合せに対する方法および装置のオペレーションは、インバータチェーンの説明から暗に示されることができる。
II.論理エフォート
A.論理エフォートの一部のパラメータを有するゲートの単位なしの遅延
論理エフォートの方法は、ドライバにより、そしてドライバのトポロジにより駆動される容量性負荷によって引き起こされる遅延を記述する。論理エフォートの理論は、簡単なモデルに由来する。ゲートの遅延は、2つの成分、すなわち内部寄生素子(internal parasitic)を駆動する内因性遅延と、容量性負荷を駆動するエフォート遅延とを有する。エフォートは、ゲートサイズに対する負荷サイズの比、ならびにゲートの複雑さに依存する。パラメータh、いわゆるi−番目のゲートの電気エフォートは、ゲートの対応する入力端子における入力キャパシタンスCに対するゲートによって駆動される容量性負荷Ci+lの比を表す。パラメータg、i−番目のゲートの論理エフォートは、その複雑さを特徴づける。エフォートベースのモデルは、基本的にCMOS回路の従来のスイッチモデルの再公式化したもの(reformulation)である。ゲートのチェーンの絶対遅延(absolute delay)は、τの単位、駆動するインバータの時間の基本遅延単位で、(1)となるように定義される。
Figure 2009509249
特定の集積回路製造プロセスを特徴づける、寄生効果のない別の同一のインバータ。絶対遅延は、単位なしの遅延dと遅延単位τの積である。簡単にするために、ここにおいて表現される遅延は、τの単位で与えられる。
電気エフォートは、どのようにして論理ゲートの電気的環境が、性能に影響を及ぼすかと、どのようにしてゲートの中のトランジスタのサイズが、その負荷駆動能力を決定するかを記述する。論理エフォートは、出力電流を生成するその能力を表現する。同等に論理ゲートの論理エフォートは、その入力のおのおのがインバータと同じ入力キャパシタンスを有することだけができることを仮定して、論理ゲートが出力電流を生成する際にインバータよりもどれだけ悪いかを知らせる。論理エフォートは、回路の中のトランジスタのサイズには依存せず、ゲートのトポロジだけに依存する。インバータの論理エフォートは、1に正規化され、そして他のゲートについては、それらの内部トポロジに基づいて計算される。
論理エフォートと電気エフォートの積は、多くの場合に「f」、ステージエフォートまたはエフォート遅延と称される。パラメータpは、製造プロセスとレイアウト戦略の両方に非常に依存する内因性寄生遅延である。寄生遅延に対する主要な寄与は、出力を駆動するトランジスタのソース領域とドレイン領域のキャパシタンスである。より大きな出力電流をサポートする幅のより広いトランジスタは、それに応じてより大きな拡散キャパシタンスを有するので、寄生遅延は、「理論的に」固定され、論理ゲートのサイズと、それが駆動する負荷キャパシタンスとは独立している。4つの寄与τ、g、h、およびpを分離すると、論理エフォートの方法は、すなわち(1)プロセスパラメータτは、基本トランジスタの速度を表し、(2)寄生遅延pは、それ自体の内部キャパシタンスに起因したゲートの内因性遅延を表現し、この内因性遅延は、ゲート幅の形のトランジスタのサイズとは大いに独立しており、(3)Cを確立する論理ゲートの中のトランジスタのサイズと共にCi+lを確立する外部負荷の影響を電気エフォートhと組み合わせ、そして最終的に(4)負荷またはトランジスタサイズの考慮のない遅延に対する回路トポロジの影響を、論理エフォートgを用いて表現する。この方法は、それが、回路トポロジだけに依存するので、有用である。
65nmプロセスの論理ゲートチェーンを使用したいくつかのCMOS論理ゲートについての測定されたプロセスパラメータ、論理エフォート、電気エフォート、および寄生エフォート値が、表1に示される。
Figure 2009509249
B.論理エフォートからの見識
論理エフォートの理論は、回路設計のいくつかの態様についての見識にとって最も価値がある。同じ結果が、長い設計経験から、または多数の回路シミュレーションから出てくるが、それらは、論理エフォートから非常に簡単に出てくる。興味ある結果は、以下を含むことができる。すなわち(1)回路網を介して論理ゲートまたはパスの遅延特性を特徴づける数値「論理エフォート」のアイデアは、非常に強力である。このアイデアにより、人は、代替回路トポロジを比較すること、および一部のトポロジが他よりも一様によいことを示すことができるようになる。回路は、各ステージのエフォート遅延が同じであるときに最も高速である。さらに、典型的な設計は、約4の、このエフォートを行うステージの数を選択する。(3)よく設計されたパスの遅延は、およそ4(logG+logH)+P=logFファンアウト4(FO4)の遅延(is about 4 (log4G+log4H)+P=log4F fanout-of-four (FO4) delays)である。パスによって駆動される負荷についておのおの4倍することは、およそFO4インバータの遅延を加える。(4)一方の入力が、他方よりかなり後で到着するときには、早い入力に対してトランジスタのサイズを増大させることによりゲートをアンバランス化させることは、遅い入力からの遅延を速める(speed)ことになる。
論理エフォートは、メモリ設計の各ステージのために必要とされる最良で最小の論理エフォートは何かなど、計算の複雑さの質問についての有用な尺度とすることができる。論理エフォートに基づいた計算のコストのモデルは、おそらく入力の限られた数を有する論理ゲートの簡単なカウントを行うよりも計算を完了させるために必要とされる時間および空間をずっと正確に描写する(portray)。
C.論理エフォートの欠点
論理エフォートは、非常に簡単な前提、すなわち各ステージのエフォート遅延を等しくすることに基づいている。この方法の簡単さは、その最大の強みであるが、この方法は、いくつかの制限ももたらす。すなわち(1)RC遅延モデルは、あまりにも簡単すぎる。特にこの方法は、速度飽和の影響および可変な立ち上がり時間の影響を取り込むことができない(ピレッギ、L.物理設計に関する国際シンポジウム論文集、28〜33頁、(1998)(Pileggi, L. In Proc. Intl. Symp. on Physical Design, pp. 28-33 (1998)))。幸いに立ち上がり時間は、うまく設計された回路においては等しいエフォート遅延とほとんど等しくなる傾向がある。速度飽和は、シミュレーションを介してゲートの論理エフォートを特徴づけることにより取り扱われることもできる。(2)論理エフォートは、どのようにして最大速度のためのパスを設計すべきかを説明するが、どのようにして固定された遅延の制約条件下の最小の面積またはパワーのためのパスを設計すべきかについては簡単には示していない。(3)論理エフォートの計算は、異なる数のステージ、または各分岐上の異なる寄生遅延を有する、分岐するパスについては難しい可能性がある。通常、そのような回路についての論理エフォートの計算は、反復を必要とする。反復は、固定された配線キャパシタンスが、ゲートキャパシタンスに匹敵するときにも必要とされる。(4)多数の実際の回路は、手動で最適化するにはあまりにも複雑すぎ、したがってスプレッドシートを用いて、あるいはスクリプトを用いて考察される必要がある。
III.ゲート遅延モデル
ゲート遅延は、回路技術と回路トポロジとの関数だけではなく、他のファクタにも依存する。明らかに、負荷が増大するにつれて、遅延は増大する。しかしながら、遅延の考察は、ナノメートル技術の世代においては以下の2つの追加のファクタ、すなわち(1)入力信号の「スロープ」と、(2)「反射される寄生効果(reflected parasitic)」を考慮に入れる必要がある。
A.スロープ依存のゲート遅延
信号のスロープは、論理0から論理1へ、あるいは逆もまた同様に遷移するときのその変化のレートである。信号スロープは、異なるレベルの間でどれだけ高速に信号が遷移するかを表現することができる。実際の回路設計は、一般的に複数ステージの論理ゲートを使用する。次のステージを駆動する信号は、そのパスに沿った以前のステージの出力信号であるので、信号のスロープは、以前のステージのドライバのサイズに依存する。立ち上がり時間および立ち下がり時間、tおよびtは、多くの場合に個々の信号波形に当てはまる10%遷移ポイントと90%遷移ポイントとの間で測定される。
瞬間的な遷移(またはステップ関数)は、最大の理論的なスロープ値を表すように考えられることになる。重要なことに、信号のスロープは、任意の1つ(または複数)の論理ゲートの相互接続の特性と入力特性と組み合わされた駆動ゲートの出力特性に依存する。同じ出力負荷では、より広い駆動トランジスタは、その出力負荷をより高速に駆動することになり、それによって遅延は、より小さくなる。同じドライバでは、より小さな出力負荷は、より高速に充電し、そして放電することになり、それによって遷移時間は、より小さくなる。配線の平均寄生キャパシタンスは、ディープサブミクロン技術においては、一般的にゲートの平均サイズよりも数倍大きくなる。配線のこの回避できない寄生効果は、配線それ自体に追加の遅延を引き起こすだけでなく、それを駆動するゲートに対する負荷も増大させ、スロープの特性を悪化させ、そしてそれによってゲート遅延をさらに増大させる。
B.寄生リフレクション依存のゲート遅延
考慮すべきさらに別のパラメータは、「寄生リフレクション(parasitics reflection)」と称される。信号パスに沿った論理ステージのカスケード接続においては、次のステージの入力端子と次のステージの間の相互接続に関連する寄生効果の部分が、中間ステージを介して「反射して返される(reflected back)」ことが可能であり、それらは、以前のステージの出力に対して目に見える。さらに、中間ステージが、マルチ入力ゲートであった場合、そのときにはそれらを介して反射して返されるこれらの寄生効果は、うまく状態に依存する可能性がある。換言すれば、それらは、中間ステージの他の入力に対して提示される論理値に応じて変化する可能性がある。一般には、1つ(または複数)の中間ゲートは、次のステージの間で/に関連する寄生効果から第1のゲートの出力を全体的にバッファリングする(buffer)ことになることを仮定することが安全であった。したがって、ステージの出力は、寄生効果、配線キャパシタンスおよび配線抵抗と、まさに次のステージに関連するキャパシタンスを「感じる」だけになる。
スロープ依存性と寄生リフラクション(parasitics refraction)の2つの影響は、ナノスケールVLSI設計におけるゲート遅延の考察に含める必要がある。これらの理由のために、論理エフォートの方法は、信号パスに沿った最も近い1つ(または複数)のステージの影響の他に、他の以前の/次のステージの影響を取り込むために拡張する必要がある。
C.ゲート遅延モデル
図3は、ゲート遅延を特徴づけるために使用されるインバータチェーンの一例の簡略化された機能ブロック図を示している。回路は、9つのステージを有する。最初の4つのステージは、入力スロープを形づくるための役割を担う。いくつかのステージの後に、均一な論理チェーンは、入力信号遷移のスロープ変化における無視可能な差を有する初期入力スロープに関係なく、その包括的なスロープレートへと落ち着かせることが観察されている。NANDゲートチェーンやNORゲートチェーンなど、他の論理チェーンに対する他の実験は、類似した結果を示す。各論理ゲートは、それ自体の公称スロープを有し、それに対して収束する傾向を有することが推論されることができる。この理由のために、論理ゲートチェーンにおける各ステージが、同じファクタだけスケーリングされるときに、その遅延は変化しない。
実験結果はまた、2つのステージの間の距離が増大するにつれて、互いに対する寄生リフレクションのそれらの影響が、小さくなることを示している。第5のステージおよび第6のステージは、特徴づけられるゲートを含んでいる。最後のステージは、負荷としての役割を果たす。このステージは、ゲート−ドレインのオーバーラップキャパシタンスについて補償するために使用される。このステージが取り除かれた場合には、第6のステージの出力は非常に高速に切り換わることになり、したがってこれは、それに対する有効な入力キャパシタンスを増大させることになる(いわゆるミラー効果)。2つのメトリックhとmは、電気エフォートとステージのサイズを表す。
前述のように、i−番目のステージのゲート遅延は、その電気エフォートだけではなく、以前のゲートおよび次のゲートの電気エフォートにも依存する。ゲート遅延は、二次的な効果が無視されるときに、論理チェーンにおける絶対サイズではなくて相対サイズ(すなわち、電気エフォートh)の関数であることをここで述べることは価値がある。すなわち、論理チェーンは、すべてのステージが同じ比だけそれらのサイズを増大させ減少させる限りは、同じ遅延を有することになる。論理チェーンにおいてi−番目のステージのゲート遅延をt(...,hi−2,hi−1,h,hi+1,hi+2,...)=tとして定義しよう。用語「公称(nominal)」は、その値と同じ電気エフォートを有するステージ(stages)を指す。例えば、公称ゲート遅延(the nominal gate delay)は、すべての隣接するものが同じ電気エフォートを有するときのゲート遅延である。そのときは、 ...=h−1=h=hi+1=... であるとき、tが、i−番目のステージのtDnom(h)の公称遅延値(nominal delay value)に等しい、ことは明らかである。
図4aは、4番目のステージおよび次のステージが、同じ電気エフォート(h=h=h=h=h=h= =hnom=1/2/3/4/...)を有するときの、正規化された遅延差 対 以前のステージの電気エフォート(h=h=h=h h)(the normalized delay difference versus electrical effort of the previous stages (h0=h1=h2=h3 h))を、示している。x−軸は、残りのステージの固定された電気エフォートについて1から12である最初の4つのステージの電気エフォートhについてのものである(The x-axis is for the electrical effort h of the first four stages that is from 1 to 12 for the fixed electrical effort of the rest of stages.)。「hhhh1111」は、hの同じ電気エフォートを有する最初の4つのステージを表し、最後の5つのステージは、1という同じ電気エフォートを有する。y−軸に沿って、遅延差は、公称遅延に対して正規化され、あるいは{t−tDnom(h)}/tDnom(h)である(Along the y-axis, the delay difference is normalized with respect to the nominal delay, or {tD - tDnom(hi)}/ tDnom(hi))。それ故に、各遅延曲線は、すべてのステージが同じ電気エフォート、またはt=tDnom(h)を有するときに、正規化された遅延差値のゼロを通過する。その公称値からの正規化された遅延の偏差(deviation)は、以前のステージの電気エフォートに対する線形依存性を有することが、観察されることができる。しかしながら、その依存性の程度は、特徴付けの下のステージが、より高い電気エフォートを有するときに、より小さくなる。その理由は、より大きなhnomは、2つの連続したステージの間のより大きなゲートサイズ比を意味し、それによってゲート遅延時間は、逆比例でそのゲートの電気エフォートに関係づけられることである。より多くの考察が、この小節(subsection)の終わりに行われる。正規化された曲線のスロープ(slope of the normalized curve)(その一次近似を行った後の)は、hnomに関して再び示され、図4bにおける二次近似と適合させられる。VLSI設計において一般的に使用される電気エフォートの範囲、2〜10では、この近似は、実験データと約1%の誤差内でよく一致する。
ゲート遅延は、隣接するステージの電気エフォートによっても影響される。すなわち、ゲート遅延の推定は、論理エフォートの最初の方法が見逃している、以前のステージおよび次のステージの信号スロープと寄生リフレクションの影響を考慮することを必要とする。実験結果は、以前のステージが、i−番目のステージよりも小さな電気エフォートを有する(hi−1<h)ときに、ゲート遅延が公称値よりも速いことを示している。それに反して、hi−1>hであるときには、ゲート遅延時間は、より遅くなる。より小さな電気エフォートは、以上の理由と同様に、より大きなゲートサイズと、より高速な遷移応答を意味するので、これは予想される。幸いにも、公称ゲート遅延値からの偏差は、それが同じ大きさのステージ(isometric stage)に沿って伝搬するときに減少する。シミュレーションは、2ステージ後では、その影響が、1%よりも小さく、90nmプロセスにおいては無視できることを示す。
Figure 2009509249
以上の考察を考慮に入れて、論理チェーンの中の4−番目のゲートについての提案されるゲート遅延モデルは、(2a)によって表現されることができ、ここで公称ゲート遅延tDnom(h)は、論理エフォートの最初の方法(1)によって得られることができる。実験結果は、ゲート遅延の考慮が、スロープ依存性に起因した少なくとも2つの以前のステージと、寄生リフラクションに起因した1つの次のステージとを必要とすることを示している。換言すれば、ゲート遅延を決定するために、3つの隣接したステージと、2つの以前のステージと、1つの次のステージが、ウィンドウとして考慮される必要がある。隣接するステージのスロープ依存性と寄生リフラクションの影響は、i−番目のステージ(i−番目のゲート)の電気エフォートが、大きくなるにつれて弱くなり、このようにしてi−番目のステージ(h)の電気エフォートは、(2a)の分母の中に現れることも観察されている。hおよびhnomの実際的な範囲についての他の実験は、(2b)における提案されたゲート遅延モデルとよく一致する。
電気エフォートは、入力キャパシタンスに対する負荷キャパシタンスの比である。以前のステージが、i−番目のステージよりも小さな電気エフォートを有するときに、それは、より広いトランジスタを有し、それによってより大きな駆動能力を有する。この改善された駆動能力は、入力信号のスロープをi−番目のステージに対して急勾配に(またはより高速に)するのに役に立ち、それによって遅延は、より小さくなる。しかしながら、次のステージが、より小さな電気エフォートを有するときには、それは、より狭いトランジスタを有する。次のステージの入力キャパシタンスは、i−番目のステージの負荷キャパシタンスであることに注意すべきである。次のステージのより狭いトランジスタは、i−番目のステージに対する容量性負荷を減少させるのに役に立ち、それによって遅延は、より小さくなる。他方、以前のステージまたは次のステージのより大きな電気エフォートは、遅延を増大させる傾向がある。すなわち、遅延は、隣接するステージの電気エフォートとの差に比例している。これは、運動エネルギー理論における「慣性」の概念に類似している。より大きな電気エフォートは、出力端子におけるより大きな容量性負荷および/または入力端子におけるより小さなキャパシタンスを有するので、入力端子におけるスロープの変化は、出力端子のスロープに対してより少ない影響を及ぼす。結果として、遅延は、i−番目のステージのトランジスタのサイズに逆比例する。(2)における係数Aに関して、(i−2)−番目のステージ(第2の以前のゲート)は、ゲート遅延に対して約4%の影響を有し、(i−1)−番目のステージ(第1の以前のゲート)は、約20%の影響を有し、(i+1)−番目のステージは、約10%の影響を有する。より多くの隣接するステージは、スロープ依存性と寄生リフラクションを考慮したより微細な技術とより正確なモデリングについて考慮する必要がある可能性がある。
IV.ゲート相互接続遅延モデル
A.純粋なRC回路網におけるセグメントの数と入力スロープ依存性の考慮
実際の配線は、理想的な配線とは異なり、この理想的な配線は、どのような電気的効果もない回路図上の線である。一端における電圧変化は、伝搬遅延およびIR降下なしに、すなわち等電位で他端に現れる。本質的に分布システム(distributed system)である配線でさえ、集中素子(lumped element)を用いてモデル化されることができる。正確な推定値を得るために、2Dまたは3Dの複雑な電界式を用いたアプローチが、解かれる必要がある可能性があるが、これは、論理エフォートの理論から「簡単さ」の主要な利点を利用するためには効率がよくない。L−モデル、T−モデル、π−モデルなど、いくつかのモデルが、純粋なRC回路網解析について知られてきている。これらのRCモデルのうちで、n−モデルは、同じ数のセグメントを有する他のL−モデルまたはπ−モデルに優るすばらしい精度を有する。他方、エルモア遅延モデルは、閉じられた形式表現であり、遅延を計算するために非常に効率がよい。エルモア遅延式は、3という簡略化されたエルモア遅延を有するチェーン回路網によって表されることができる。エルモア遅延モデルは、次節におけるように、駆動する、またはそれによって駆動されるゲートを有する配線に効果的に適用されることができる。
Figure 2009509249
高温環境における最小の幅および間隔の配線状態が、90nm技術において仮定される。遅延は、立ち上がり方向にも立ち下がり方向にも、入力信号の50%遷移から出力の50%遷移まで測定され、正規化される。入力信号のスロープは、瞬間的な遷移(またはステップ関数)から遅い遷移へとスイープしている。セグメントの数が増大するにつれて、伝搬遅延は増大し、その最大値、実質的にエルモア遅延のln(2)倍に近づくことが分かる。これは、集中RC回路網が、ステージの数が増大すると共に分布RC回路網に近くなるからである。50%−50%の遷移遅延時間は、少ない数のセグメントでその限界値に近くなり、2つのセグメントで3%より小さく、3つのセグメントで約1%になる。
これは、いくつかのセグメントを有するπ−モデルが、入力スロープの広い範囲について配線を近似するのに十分であることを意味する。3−セグメントのπ−モデルは、正確な遅延推定のための相互接続の十分なモデルを提供する。入力信号のスロープを伴う遅延変化は、ゲートによって駆動される配線の解析モデルを用いて次の小節において詳細に論じられることになる。
B.相互接続遅延の入力スロープ依存性
図5は、相互接続配線を駆動するゲートの簡単なRC回路網モデル(simple RC network model)を示している。駆動するデバイスは、Rとしてモデル化され、配線は、1つのπ−モデルとしてモデル化される。デバイス寄生キャパシタンスは、総相互接続キャパシタンスCの半分の値(half the value of the total interconnect capacitance Cw.)と共に、Cに含められる。負荷キャパシタンス(次のステージの入力キャパシタンス)は、Cの他の半分と共に、Cに含められる。RとRは、それぞれ、デバイスRonのON抵抗Ron(the ON resistance of the device Ron)と1つのπ−モデルの中の相互接続抵抗R(the interconnect resistance Rw in one π-model)を、表す。この小節は、中間ノードaから出力ノードoutへの相互接続遅延に対して焦点を当てることになる。
変化するスロープを有する入力信号が、その回路モデルにおいて観察された。入力ノードに加えられる信号のスロープは、瞬間的な遷移から遅い遷移まで変化する。図6aおよび6bは、入力信号がTのスロープメトリックで遷移するときのノードaと出力における応答を示している。この台形入力信号(trapezoidal input signal)Vinは、2つのランプ関数(ramp function)、VinT1およびVinT2 、に分解されることができる。これらのランプ関数は、同じ形状を有するが、VinT2は、どれだけ速く入力信号が変化するかを決定する時間遅延Tだけ、VinT1に追随する。ノードaにおける応答としてVaT1およびVaT2を定義すると、VoutT1およびVoutT2は、それぞれVinT1およびVinT2に対応する出力ノードにおける応答である。ノードaにおける(または出力ノードにおける)全体の応答は、受動的なRC回路網が、LTI(線形時間不変量(Linear Time Invariant))システムのうちの1つであるので、2つの個別の応答VaT1とVaT2と(またはVoutT1とVoutT2と)の重ね合わせ(superposition)によって取得されることができる。VaT2(またはVoutT2)は、まさに同じ形状を有するVaT1(またはVoutT1)の遅延されたバージョンである。VaT1(またはVoutT1)は、電位レベルを増大させようと試みるのに対して、VaT2(またはVoutT2)は、立ち上がり入力遷移について中間(または出力)ノードにおいてそれをプルダウンしようと試みる。
R1は、デバイスのサイズ(幅)にほぼ逆比例する。実際の配線長は、レイアウトが完了するまで、推定することが非常に難しい。配線は、その寄生素子が、その配線が駆動するゲート負荷に比べて小さいときに短いと考えられることができる。同様に、配線は、その寄生素子が、その配線が駆動するゲート負荷に比べて大きいときに長いと考えられることができる。相互接続寄生素子が、ゲート負荷に匹敵するときに、それは中間の長さの配線と呼ばれることができる。そのような中間の長さの配線
Figure 2009509249
は、それが駆動するゲートのサイズの強い関数を導入する。
機能ブロック内において、ほとんどの配線は短く、ゲート遅延は、ゲートキャパシタンスによって支配される。短い相互接続配線(R>>Rに対応)では、Cは、無視可能であり、ゲート遅延は、ゲートキャパシタンスによって支配され、それによってゲートの電気エフォートは、次のステージのチャネル幅に対するそのサイズのチャネル幅の比を用いて計算されることができる。機能ブロックの間の配線は、多くの場合に機能ブロックの中のほとんどのトランジスタよりも数百倍または数千倍大きい可能性がある。しかしながら、長い相互接続配線(R<<Rに対応)では、相互接続のキャパシタンスおよび抵抗は、Coutの形で考慮に入れられるべきである。
入力信号が非常にゆっくりと遷移する(大きなT)ときに、相互接続遅延は、あまり変化せず、それはすでに配線時定数
Figure 2009509249
の半分に非常に近い。相互接続遅延は、R<<Rのときに入力スロープに依存する。Rが、Rよりもずっと小さい場合には、出力ノードにおけるVoutが、中間ノードVにおける信号遷移にすぐに追随することができる。それ故に、相互接続遅延は、入力遷移のスロープの影響をほとんど受けず、それは、配線時定数
Figure 2009509249
の半分ですでに飽和している。
Figure 2009509249
という極端な場合においては、中間ノードと出力ノードとの間に差はなく、そして
Figure 2009509249
であり、相互接続遅延は、ほとんどゼロに等しく、そしてC=C+C=Cである。
入力スロープは減少する可能性があるが、中間ノードから出力への遅延は、2つの抵抗RとRの比に応じて増大し、あるいは減少する可能性がある。相互接続遅延のこのスロープ依存性は、2つの抵抗が匹敵しているときに明らかに見られる。この場合には、相互接続遅延は、入力信号のスロープが減少するにつれて、最初に減少し、次いで増大し、最終的に飽和する。ターニングアラウンドポイント(turning around point)は、配線時定数の数倍である。ランプ入力遷移についての応答Vは、2つの成分VaT1とVaT2に分解されることができる。VaT1は、電位レベルVを上昇させるが、VaT2は、上昇する入力遷移についてノードaにおけるVを低下させる。VaT2がより速く増大するときには、総電位Vがスイッチングしきい値レベルV(または供給パワーの50%)に到達するためには、より多くの時間がかかる。Vに対するVaT2の低下させる効果は、入力信号が速く遷移する(小さなT)ときに強く、そしてそれは、入力スロープが減少する(またはT↑)につれて、より弱くなる。V上でのVaT2の強い影響は、ノードinからノードaへの50%から50%への遅延時間(50%-to-%50 delay time)を減少させる役に立つ。この理由のために、総電位V(T’)は、T’>Tの場合に、図6bに示されるようにV(T)がVaT1(T)に追随するよりももっと接近して、VaT1(T’)に追随する。応答Voutも、2つの成分、VoutT1とVoutT2に分解されることができる。Vinに追随するVについての同じやり方で、Voutは、この時にその入力刺激Vに追随する。VoutT1は、Voutを増大させる役に立ち、VoutT2は、電位Voutの増大を禁止しようと試みる。Vout上のVoutT2の強い影響はまた、ノードinからノードoutへの50%から50%への遅延時間を減少させることになる。しかしながら、50%から50%への相互接続遅延は、ノードinからノードoutへの50%から50%への遅延と、ノードinからノードaへの50%から50%への遅延の間の差である。すなわち、相互接続遅延は、inからaへの遅延と共に、より小さくなるが、相互接続遅延は、inからoutへの遅延と共に、より大きくなる。Voutに対する刺激であるVのスロープは、Vに対する刺激であるVinのスロープよりも小さい。実際には、これらの2つのメカニズムは、相互接続遅延において一緒に役割を果たす。
に対するRの比は、入力信号のある種のスロープについてどちらが相互接続遅延においてより重要であるかを決定する。入力信号が速く遷移する(小さなT)ときに、inからaへの遅延における減少の程度は、inからoutへの遅延における減少の程度よりも大きく、それによって相互接続遅延は、減少する。この傾向は、入力スロープメトリックTがターニングアラウンドポイントに到達する前に継続する。入力信号がより遅く遷移する(大きなT)ときには、inからaへの遅延における減少の程度は、inからoutへの遅延における減少の程度よりも小さく、それによって相互接続遅延は、増大する。しかしながら、入力信号がずっと遅く遷移する(非常に大きなT)ときには、Vは、Vinの遷移に正確に追随するために十分な時間をすでに有しており、Voutもまた、Vの遷移に正確に追随するのに十分な時間を有する。すなわち、十分に長いスロープにより、内部ノードは、ほとんどVのポイントまでプリチャージされるようになることができる。したがって、入力信号が、実際にスイッチングしきい値Vを横切るときには、出力ノードは、開始ブロックにおいて落ち着いており(poised)、瞬間的な遷移が入力に対して適用される場合に切り換わることになるよりも速く切り換わるように見える。それ故に、相互接続遅延には、より多くの変化は存在しない。
C.回路設計において提案される相互接続遅延モデル
単一出力または通常の構造を有する回路は、設計することが比較的簡単であるが、実際の回路は、多くの場合により複雑な分岐負荷および固定された配線負荷を含んでいる。相互接続は、固定キャパシタンスを有し、トランジスタおよび論理ゲートと同じレートでスケールダウンしない。以前のアプローチは、総配線キャパシタンスを論理ゲートの入力キャパシタンスに関係づけ、配線を駆動するゲートにおいて、分岐エフォート(branching effort)b=(Cgate+Cwire)/Cgateを含めることにより、この相互接続遅延を考慮する。トランジスタサイズ(それ故にゲートキャパシタンスCgate)が変化するのに比例して、配線キャパシタンスCwireは、変化することができないので、この分岐エフォートは、回路網の中のトランジスタサイズが変化するときはいつでも、変化する。
実際の配線長は、レイアウトが完了するまで、推定することが非常に難しい。配線は、そのキャパシタンスが、配線が駆動するゲート負荷キャパシタンスに比べて小さいときに短いと考えられ、同様に配線は、そのキャパシタンスが、配線が駆動するゲート負荷キャパシタンスに比べて大きいときに長いと考えられることができる。相互接続キャパシタンスは、ゲート負荷キャパシタンスに匹敵しているときに、そのように中間の長さの配線と呼ばれる。そのような中間の長さの配線は、それらが駆動するゲートのサイズの強い関数を導入する。機能ブロック内において、ほとんどの配線は短く、ゲート遅延は、ゲートキャパシタンスによって支配される。これらの短い相互接続配線では、Cは、無視可能であり、ゲート遅延は、ゲートキャパシタンスによって支配され、そしてそれによってゲートの電気エフォートは、(2)におけるような次のゲートのチャネル幅に対するそのサイズのチャネル幅の比を用いて計算されることができる。高い頻度で機能ブロックの間の配線は、機能ブロックの中のほとんどのトランジスタよりも数百倍または数千倍大きい可能性がある。これらの長い相互接続配線では、相互接続のキャパシタンスと抵抗は、Coutの形で考慮に入れられるべきである。
上記のように、信号伝搬遅延の入力スロープ依存性は、特にナノメートルの寸法における重要な考慮事項である。配線に沿った信号伝搬における入力スロープの影響をもっと研究するために、3つの異なるタイプの配線ピッチ、すなわち最小設計の幅および間隔の単位における場合1(W/S=1/1)と、場合2(W/S=1/2)と、場合3(W/S=2/2)についての以下の実験が実行された。すべての場合は、アスペクト比、誘電体材料、最上部/最下部の材料など、同じ条件を有する。
実験結果は、2つの見識、すなわち(i)配線に沿った伝搬遅延は、入力信号のスロープが十分減少した後に、配線のその上限へと近づくことと、(ii)伝搬遅延は、入力信号の遷移時間が約5倍の配線時定数であるときに、2%の誤差内でその限界に達することとを提供する。提案された相互接続遅延モデルとその解析は、これらの教えを組み込んでいる。
メモリデコーダブロック設計など、実際の設計においては、増幅器のチェーンは、大きなキャパシタンスと抵抗が付随する(長い)配線を駆動する必要がある。図7は、インバータ増幅器が相互接続を駆動するときの、ドライバの一部分と、一次等価スイッチモデル(1つのπ−モデル)を有する相互接続配線との簡略化された機能ブロック図である。tは、tpHLとtpLHの平均値t=(tpHLtpLH)/2となるゲート遅延であるとする。ステージの数の増大が、この集中された相互接続モデルを分布モデルに近くする可能性があるのに対して、エルモア遅延モデルを有するこの最も簡単な1つのπ−モデルは、信号伝搬遅延の観点からよい近似をもたらす。配線は、2つの成分、相互接続の総抵抗と総キャパシタンス、RとCによって表されることができる。RonとCtrは、ON抵抗と出力寄生キャパシタンスである。
Figure 2009509249
入力から出力への総遅延は、2つの成分、内因性遅延(ゲート遅延)と外部遅延(相互接続遅延)とに分割されることができ、(4a)によって表現されることができる。第1の成分は、hではなくてh’であることを除いて、基本的に(2)の純粋なゲート遅延式と同じである。有効な電気エフォートh’(5)は、入力ゲートキャパシタンスCinに対する出力キャパシタンスCoutの比として定義され、ゲート出力端子での容量性負荷を増大させる相互接続の影響を考慮に入れている。総寄生キャパシタンス(または出力キャパシタンス)Coutは、実効配線寄生キャパシタンスCと、次のゲートの寄生拡散キャパシタンス(または入力ゲートキャパシタンス)Cinの和である。全体の配線キャパシタンスCは、抵抗シールディング効果のためにゲートによっては見られることができないことに注意すべきである。シールディング効果は、Rが、Ronよりもずっと大きいときにより厳しくなる。簡単さを保持して、それは、実効配線キャパシタンス(4b)によって表されることができる。これは、ゲート遅延それ自体がそれによって駆動される相互接続の長さと共により速くなるというすでに知られていることを反映する。たとえ総遅延が、2つの「別々の」遅延成分、(4a)におけるゲート遅延と相互接続遅延の和であったとしても、これらの2つの成分は、互いに不明瞭にされ、その結果、それらの相互依存性が、総遅延計算において考慮されるべきであることを述べることは重要である。その理由は、ゲートが、それが駆動する配線上の入力信号のスロープに影響を及ぼし、相互接続が、それが駆動されるゲートに対する寄生負荷としての役割を果たすことである。遅延モデルは、解析の簡単さを保持しながら、ゲートと相互接続との間の相互依存性を反映するように、実効電気エフォートh’と、2つの依存性パラメータ、fおよびfとを含んでいる。
Figure 2009509249
ドライバの実効電気エフォートh’は、(5)によって得られることができ、ここでhgateとhwireは、ゲートと相互接続の電気エフォートであり、Wは、ゲートのチャネル幅であり、下付き文字nは、n−型トランジスタを意味し、Cgate_unitは、使用される製造プロセスの関数である最小の長さのトランジスタのゲートキャパシタンスであり、γは、ゲートにおけるn−型トランジスタ幅に対するp−型トランジスタ幅の比である。ゲートキャパシタンスと同様に、配線キャパシタンスは、配線厚み、ピッチ、誘電体厚みなどのプロセス詳細の強い関数である。
以前のゲートは、大きくなればなるほど、i−番目のステージをより速く充電または放電させる。しかしながら、より大きな電気エフォートを有するi−番目のステージは、このi−番目のゲートが、電気的慣性の観点からより大きな慣性を有するので、その隣のサイズに対して少ない依存性を有する。配線の後の次のステージの影響は、小さな次のステージが、配線キャパシタンスに比べて非常に小さい入力キャパシタンスを有するので、通常は非常に小さい。それ故に、(2)の中の次のステージの項は、かなりの長さの配線を有するゲート遅延計算においては、ほとんど無視可能である。純粋な論理チェーンと同様に、シミュレーションは、2つの以前のステージの考慮が、i−番目のステージの遅延計算においては十分であることを示す。このことは、(5c)によって簡単に表現されることができる。Ai−1に対するAi−2の比は、(2)のその比に類似していることが観察されている。すなわち、以前のステージの影響は、それらが、i−番目のステージからより遠く離れるにつれて、より小さくなる。しかしながら、以前のステージの遅延効果は、それがより大きな電気エフォートを有するときには増大ファクタとしての役割を果たすのに対して、その効果は、それがより小さな電気エフォートを有するときには減少ファクタとしての役割を果たす。より正確なモデルでは、我々は、これらの2つの場合を別々に考慮する必要がある。簡単にするために、このことは、その作業においては無視される。この場合にも、隣が、i−番目のゲートの電気エフォートと同じ電気エフォート有するときには、i−番目のステージのゲート遅延は、かき乱されない。
(4)の中の第2の成分は、相互接続遅延の入力スロープ依存性を表すh’項を除いて、基本的に(2)の純粋なRC遅延式に等しい。ずっとより遅い入力は、遅延応答時間をそれ以上短くさせることはなく、すなわち、遅延時間は、配線に沿った信号遷移が入力信号遷移に近接して流れるので、飽和されるようになる。この関係は、(5d)によって表現されることができ、ここでτは、配線時定数、Rである。
遷移が、実際に開始し、終了するときに、確実に信号遷移を定量化するために、立ち上がり時間および立ち下がり時間、tおよびtは、多くの場合に個々の信号波形に適用される10%遷移ポイントと90%遷移ポイントとの間で測定される。瞬間的な遷移(またはステップ関数)は、最大の可能なスロープ値を表すように考慮されることになる。電気エフォートは、2つのカスケード接続されたステージのサイズ比であることを思い起こして欲しい。第1のステージのファンアウトが増大するにつれて、第2のステージのゲートサイズは増大し、第1のステージに対してより多くの容量性負荷を導入する。ゲートが、次のより大きなステージを駆動するためにはより多くの時間がかかるので、信号遷移時間(tとtの両方)は、y−軸に沿ってhcurrと共に増大する。さらに、ゲートは、複数の電圧利得を有するので、hprevを有する増大レートは、hcurrと一緒の増大レートよりも小さい。これらの公称遷移時間、hnomのtおよびtは、非常にすばらしい線形性を有し、次式のように第一次近似によってモデル化されることができる。
R,nom(hnom)=hnom×SLOPEtR_nom+OFFSETtR_nom
(6a)
F,nom(hnom)=hnom×SLOPEtF_nom+OFFSETtF_nom
(6b)
これらは、対応するファンアウトにおけるゲートの一般的な遷移時間(generic transition time)である。個別の線はまた、ファンアウトと共にかなり線形であり、そのスロープは、他に類似している。それ故に、すべての線のスロープは、スロープの平均、立ち上がり遷移と立ち下がり遷移についてそれぞれSLOPEtR_vs_hprevとSLOPEtF_vs_hprevによって表されることができる。
次にゲートの立ち上がり時間と立ち下がり時間は、次式のように表現されることができる。
(hi−1,i,i+1
=tR,nom(h)−(h−hi−1)×SLOPEtR_vs_hprev−(hi+1−h)×SLOPE tR_vs_hprev
(6c)
(hi−1,i,i+1
=tR,nom(h)−(h−hi−1)×SLOPEtF_vs_hprev−(hi+1−h)×SLOPE tF_vs_hprev
(6d)
R,nomとtF,nomは、(6a)と(6b)についてh=hnomを用いたものである。各ステージについての電気エフォートは、回路ネットリストから簡単に計算されることができる。(6c)と(6d)における第3項は、現在のステージの遷移時間を考慮して次のステージのステージエフォートを含んでいる。とりわけ超スケーリングされた技術においては、もっと正確なモデリングのために、次のステージ(hi+1)、ならびに以前のステージ(hi−1)および現在の(h)ステージのファンアウトを考慮に入れることは、助けになる。その正当化については、次の小節において簡単に論じられることになる。実験は、現在のステージの遷移時間に対するhi+1の影響が、90nm技術ノードおよび65nm技術ノードでは、約3%および5%であることを示している。
最初に、現在のステージファンアウトを基準として考慮することにより、hcurr=7における公称立ち上がり時間を見出す。以前のステージは、より小さなファンアウト(hprev=3)を有するので、対象となるステージは、以前のステージが、対象となるステージと同じファンアウトを有する場合(hprev=hcurr)よりも速く遷移する。補償は、(6c)の第2項によって実行される。以前のステージが、対象となるステージよりも大きなファンアウトを有する場合(hcurr=4およびhprev=6)。ここでは、ステージは、公称ケース、hprev=hcurrよりも遅く遷移する。
ゲート遅延時間:ゲート遅延は、ゲート入力から出力ノードへの電源(supply)の50%遷移ポイントの間で定義される。立ち上がり時間と立ち下がり時間と同様に、ゲート遅延は、二次効果が無視されるときにその絶対サイズではなくて相対サイズ(すなわち、電気エフォートh)の関数である。これは、なぜすべてのステージが同じ比によってそれらのサイズを増大させ減少させる限り、論理チェーンが同じ遅延を有するかについての理由である。論理チェーンの中のi番目のステージのゲート遅延は、t(...,hi−1,h,hi+1,...)によって表現されることができる。公称ケースでは、tは、hnom=...=hi−1=h=hi+1...を有するゲートに対する包括的な値である公称遅延値、td,nom(hnom)に等しくなることが明らかである。
以前のステージが、対象となるステージよりも小さな電気エフォートを有する(hprev<hcurr)ときには、より小さな電気エフォートは、上記のようにより大きなゲートサイズと、より速い応答とを意味するので、ゲート遅延は、公称値よりも速く、逆もまた同様である。公称遅延は、これらの図において(対角線の)実線を用いてマーク付けされ、ここでhprev=hcurrである。これらの公称ゲート遅延、hnomのtDHLおよびtDLHは、すばらしい線形性を有し、次式のように一次近似によってモデル化されることができる。
DHL,nom(hnom)=hnom×SLOPEtDHL_nom+OFFSETDHL_nom
(7a)
DLH,nom(hnom)=hnom×SLOPEtDLH_nom+OFFSETDLH_nom
(7b)
しかしながら、各線は、異なるスロープを有する。スロープ値は、hcurrと共に増大する。電気エフォートは、入力キャパシタンスに対する負荷キャパシタンスの比である。ステージの入力キャパシタンスは、以前のステージの負荷キャパシタンスである。以前のステージが、より小さな電気エフォートを有するときには、それはより広いトランジスタと、それ故により大きな駆動能力を有する。この改善された駆動能力は、信号遷移をより速くするために役に立ち、それ故に遅延は、より小さくなる。他方、次のステージが、より小さな電気エフォートを有するときには、それはより狭いトランジスタを有する。次のステージのトランジスタがより狭くなればなるほど、対象となるステージに対するより小さな容量性負荷を意味し、それ故に、対象となるステージは、ずっと速くなる。
ゲート遅延は、hprevで飽和させられることができることが観察される可能性がある。ひとたび、hprevがあまりにも大きくなりすぎ、あるいは以前のステージが、対象となるステージに比べてあまりにも小さすぎると、ゲート遅延に対するその影響は、より小さくなる。それ故に、改善の程度は、hprevの影響を受けないようになり、スロープは飽和させられる。その飽和ポイントは、そのステージのサイズに依存する。これは、遅延モデリングについて少なくとも二次近似を必要とする。実際的な回路は通常、ファンアウトの小さな範囲内で設計されるのに対して、大きなファンアウトの場合には、長い相互接続が付随する。遅延曲線のスロープのオフセットは、hcurrと共に、かなり線形に増大する。
これらの考察を考慮に入れて、ハイからローへの遅延(high-to-low delay)、ローからハイへの遅延(low-to-high delay)、および平均遅延は、次式のように表現されることができる。
DHL(hprev,hnom)=SLOPEslope_tDHL・hprev・hprev
+OFFSETslope_tDHL・hprev
+SLOPEoffset_tDHL・hnom
+OFFSEToffset_tDHL
(7c)
DLH(hprev,hnom)=SLOPEslope_tDLH・hprev・hprev
+OFFSETslope_tDLH・hprev
+SLOPEoffset_tDLH・hnom
+OFFSEToffset_tDLH
(7d)
Figure 2009509249
ここで、SLOPEslopeとOFFSETslopeは、スロープのスロープと、スロープのオフセットであり、同様にSLOPEoffset、OFFSEToffsetは、対応するハイからローへの遅延とローからハイへの遅延についての一次近似を用いてオフセットのスロープと、オフセットのオフセットとなる。(6a)と(6b)におけるSLOPEtR_vs_hprevとSLOPEtF_vs_hprevの2つのパラメータを用いて、これらの4つの新しいパラメータは、LEprametersと称されることになる。我々は、同じやり方で、異なるタイプの論理ゲートについての他のLEprametersを抽出することができる。式(7c)、(7d)および(7e)からの推定値(アステリスクマーカ(asterisk marker))は、2%未満の誤差でシミュレートされた値と一致する。
D.回路設計においてSTLEを適用するプロシージャ
回路解析に対してSTLEモデルを適用するために、我々は、まずLEprametersを抽出する必要がある。実際的な観点から、4つのデータポイントだけで、フルセットのLEprametersを有するためには十分であり、2つのポイントは、対角線上にあり(公称ケース)、他の2つのポイントは、対角線からはずれている(非公称ケース)。他の値は、内挿または外挿によって取得されることができる。より多くのデータポイントは、結果の精度を増大させ、モデルにおける信頼度を増大させる。ステージ遅延は、主要入力(primary input)(PI)から最後のステージまで1つずつ計算されることができる。プロシージャは、以下のようである。
回路の特定のタイミングパスについて以下の情報が仮定される。
1.主要入力スロープ、tR,PI(またはtF,PI)。
2.各ステージについてのネットリスト情報と電気エフォート(すなわち、ゲートトポロジおよびh、h、...)。
3.立ち上がり時間と立ち下がり時間に対する電気エフォート(すなわち、SLOPEtR_vs_hprevとSLOPEtF_vs_hprev)。
4.ハイからローへのゲート遅延とローからハイへのゲート遅延に対する電気エフォート(すなわち、SLOPEslopeのような他のLEprameters)。
以下のステップを実行する。
1.式(6a)および(9b)によりtR,PI(またはtF,PI)についての実効ファンアウトh’PIを決定する。
2.i=0およびh’PI=h’を設定する。
3.式(7c)および(7d)によりh’およびhi+1からtDHL,i+1(またはtDLH,i+1)を計算する。
4.式(6c)および(6d)によりh’およびhi+1からtF,i+1(またはtR,i+1)を計算する。
5.式(6a)および(6b)によりtF,i+1(またはtR,i+1)およびhi+1から次のステージに対する実効ファンアウトを計算する。
6.((i+1)番目のゲートトポロジ=(i+2)番目のゲートトポロジ)である場合、そのときには{h’i+1=hi+1}、そうでないときには{(i+1)番目のステージにおける実効電気エフォート、h’i+1を計算する}。
7.i=i+1を設定し、最後のステージまでステップ3へと進む。
ステップ1は、ゲート1を駆動するために、同じファンアウトh’PIを有する一連のPIゲートを仮定することにより、主要入力刺激tR,PI(またはtF,PI)についての「実効」ファンアウトを計算する。この実効ファンアウトh’PIと知られているファンアウトhを用いて、ゲート1のハイからローへの遅延tDHL,1と立ち下がり時間tF,1は、計算される。同時に、ゲート1の実効ファンアウト、h’は、その立ち下がり時間と次のゲートのファンアウトhを用いて計算される。ここで、我々は、たとえこのステージについてのファンアウトhがすでに知られているとしても、ステージ1についての実効ファンアウトh’を導入する。これは、典型的な回路が通常、機能インプリメンテーションについて異なるタイプのゲートから構成されるからである。LEprametersは、異なるファンアウトを有する同じゲートタイプを有する各論理ゲートチェーンから抽出される。同じファンアウトを用いてさえ、異なるゲートは、異なる遷移時間と遅延時間を有する可能性がある。それ故に、それらを次のステージのゲートタイプに関してそれらの実効ファンアウトまたは等価ファンアウトへと変換することが必要とされる。このプロシージャは、最後のステージが、各ステージについて交代する信号遷移方向に遭遇させられるまで反復する。
図9は、回路遅延を推定する方法900の一実施形態の簡略化されたフローチャートである。方法900は、例えば論理回路または回路の部分の遅延を推定する、図1または2の遅延推定装置の形でインプリメントされることができる。
本方法は、ブロック902から開始され、ここで遅延推定装置は、回路素子パラメータを受け取る。遅延推定装置は、回路の中のデバイスのおのおのについてのライブラリモデルに基づいて回路を受け取ることができる。ライブラリモデルは、例えばSPICE回路モデルとすることができ、論理ゲートの長さや幅などのパラメータを含むことができる。
回路素子パラメータは、入力インターフェースを経由して遅延推定装置へと入力されることができ、あるいはメモリに記憶される回路システムから選択されることもできる。例えば、集積回路の特定の一部分が、遅延推定のために選択されることができる。遅延推定装置は、選択された回路部分において各相互接続のπ−モデルを決定することもできる。
遅延推定装置は、ブロック910へと進み、論理ゲートトポロジと、ゲートの間の相互接続のπ−モデルとに基づいて各ステージについての電気エフォートを決定する。各ゲートについて電気エフォートを決定した後に、遅延推定装置は、ブロック912へと進み、電気エフォートに基づいて立ち上がり時間と立ち下がり時間の推定値を決定する。一実施形態においては、遅延推定装置は、電気エフォートに対する立ち上がり時間と立ち下がり時間のルックアップテーブルを含み、各遅延推定値についての値を計算する必要はない。
遅延推定装置は、ブロック914へと進み、選択された回路部分における各ゲートについて電気エフォートの関数としてハイからローへのゲート遅延と、ローからハイへのゲート遅延を決定する。この場合にも、遅延推定装置は、様々なデバイスタイプのおのおのについてこの情報を記憶することができ、遅延推定値が決定されるたびに値を計算するのではなく、情報をメモリから取り出すことができる。
遅延推定装置は、ブロック920へと進み、選択された回路部分を解析し始める。遅延推定装置は、第1のゲートの入力における初期のファンアウトを決定する。遅延推定装置は、ブロック930へと進み、初期の入力信号スロープと、電気エフォートと、ファンアウトに基づいて、初期のゲート遅延を決定する。
遅延推定装置は、ブロック940へと進み、電気エフォートとファンアウトに基づいて信号の立ち上がり時間や立ち下がり時間などのゲート出力信号遷移時間を決定する。回路の立ち上がり時間と立ち下がり時間は、部分的に相互接続モデルに依存する。初期のステージについては必要とされないが、回路の後続のステージについての信号の立ち上がり時間と立ち下がり時間は、少なくとも1つの以前のステージの電気エフォート、ならびに少なくとも1つの追随するステージの電気エフォートに基づいて決定される。
遅延推定装置は、ブロック950へと進み、立ち上がり時間および立ち下がり時間とゲート遅延とに基づいて、解析されている現在の回路ステージによって直面される次の回路ステージに対する実効ファンアウトを決定する。遅延推定装置は、ブロック960へと進み、次のステージの電気エフォートをアップデートする。次のステージは、解析されているステージに応じて回路の中の次のデバイスまたは最後の出力負荷を表すことができる。
遅延推定装置は、判断ブロック970へと進み、現在のステージが最後のステージを表すかどうかを決定する。そうでない場合、遅延推定装置は、次のステージを解析するためにブロック930へと戻る。そうである場合、遅延推定装置は、判断ブロック970からブロック980へと進み、回路ステージのおのおのについての遅延推定値に基づいて総回路遅延を決定する。一般的に、遅延推定装置は、集合回路遅延を出力し、回路ステージのおのおのに対応する中間遅延推定値を出力することもできる。
V.テスト回路のコンフィギュレーション
A.リングオシレータ回路
ナノメートル技術における遅延推定の方法および装置を実証するために、論理エフォートg、寄生エフォートpおよびプロセスパラメータτの基本パラメータが、31−ステージのリングオシレータにおいて測定される。実際的な理由のために、リングオシレータのテスト回路において立ち上がり時間と立ち下がり時間を測定することは難しい。テストプローブは、それ自体の寄生効果に寄与し、この寄生効果は、伝搬遅延の瞬間スロープ依存性を調べる能力を複雑にする。信号がリングを介して伝搬するときには、それは、それ自体の立ち上がり時間と立ち下がり時間tおよびtに近づく。実際に、リングオシレータは、無限数のステージのチェーンとして考えられることができる。
Figure 2009509249
伝搬遅延またはゲート遅延tは、チェーンの中にN個のステージを有する完全なチェーンを介した信号遷移の発振の周期T、または(8)によって決定される。ファクタ2は、遷移が、発振の単一サイクルを完了するために、リングオシレータの周りを2回通過する必要があるので、分母の中に現れる。3つの異なるデバイスとプロセスコーナ(process corner)は、ゲート遅延に対するしきい値電圧とプロセス条件の影響を研究するために同じ回路を用いてインプリメントされてきている。異なるファンアウト回路が、基本パラメータを測定するために設計される。
図8は、リングオシレータの一実施形態の簡略化された機能ブロック図である。第2の負荷は、第1の負荷と同様にインプリメントされており、その結果、すべてのステージは、指定された電気エフォート値を有する。第2の負荷は、第1の負荷に対する実効入力キャパシタンスを増大させることになるゲート−ドレイン間オーバーラップキャパシタンスのミラー効果を打ち消すために使用され、それ故に第1の負荷ゲートの出力は、より速く切り換わることに注意すべきである。リングオシレータの形状は、長方形であり、円、すなわちリングオシレータについての理想的な形状とは異なる。
B.組合せ論理回路
実際の回路設計においては、様々な論理ゲートが、論理関数をインプリメントするために一緒に使用される。組合せ論理モジュールは、個別のゲート遅延を測定するようにではなく、組合せ論理の総遅延を識別するように設計されている。異なる2つのしきい値電圧と、プロセスコーナが、インプリメントされ、テストされている。これらのモジュールもまた、リングオシレータである。リングに沿って、いくつかの論理ゲートが、使用される周波数の順序に均一に分布させられる。「電荷分配(charge sharing)」問題と寄生遅延を回避するために、各ステージは、その最も内側の入力に沿って主要な信号を伝搬させ、それ故に未使用のNAND入力は、ハイ(HIGH)に配線接続(wire)され、未使用のNOR入力はロー(LOW)に配線接続される。
他のテスト回路は、拡散キャパシタンスを低減させるためにマルチフィンガトランジスタ(multi-finger transistor)を含んでいる。しかしながら、これらのモジュールは、1つのフィンガを有する、異なる長さのアクティブ領域により、異なるサイズのトランジスタを実現する。
最良の平均遅延を与えるP:Nの幅の比は、等しい立ち上がり時間と立ち下がり時間を与えるP:Nの幅の比の平方根であることが知られている。それ故に、典型的なCMOSプロセスでは、移動度の比、μ=μ/μは、2と3の間にあり、この最良のP:Nの比は、たとえゲート遅延時間をわずかしか改善させないとしても、面積および電力消費をかなり低減させる。
C.相互接続回路
伝搬遅延と、その小さな変化は、(9)によって表現され、ここでRwire、Cwire、およびLwireは、それぞれ全体の集中された抵抗、キャパシタンスおよびインダクタンスである。誘導寄生効果は、この書類においては無視される。配線の抵抗は、ナノメートルスケールの小さな断面のために十分に重要であり、加えられる信号の立ち上がり時間と立ち下がり時間が、実際の信号伝搬においては十分に遅いので、この仮定は、理にかなっている。非常に正確な遅延推定のためには、インダクタンスの影響は、もっと考慮する必要があるかも知れない。さて遅延式(9c)は、2つの主要な寄生成分、キャパシタンスと抵抗とを有する。しかしながら我々は、配線に沿った伝搬遅延のよりよい理解のために∂t/∂Rと∂t/∂Cをどのように特徴づけるべきかを依然として知る必要がある。配線は、本質的に分布システムであるので、伝搬遅延に対する抵抗性寄生効果の影響を容量性寄生効果の影響から「完全に」分離することは、難しい。抵抗依存遅延部分が、非常に小さい、または無視可能であり、キャパシタンス依存部分が伝搬遅延において支配的である場合、そのときには小さな変化についての遅延式は、(9d)によって近似されることができる。ひとたび遅延の抵抗依存性、(7d)における∂t/∂Rが使用可能であるとした後には、我々は、(9d)を(9c)と比較することにより、∂t/∂Cにおける遅延のキャパシタンス依存性を測定することもできる。同様に、キャパシタンス依存遅延部分が非常に小さい、または無視可能であり、抵抗依存部分が、支配的である場合、そのときには小さな変化についての遅延式は、(9e)によって近似されることができる。ひとたび遅延のキャパシタンス依存性、(9e)における∂t/∂Rが使用可能であるとした後には、遅延の抵抗依存性、∂t/∂Rは、(9e)を(9c)と比較することによって測定される。
Figure 2009509249
相互接続モジュールは、遅延におけるこれらの寄生効果の役割の直感的見方を有するものと考えられてきている。配線を駆動するゲートを有する配線に沿った伝搬遅延を研究するために、我々は、4つの異なるタイプのテスト回路、すなわち負荷トランジスタ相互接続モジュールと、くし状(comb-like)相互接続モジュールと、スネーク状(snake-like)相互接続モジュールと、直線相互接続モジュールを考えてきている。
相互接続モジュールは、以下の様々なもの、すなわちそれぞれ短い配線、中間の配線、長い配線を表す100nm、1000nm、2000nmの異なる長さの配線と、異なるタイプのデバイス、高いVt、通常のVt、および低いVtと、異なるピッチ、最小設計の幅と間隔の単位における場合1(幅:間隔=1:1)、場合2(幅:間隔=1:2)、および場合3(幅:間隔=2:2)とを用いて設計されている。アスペクト比、誘電体材料、配線間の距離、最上部/最下部材料など、他の条件は、同じである。
負荷トランジスタ相互接続モジュールは、「相互接続」部分について負荷トランジスタを使用する。負荷トランジスタは、スケーリングすることができ、その結果、負荷トランジスタは、特定の長さの配線の値と等価な指定された値のゲートキャパシタンスを有する。最小の長さのトランジスタのゲートキャパシタンスは、与えられた技術においてすでに知られていることに注意すべきである。それ故に、最小の長さのデバイス上の負荷ゲートのサイジング(sizing)は、(かなり)正確なゲートキャパシタンスを実現することができる。p−型負荷トランジスタおよびn−型負荷トランジスタも、立ち上がり遷移および立ち下がり遷移中に、できるだけ容量性負荷をバランスしたものにするためにサイジングされる。実際には、信号それ自体は、それがリングオシレータに沿って伝搬するときに、アンバランスな(p−型およびn−型の)容量性負荷によって引き起こされる小さなミスマッチを相殺することになる。さらに、負荷トランジスタモジュールにおいて使用される物理的相互接続は、非常に短いので、負荷トランジスタモジュールは、規則的な(まっすぐな)配線の容量性負荷に等しい容量性負荷だけを有するが、抵抗性負荷は有さないことが安全に仮定されることができる。それ故に、このモジュールは、特定の長さの配線についての他のテストモジュールに対する基準として使用される。抵抗性寄生効果が、妥当に最小化され、無視できることを仮定することにより、その解析は、抵抗性寄生効果から容量性寄生効果を分離することができる。純粋なゲート(相互接続なし)の無限のチェーンであるリングオシレータモジュールを介した伝搬遅延の絶対値は、解析されることができる。リングオシレータモジュールの遅延値を負荷トランジスタ相互接続モジュールの遅延値と比較することにより、我々は、(9c)における遅延のキャパシタンス依存性を計算することができる。
くし状相互接続モジュールは、2つの目的のために設計されている。第1に、我々は、対応する負荷トランジスタ相互接続モジュールと比較することにより、配線によってインプリメントされる配線キャパシタンスを計算することができる。第2に、我々は、ある程度まで、伝搬遅延に対する抵抗性の影響と容量性の影響を分離することができる。くし状相互接続モジュールは、くし状形状の配線を用いて容量性負荷をインプリメントする。「相互接続」部分は、それをシールドするために、そしてそれを隣との容量性結合の観点から最悪条件に置くために、2つの電源線、VDDとGNDとによってサンドイッチされる。「相互接続」部分の高さおよび幅の設計は、その総キャパシタンスが、規則的な(直線の)配線の寄生キャパシタンスに一致するが、依然としてその総抵抗が、信号パスに沿って非常に小さな遅延の影響を及ぼす可能性があるようにするために、できる限り実行されている。すなわち、くし形状の配線に沿って伝搬する信号は、まっすぐな配線の容量性負荷と等価な容量性負荷に直面するが、無視可能な抵抗性負荷にしか直面しない可能性がある。リングオシレータモジュールの遅延値をくし状相互接続モジュールの遅延値と比較することにより、我々は、(9c)における遅延のキャパシタンス依存性を計算することができる。
スネーク状相互接続モジュールは、「相互接続」部分についてのスネーク形状の配線を含んでいる。配線はまた、シールディングと最悪の容量性条件の実現のために2本の電源線によってサンドイッチされる。頻繁に、相互接続配線は、配置配線制約条件(place and routing constraint)に起因して折れ曲がる(bend)必要がある。それに対して、直線相互接続モジュールは、規則的な「まっすぐの」線を用いて「相互接続」部分をインプリメントする。理論的には、2つの相互接続が同じ長さを有する限り、配線抵抗の観点からはスネーク状相互接続と直線相互接続との間には、違いはない。しかしながら折れ曲がる配線のエッジは、与えられた長さの配線では、直線よりも小さな配線キャパシタンスを有する。信号は、それがスネーク状配線に沿って、そして直線配線に沿って伝搬するときに、抵抗性と容量性の内因性寄生効果の両方に直面することになることは明らかである。両方のテスト回路の伝搬遅延は、同時に抵抗依存性とキャパシタンス依存性とを含む。それ故に、一方は、負荷トランジスタモジュールについての、他方はくし状モジュールについての2つの遅延の和とそれらの遅延を比較することにより、我々は、どのようにして伝搬遅延が、キャパシタンスおよび/または抵抗の観点から相互接続に依存するかを計算することができる。さらに、シリコンにおける配線の折れ曲がる効果を調べることは、価値がある。くし状モジュール、スネーク状モジュール、および/または直線モジュールの間の伝搬遅延の差は、配線の寄生抵抗に対する遅延依存性についての見識を与えることになる。
実際には、2つの方向、すなわち水平方向または垂直方向だけに要素の配置配線を可能にするレイアウトスタイルのために、理想的なリング(または円)オシレータの実現には実用的な制限がある。それ故に、不規則パターンは、リングオシレータの設計においてはほとんど回避できない可能性がある。作業におけるこの不規則性の影響を低減させるために、そのような不規則パターンについての注意深いエンジニアリングが、実行されている。特に、直線モジュールの設計においては、すべての相互接続を直接に円の形で接続することは、意味がないことになる。
さらに別の例においては、レイアウトは、ジグザグに走行する相互接続を含んでいる。すべての「相互接続」部分は、2つの連続したゲートの間のスペースを共有する。この場合にも、2本の電源線、VDDとGNDとは、信号線と共に交互に並列に走行する。パラメータLwireとLgateは、それぞれ信号パス(配線それ自体ではない)の長さとゲートの幅である。Lwireは、配線上のコンタクトの間の距離によって決定されるので、したがってLwireは、Lgatよりもかなり長く、このジグザグスタイルのレイアウトは、追加の配線セグメントを用いてさえも、工夫されている。
ゲートの入力ポートと出力ポートのミスマッチする部分は、追加の配線セグメントを必要とする。その理由は、出力から入力までの距離が、次の相互接続における出力から入力までの距離に等しくないことによる。2つの信号線は、電源線を「完全に」共用し、配線は、追加のセグメントと信号パスから構成されることに注意すべきである。すなわち、信号パスの長さが変化するときに、追加のセグメントの長さは、同じ量だけ「反対方向に」変化する。それ故に、すべての信号線と電源線をほぼ同じ長さで並べることは、信号パスが、その長さのミスマッチングによって引き起こされる寄生負荷の変化を自己補償することを可能にする。追加のセグメントについての補償は、信号パスに沿った伝搬遅延を有するために必要とされる。追加のセグメントの長さは、すでに知られており、それ故に、それによって引き起こされる追加の遅延はまた、簡単に計算されることもできる。シミュレーションは、最小の追加セグメントを使用することによるこのアプローチが、追加の配線セグメントの影響を補償した後には、理想的なリングオシレータ(ここでは、すべての配線は、まさしく同じ長さである)の結果に対して非常に近い結果をもたらすことを示した。
D.テストチップ
VI.結果および考察
τの値は、製造プロセス、供給電圧、および温度に依存する。理想的には、ゲートの論理エフォートは、プロセスパラメータとは独立していることになる。実際には、速度飽和のような効果は、論理エフォートが、プロセス条件および動作条件とともにわずかに異なるようにさせる。同様に、寄生のキャパシタンスおよび抵抗は、プロセスと環境と共に異なる。この基本的な遅延単位τは、インバータがインバータチェーンにおける理想的なインバータを駆動するとき、すなわち電気エフォートhが1であるときに、測定されている。論理エフォートのパラメータは、リングオシレータの周波数をプロットすることにより、テストチップから測定されている。異なるファンアウトを有するこれらのリングオシレータは、データ−対−電気エフォートの関係についての、そして論理エフォートと寄生遅延についてのデータを供給する。図1は、単一論理ゲートの単位なしの遅延とその電気エフォートhとの間の関係をグラフで示している。テストチップは、配線のキャパシタンスと抵抗を含むことができ、これらの多くは、シミュレーションにおいては無視されている。遅延は、インバータについての電気エフォートの関数として現れる。各線のスロープは、論理エフォートgであり、y−軸における各線の交点は、対応するゲートの寄生遅延pである。すべてのインバータの論理エフォートは、それらがそうなるようにほぼ1.00である。寄生遅延は、かなり高く、おそらく局所的配線キャパシタンスを含んでいる。より大きなセルは、より低い寄生効果を得るために、マルチフィンガの(または折り畳まれた)トランジスタと、より高いトランジスタ−対−配線の長さの比を利用することができるので、予想されるべきであるように、より大きなセルの寄生遅延は、より低くなる。
より正確な遅延モデルは、立ち上がり遷移と立ち下がり遷移が、別々にモデル化されるときに、達成されることができる。この別々の考慮は、動的論理やスキュー論理など特別な論理ファミリについて取られるべきである。τの観点から、あるいはファンアウト4(FO4)のインバータ遅延(1 FO4 5)のより広く認識された単位で、回路の遅延を表現することにより、提案された遅延モデルは、どれだけゲート性能がより進歩したプロセスにおいて改善することになるかを予測することができる。
VII.結論
ゲート遅延と相互接続遅延の組合せとして遅延を推定するための方法および装置は、配線が論理ゲートによって駆動される場合に、説明されている。相互接続遅延は、刺激信号のスロープに非常に依存する。寄生リフラクションと抵抗シールディング効果の重要な影響は、特にナノスケールの電子回路設計において、相互接続遅延の考察において取り込まれる必要があり、ここで、相互接続遅延は、ゲート遅延上で支配的になる可能性がある。
遅延推定の方法および装置は、解析的な、そして定性的な解析を用いて論理エフォートの概念の効率的で、しかも簡単な拡張をもたらす。遅延推定モデルは、実験結果と±4%以内で一致する。さらに、ここにおいて説明される遅延モデルの方法および装置は、どのようにして、異なるトランジスタのサイズはもちろん配線も、回路遅延に影響を及ぼすかに対する見識をもたらす。
ここにおいて使用されるように、結合される(coupled)、または接続される(connected)と言う用語は、直接的な結合または接続はもちろん、間接的な結合も意味するように使用される。2つ以上のブロック、モジュール、デバイス、または装置が結合される場合には、2つの結合されるブロックの間には、1つまたは複数の介在するブロックが存在することができる。
ここにおいて開示される実施形態に関連して説明される、様々な例示の論理ブロックと、モジュールと、回路は、ここにおいて説明される機能を実行するように設計された、汎用プロセッサ、デジタル信号処理プロセッサ(digital signal processor)(DSP)、縮小命令セットコンピュータ(Reduced Instruction Set Computer)(RISC)プロセッサ、特定用途向け集積回路(application specific integrated circuit)(ASIC)、フィールドプログラマブルゲートアレイ(field programmable gate array)(FPGA)または他のプログラマブルロジックデバイス(programmable logic device)、ディスクリートゲートまたはトランジスタロジック、ディスクリートハードウェアコンポーネント、あるいはそれらの任意の組合せを用いてインプリメントされ、または実行されることができる。汎用プロセッサは、マイクロプロセッサとすることができるが、代替案においては、プロセッサは、任意のプロセッサ、コントローラ、マイクロコントローラ、または状態機械とすることもできる。プロセッサは、コンピューティングデバイスの組合せ、例えば、DSPとマイクロプロセッサとの組合せ、複数のマイクロプロセッサ、DSPコアと組み合わせた1つまたは複数のマイクロプロセッサ、あるいは他のそのような任意のコンフィギュレーションとしてインプリメントされることもできる。
ここにおいて開示される実施形態に関連して説明される方法、プロセス、またはアルゴリズムのステップは、ハードウェアの形で直接に、プロセッサによって実行されるソフトウェアモジュールの形で、あるいはこれらの2つの組合せの形で実施されることができる。方法またはプロセスにおける様々なステップまたは動作は、示される順序で、実行されることもでき、あるいは別の順序で実行されることもできる。さらに、1つまたは複数のプロセスステップまたは方法ステップは、省略されることもでき、あるいは1つまたは複数のプロセスステップまたは方法ステップは、方法およびプロセスに追加されることができる。追加のステップ、ブロック、またはアクションは、それらの方法およびプロセスの最初の、終わりの、または介在する既存の要素に追加されることができる。
開示された実施形態の以上の説明は、当業者の誰もが本発明を作りまたは使用するのを可能とするように提供されている。これらの実施形態の様々の変形は当業者には容易に明らかであり、そして、ここに定義された包括的な原理は本発明の精神或いは範囲を逸脱することなく他の実施形態に適用されることが出来る。従って、本発明は、ここで示された実施形態に限定されるように意図されてはおらず、ここに開示された原理及び新規な特徴と整合する最も広い範囲が与えられるべきものである。
遅延推定装置の一実施形態の簡略化された機能ブロック図である。 遅延推定のためのプロセッサプラットフォームの一実施形態の簡略化された機能ブロック図である。 カスケード接続されたインバータチェーンの一例の簡略化された機能ブロック図である。 公称ゲート遅延からの正規化されたゲート遅延差の簡略化された図である。 公称電気エフォートに基づいたスロープの近似の簡略化された図である。 配線を駆動するゲートのRCモデルの一実施形態の簡略化された機能ブロック図である。 立ち上がり入力に対応する中間ノードおよび出力ノードの簡略化された図である。 立ち上がり入力に対応する中間ノードおよび出力ノードの簡略化された図である。 モデル化された相互接続配線を駆動するゲートのスイッチ遅延モデルの簡略化された機能ブロック図である。 リングオシレータの一実施形態の簡略化された図である。 遅延推定の方法の一実施形態の簡略化されたフローチャートである。

Claims (22)

  1. 回路遅延を推定する方法であって、
    回路に対応するデバイスパラメータを受け取ることと、
    前記回路の中の各ステージの電気エフォートを決定することと、
    初期ステージの入力における実効ファンアウトを決定することと、
    前記初期ステージの出力における電気エフォートに部分的に基づいてゲート遅延を決定することと、
    前記初期ステージと少なくとも1つの後続のステージとの電気エフォートに基づいて、ゲート信号遷移時間を決定することと、
    を備える方法。
  2. 次のステージに対する実効ファンアウトを決定することと、
    前記次のステージに対応する電気エフォートを決定することと、
    前記実効ファンアウトと、前記次のステージに対応する前記電気エフォートと、に部分的に基づいて、前記次のステージの伝搬遅延を決定することと、
    をさらに備える請求項1に記載の方法。
  3. 各ステージについての電気エフォートの関数としてゲート遷移時間を決定すること、をさらに備える請求項1に記載の方法。
  4. 各ステージについての電気エフォートの関数としてゲート遅延を決定すること、をさらに備える請求項1に記載の方法。
  5. 少なくとも1つの後続のステージの遅延推定値を決定することと、
    各個別のステージについての前記遅延推定値を合計することにより集合回路遅延を決定することと、
    をさらに備える請求項1に記載の方法。
  6. 前記回路の中の各ステージの前記電気エフォートを決定することは、前記回路の隣接するステージについてのゲートトポロジの比を決定すること、を備える、請求項1に記載の方法。
  7. 前記回路の中の各ステージの前記電気エフォートを決定することは、隣接するステージを結合する相互接続の相互接続回路モデルを決定すること、を備える、請求項1に記載の方法。
  8. 前記相互接続回路モデルは、π−モデルを備える、請求項7に記載の方法。
  9. 回路遅延を推定する方法であって、
    ゲートと前記ゲートに結合された少なくとも1つの追加ゲートとの電気エフォートに基づいてゲート遅延を決定することと、
    ゲートと前記ゲートに結合された少なくとも1つの追加ゲートとの前記電気エフォートに基づいて信号遷移時間を決定することと、
    を備える方法。
  10. 前記信号遷移時間を用いて前記ゲート遅延を合計すること、をさらに備える請求項9に記載の方法。
  11. 前記電気エフォートは、回路の隣接するステージについてのゲートトポロジの比、を備える、請求項9に記載の方法。
  12. 前記電気エフォートは、隣接するステージを結合する相互接続の相互接続回路モデルの実効ファンアウト、を備える、請求項9に記載の方法。
  13. 回路遅延を推定するように構成される装置であって、
    デバイスパラメータを記憶するように構成される第1のメモリと、
    相互接続パラメータを記憶するように構成される第2のメモリと、
    前記の第1のメモリおよび第2のメモリに結合され、回路の中の各デバイスにおける電気エフォートを決定するように構成される、電気エフォートモジュールと、
    前記のデバイスパラメータ、相互接続パラメータ、および各デバイスにおける電気エフォートに部分的に基づいて前記回路遅延を決定するように構成される信号遷移論理エフォート(STLE)モジュールと、
    を備える装置。
  14. 前記の第1のメモリおよび第2のメモリと前記電気エフォートモジュールとに結合され、前記のデバイスパラメータ、相互接続パラメータ、および電気エフォートに基づいて各デバイスにおける信号遷移時間を決定するように構成される、遷移時間モジュール、をさらに備える請求項13に記載の装置。
  15. 前記の第1のメモリおよび第2のメモリと前記電気エフォートモジュールとに結合され、前記デバイスパラメータおよび前記電気エフォートに部分的に基づいて各デバイスについてのゲート遅延を決定するように構成される、遷移時間モジュール、をさらに備える請求項13に記載の装置。
  16. 前記STLEモジュールに結合され、前記STLEモジュールによって決定される少なくとも1つの集合回路遅延を出力するように構成される、出力デバイス、をさらに備える請求項13に記載の装置。
  17. 前記電気エフォートモジュールは、回路の隣接するステージについてのゲートトポロジの比に部分的に基づいて前記電気エフォートを決定する、請求項13に記載の装置。
  18. 前記電気エフォートモジュールは、回路の隣接するステージを結合する相互接続の相互接続回路モデルに部分的に基づいて前記電気エフォートを決定する、請求項13に記載の装置。
  19. 回路遅延を推定するように構成される装置であって、
    回路に対応するデバイスパラメータを記憶するための手段と、
    前記回路の中の各ステージの電気エフォートを決定するための手段と、
    初期ステージの入力における実効ファンアウトを決定するための手段と、
    前記初期ステージの出力における電気エフォートに部分的に基づいてゲート遅延を決定するための手段と、
    前記初期ステージと少なくとも1つの後続のステージの電気エフォートに基づいてゲート信号遷移時間を決定するための手段と、
    を備える装置。
  20. デバイスパラメータを記憶するための前記手段は、
    回路デバイスパラメータを記憶するための第1のメモリと、
    回路相互接続パラメータを記憶するための第2のメモリと
    を備える、
    請求項19に記載の装置。
  21. 電気エフォートを決定するための前記手段は、前記ステージの出力におけるファンアウトと、相互接続回路モデルと、に部分的に基づいて前記回路の各ステージについての電気エフォートを決定するように構成される、請求項19に記載の装置。
  22. 前記ゲート信号遷移時間を決定するための前記手段は、後続のステージと現在の回路ステージとの間の電気エフォートの差に部分的に基づいて前記現在の回路ステージの前記信号遷移時間を決定する、請求項19に記載の装置。
JP2008531422A 2005-09-16 2006-09-18 ナノテクノロジーにおける相互接続エフォートの方法の探究 Ceased JP2009509249A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US71759505P 2005-09-16 2005-09-16
PCT/US2006/036367 WO2007035681A1 (en) 2005-09-16 2006-09-18 Exploration of the method of the interconnect effort in nano-technologies

Publications (1)

Publication Number Publication Date
JP2009509249A true JP2009509249A (ja) 2009-03-05

Family

ID=37663106

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008531422A Ceased JP2009509249A (ja) 2005-09-16 2006-09-18 ナノテクノロジーにおける相互接続エフォートの方法の探究

Country Status (6)

Country Link
US (1) US7721236B2 (ja)
EP (1) EP1938229A1 (ja)
JP (1) JP2009509249A (ja)
KR (1) KR100995782B1 (ja)
CN (1) CN101305372B (ja)
WO (1) WO2007035681A1 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030127950A1 (en) * 2002-01-10 2003-07-10 Cheng-Hui Tseng Mail opening bag for preventing infection of bacteria-by-mail
US7653519B1 (en) * 2006-04-13 2010-01-26 Cadence Design Systems, Inc. Method and mechanism for modeling interconnect structures for integrated circuits
US8255854B2 (en) * 2006-09-22 2012-08-28 Actel Corporation Architecture and method for compensating for disparate signal rise and fall times by using polarity selection to improve timing and power in an integrated circuit
EP2463679B1 (en) * 2007-05-01 2020-03-11 Qualcomm Incorporated(1/3) Position location for wireless communication systems
US8514988B2 (en) 2007-05-18 2013-08-20 Qualcomm Incorporated Enhanced pilot signal receiver
US8412227B2 (en) 2007-05-18 2013-04-02 Qualcomm Incorporated Positioning using enhanced pilot signal
US8225265B2 (en) * 2007-11-30 2012-07-17 Technion Research & Development Foundation Ltd. Logic circuit delay optimization
US7816946B1 (en) * 2008-01-31 2010-10-19 Actel Corporation Inverting flip-flop for use in field programmable gate arrays
US20090204934A1 (en) * 2008-02-13 2009-08-13 Inventec Corporation Method for compensating length of differential pair and method for calculating compensation length thereof and computer accessible storage media
US7665057B2 (en) * 2008-02-13 2010-02-16 Inventec Corporation Method for calculating optimal length of trace between adjoining bends and computer accessible storage media
US8255196B2 (en) * 2008-08-25 2012-08-28 Fujitsu Limited Constructing a replica-based clock tree
US8359558B2 (en) * 2010-03-16 2013-01-22 Synopsys, Inc. Modeling of cell delay change for electronic design automation
US10169500B2 (en) * 2011-08-08 2019-01-01 International Business Machines Corporation Critical path delay prediction
CN102542098B (zh) * 2011-11-26 2013-10-30 深圳市国微电子有限公司 一种fpga互连线延时获取方法及其系统
US8589846B2 (en) * 2011-12-02 2013-11-19 Synopsys, Inc. Modeling transition effects for circuit optimization
US9262566B2 (en) * 2012-03-09 2016-02-16 The Mathworks, Inc. Fast simulation of a radio frequency circuit
US8819605B1 (en) * 2013-03-06 2014-08-26 Oracle International Corporation Deriving effective corners for complex correlations
US8997031B2 (en) * 2013-03-13 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Timing delay characterization method, memory compiler and computer program product
FR3010207B1 (fr) * 2013-09-05 2018-01-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede d'analyse du comportement d'un circuit integre mis en œuvre par ordinateur et comprenant la selection de chemins sur la base de plusieurs criteres appartenant a des types differents
CN104558189B (zh) * 2014-12-26 2017-10-20 华中农业大学 用于检测头孢氨苄、头孢羟氨苄和头孢拉定的单抗及酶联免疫方法与试剂盒
US9754058B2 (en) * 2015-11-05 2017-09-05 International Business Machines Corporation Cross-current power modelling using logic simulation
US10268478B2 (en) * 2016-03-04 2019-04-23 Montana Systems Inc. Event-driven design simulation
US20180052951A1 (en) * 2016-08-17 2018-02-22 Mentor Graphics Corporation Acceleration Of Voltage Propagation Based On Device Chain Reduction
KR102282806B1 (ko) * 2018-09-14 2021-07-27 시놉시스, 인크. 엘모어 지연 시간 (edt) 기반 저항 모델
US11526649B2 (en) * 2018-10-31 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitive isolation structure insert for reversed signals

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6253361B1 (en) * 1999-04-21 2001-06-26 Magma Design Automation, Inc. Generalized theory of logical effort for look-up table based delay models using capacitance ratio
US6553338B1 (en) * 1999-04-27 2003-04-22 Magma Design Automation, Inc. Timing optimization in presence of interconnect delays
US20030191619A1 (en) * 2002-04-04 2003-10-09 International Business Machines Corporation Method and apparatus for implementing noise immunity and minimizing delay of CMOS logic circuits

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10189746A (ja) * 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
US6563810B1 (en) 1999-09-30 2003-05-13 Qualcomm Incorporated Closed loop resource allocation
US6622291B1 (en) * 2000-10-30 2003-09-16 Cadence Design Systems, Inc. Method and apparatus for physical budgeting during RTL floorplanning
US9078225B2 (en) * 2003-06-16 2015-07-07 Telefonaktiebolaget L M Ericsson (Publ) Dynamic mobile power headroom threshold for determining rate increases in the reverse traffic channel of a CDMA network
US7689239B2 (en) * 2003-09-16 2010-03-30 Motorola, Inc. System, method, and apparatus for establishing headroom for a mobile station
US20050147022A1 (en) * 2003-12-22 2005-07-07 Texas Instruments Incorporated Preamble transmit power tailoring system, a method of tailoring preamble transmit power and an OFDM transmitter employing the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6253361B1 (en) * 1999-04-21 2001-06-26 Magma Design Automation, Inc. Generalized theory of logical effort for look-up table based delay models using capacitance ratio
US6553338B1 (en) * 1999-04-27 2003-04-22 Magma Design Automation, Inc. Timing optimization in presence of interconnect delays
US20030191619A1 (en) * 2002-04-04 2003-10-09 International Business Machines Corporation Method and apparatus for implementing noise immunity and minimizing delay of CMOS logic circuits

Also Published As

Publication number Publication date
US20070136706A1 (en) 2007-06-14
CN101305372B (zh) 2010-05-26
WO2007035681A1 (en) 2007-03-29
US7721236B2 (en) 2010-05-18
EP1938229A1 (en) 2008-07-02
KR100995782B1 (ko) 2010-11-22
KR20080059220A (ko) 2008-06-26
CN101305372A (zh) 2008-11-12

Similar Documents

Publication Publication Date Title
JP2009509249A (ja) ナノテクノロジーにおける相互接続エフォートの方法の探究
US9129078B1 (en) Static timing analysis of integrated circuit designs with flexible noise and delay models of circuit stages
US7401304B2 (en) Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US8225248B2 (en) Timing, noise, and power analysis of integrated circuits
US8180621B2 (en) Parametric perturbations of performance metrics for integrated circuits
JP4521640B2 (ja) 集積回路製作におけるデルタ情報設計クロージャ
US7590958B2 (en) Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US8966421B1 (en) Static timing analysis methods for integrated circuit designs using a multi-CCC current source model
US7325210B2 (en) Hybrid linear wire model approach to tuning transistor widths of circuits with RC interconnect
US20080244497A1 (en) On-chip decoupling capacitance and power/ground network wire co-optimization to reduce dynamic noise
Mondal et al. Reducing pessimism in RLC delay estimation using an accurate analytical frequency dependent model for inductance
JP2013524302A (ja) 精度を調節可能なマクロモデル電力解析のための方法及び装置
Fattah et al. Comprehensive evaluation of crosstalk and delay profiles in VLSI interconnect structures with partially coupled lines
US9946824B2 (en) Efficient Ceff model for gate output slew computation in early synthesis
Acharya et al. Beyond SPICE Simulation: A Novel Variability-Aware STA Methodology for Digital Timing Closure
Fatima et al. Analysis of IR Drop for Robust Power Grid of Semiconductor Chip Design: A Review
CN117083615A (zh) 用于估计pld设计中的信号相关延迟的方法和装置
Graziano et al. Statistical power supply dynamic noise prediction in hierarchical power grid and package networks
Engels et al. A comprehensive performance macro-modeling of on-chip RC interconnects considering line shielding effects
Wu SSTA Framework Based on Moments Propagation
Murali Realistic gate model for efficient timing analysis of very deep submicron CMOS circuits
Jiang Study on modeling techniques for CMOS gate delay calculation in VLSI timing analysis
Zheng et al. Power distribution modeling and integrity analysis
Mondal et al. Accurate analytical modeling of frequency dependent loop self-inductance
Kahng et al. E cient Analyses and Models of VLSI and MCM Interconnects

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100810

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101110

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101210

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101217

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110907

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110914

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111007

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111017

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111107

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111114

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111207

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120417

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20120828