JP2009282638A - レイアウト設計システム、レイアウト設計方法、及びプログラム - Google Patents

レイアウト設計システム、レイアウト設計方法、及びプログラム Download PDF

Info

Publication number
JP2009282638A
JP2009282638A JP2008132437A JP2008132437A JP2009282638A JP 2009282638 A JP2009282638 A JP 2009282638A JP 2008132437 A JP2008132437 A JP 2008132437A JP 2008132437 A JP2008132437 A JP 2008132437A JP 2009282638 A JP2009282638 A JP 2009282638A
Authority
JP
Japan
Prior art keywords
wiring
layout
detected
space
width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008132437A
Other languages
English (en)
Inventor
Katsutoshi Ito
克敏 伊東
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2008132437A priority Critical patent/JP2009282638A/ja
Priority to US12/453,559 priority patent/US20090293033A1/en
Publication of JP2009282638A publication Critical patent/JP2009282638A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】配線工程後のレイアウトに対する配線変更により、タイミング改善及び消費電力改善を図る。
【解決手段】まず、配線工程後のレイアウトを参照する。次に、配線工程後のレイアウトからタイミング制約に抵触する配線を検出し、検出された配線の周囲にスペースを空け、空いたスペースを利用して検出された配線の幅を変更し、配線工程後のレイアウトを変更する。最後に、変更された後のレイアウトを出力する。
【選択図】図1

Description

本発明は、レイアウト設計方法に関し、特に配線工程におけるレイアウト設計方法に関する。
これまでは、配線工程後に発覚したタイミング違反に対して、リピータ(バッファ=インバータ×2個)を挿入することによって改善していた。
しかし、可能な限り最適なサイズや段数でリピータを挿入しても、タイミング違反を修正できない場合があった。
その理由は、近年の微細化プロセスでは、配線抵抗の影響が大きく、遅延の原因として配線遅延が支配的であるためである。
なお、配線工程では、自動配線ツール等を使用している場合が多く、どの配線がどのように配置されるかは、ユーザからはわからないことが多い。また、どこの配線抵抗が大きくなるかは、レイアウトしてみてからでないとわからないことが多い。従って、特性に影響する配線幅を事前に充分な太さにして配線抵抗を低減することは困難である。
関連する技術として、特開2001−290854号公報(特許文献1)に信号伝播回路の設計方法が開示されている。
この関連技術では、複数の分岐を有する信号配線中に複数段にバッファを挿入する際に、入力段のバッファの入力位置と、最終段のバッファの出力側配線の最終位置との間に、バッファサイズ及びファンアウト数が実質的に等しい複数のバッファを、各バッファ間の配線距離及び出力段バッファの出力側配線距離が実質的に相互に等しくなるように挿入し、最終位置における信号伝播遅延時間を最小とするバッファサイズとバッファ段数とを夫々所定の計算式に基づいて独立に選定する。
また、特開2005−242642号公報(特許文献2)にシミュレーション装置及び半導体集積回路の設計方法が開示されている。
この関連技術では、設計対象回路のサイクルベースモデルを状態制御モジュールモデルと演算モジュールモデルとメモリモデルとで構成する。演算モジュールモデルではアルゴリズム表記する。ハードウェアのパイプラインなどの詳細構成を単位クロックで処理される演算に短絡する。タイミングずれは状態制御モジュールモデルのウェイトステートで吸収することで高速なシミュレーションを実現する。シミュレーションモデルの活性化率測定に面積、配線容量などの情報を付加することで消費電力測定を可能にする。この測定結果から機能モジュールの優先配置配線を行い、シミュレーションを繰り返して最適な配置配線を行うことで低電力化設計を実現する。
また、押し退け配線に関する論文として、「A Detailed Router Based on Incremental Routing Modifications: Mighty (IEEE TRANSACTIONS ON COMPUTER−AIDED DESIGN, VOL. CAD−6, NO. 6, NOVEMBER 1987)」のp947にある「D. Weak Modification」(非特許文献1)がある。ここでは、DRC(Design Rule Checking)エラーを改善するために、押し退け配線を行っている。
本発明の目的は、配線工程後のレイアウトに対する配線変更により、タイミング改善及び消費電力改善を図るためのレイアウト設計方法、レイアウト設計システム、及びプログラムを提供することである。
本発明のレイアウト設計システムは、配線工程後のレイアウトを取得する入力手段と、配線工程後のレイアウトを参照し、タイミング制約に抵触する配線を検出し、検出された配線の周囲にスペース(空間、場所、空隙)を空け、空いたスペースを利用して検出された配線の幅を変更し、配線工程後のレイアウトを変更する改善手段と、変更された後のレイアウトを出力する出力手段とを含む。
本発明のレイアウト設計方法は、配線工程後のレイアウトを参照する工程と、配線工程後のレイアウトからタイミング制約に抵触する配線を検出し、検出された配線の周囲にスペースを空け、空いたスペースを利用して検出された配線の幅を変更し、配線工程後のレイアウトを変更する工程と、変更された後のレイアウトを出力する工程とを含む。
本発明のプログラムは、配線工程後のレイアウトを参照する工程と、配線工程後のレイアウトからタイミング制約に抵触する配線を検出し、検出された配線の周囲にスペースを空け、空いたスペースを利用して検出された配線の幅を変更し、配線工程後のレイアウトを変更する工程と、変更された後のレイアウトを出力する工程とをコンピュータに実行させるためのプログラムである。
配線工程後のレイアウトに比べて、本発明により改善された後のレイアウトでは、タイミングの余裕が発生し、消費電力を低減することができる。
以下に、本発明の第1実施形態について添付図面を参照して説明する。
図1を参照すると、本発明のレイアウト設計システムは、入力部11と、処理部12と、記憶部13と、出力部14を備える。
入力部11は、システム管理者からの実行指示や、各種設定、必要な情報等の入力を受け付ける。各種設定には、本発明を実施するための環境設定や、所定の実行条件に基づくレイアウト設計の実行指示の設定を含む。
ここでは、入力部11は、設計制約101と、配線後のレイアウト情報102と、セルライブラリ情報103と、配線プロセス情報104の入力を受け付けることが可能である。
設計制約101は、タイミング及びエレクトロマイグレーション(Electromigration(EM))の設計制約を示す。ここでは、設計制約101は、タイミング制約やEM制約に関する情報を示す。
タイミング制約は、デザイン固有の制約情報として、設計ツールに与えられる。タイミング制約は、業界標準の「SDC」というフォーマットを使用して記述される。タイミング制約では、各クロック系統に関して周波数を定義することにより、そのクロック系統に接続されるフリップフロップ(FlipFlop(FF))間のデータ転送における最大遅延を規定する。例えば、AAA/BBB/Cという端子をクロック源として規定し、その周波数を「20ns」と規定する場合、以下の式のように記述される。
create_clock=[get_pins AAA/BBB/C]−period 20
EM制約は、ライブラリとして開発ツールに与えられる。EM制約は、各出力端子について、最大動作周波数を規定する。ここで、最大動作周波数(F_max)は、その端子に接続する負荷容量(C_load)と、波形なまり(TRF)によって決定される。ライブラリは、以下の式を表すためのテーブルを記載する。
F_max=F(C_load,TRF)
配線後のレイアウト情報102は、事前に行われた配線工程の結果である配線後のレイアウトデータを示す。配線後のレイアウト情報102の例として、米Cadence(登録商標)社のLEF(Library Exchange Format)/DEF(Design Exchange Format)ベースの回路ライブラリ形式のレイアウトデータ等が考えられる。但し、実際には、これらの例に限定されない。
セルライブラリ情報103は、基本的な論理機能を論理ゲートの集合体として記述したレイアウトデータを示す。ここでは、セルライブラリ情報103は、スタンダードセルやハードマクロ等のライブラリ情報を示す。例えば、セルライブラリ情報103は、論理ゲート回路等のセルのネットリスト及びレイアウト情報を含む。また、セルライブラリ情報103は、ゲート幅を変更した時のようなセルサイズ変更時のタイミング情報も含む。
配線プロセス情報104は、配線容量や配線抵抗に関する情報を示す。例えば、配線プロセス情報104は、配線幅と配線抵抗の関係を示すデータを含む。
処理部12は、入力部11から受け取った情報を基に、処理を行う。ここでは、処理部12は、入力部11から入力された情報を取得し、取得された情報や、これらの情報に基づく処理結果を、記憶部13に格納したり、出力部14に出力したりする。
図1に示すように、処理部12は、シミュレーション部121と、パス検出部122と、配線処理部123と、改善判定部124と、セル処理部125と、処理完了判定部126を備える。
シミュレーション部121は、配線後のレイアウト情報102を受け取ると、設計制約101、セルライブラリ情報103、及び配線プロセス情報104を参照して、シミュレーションを行う。例えば、シミュレーション部121は、DRC(Design Rule Checking)やSTA(Static Timing Analysis)によるシミュレーションを実行する。また、シミュレーション部121は、レイアウト情報(配線抵抗値)とネットリストを用いたシミュレーションにより遅延時間を解析する。なお、シミュレーション部121は、本発明の処理過程における変更後のレイアウト情報や、改善されたレイアウト情報105に対しても、シミュレーションを行うことが可能である。
パス検出部122は、シミュレーションの結果に応じて、タイミングスラックが小さい順にパスをリストアップし、順にピックアップする。なお、タイミングスラックとは、各パスのタイミングマージンであり、どれくらい小さい微小遅延を検出できるかを示す。リストアップの対象となるパスは、複数のフリップフロップの間、又は複数のラッチ(latch)回路の間に設けられているパスであることが多い。但し、実際には、これらの例に限定されない。
配線処理部123は、ピックアップされたパスを構成するネットに対して、そのネットの配線の周囲にスペース(空間、場所、空隙)を空け、空いたスペースを利用して、パスPを構成するネットの配線を太幅化する。このように、配線処理部123は、配線の配置や幅を変更することが可能である。どの程度スペースを空けるかは、配線の幅をどの程度太くするか、すなわち、配線抵抗をどの程度下げるか、に応じて決められる。ここで、「配線を太幅化する」としているのは、通常、最初のレイアウトで配置される配線は最も細い配線であるため、配線幅を変更する際は、配線を太くしていくのが一般的であるためである。配線処理部123は、配線の幅を更に細くすることが可能であれば、配線の幅を細くするようにしても良い。なお、配線処理部123は、同一の配線層で当該配線の周囲に充分なスペースが空けられない場合、他の配線層を経由するように当該配線を再配線しても良い。例えば、配線処理部123は、他の配線層に、当該配線に対してパラレル(parallel)な配線を配置し、配線抵抗を低減する。
改善判定部124は、配線の周囲にスペースを空けることにより、ピックアップされたパスのタイミングスラックが改善したか判定する。すなわち、改善判定部124は、タイミングスラックが増加してタイミングに余裕ができたか判定する。例えば、改善判定部124は、タイミングスラックが0より大きい値であれば、タイミングに余裕ができたと判定する。タイミングスラックは、プラスの値であれば、余裕あり、マイナスの値であれば、所望のタイミングよりも長く、遅延時間解消が必要となる。ここでは、改善判定部124は、タイミングスラックが改善したか否かを、シミュレーション部121でのレイアウト情報(配線抵抗値)とネットリストを用いたシミュレーションによる遅延時間解析の結果に基づいて判定する。
セル処理部125は、ピックアップされたパスのタイミングスラックが改善している場合、設計制約を満たす範囲で、ネットを駆動しているセルのリサイズ(サイズ変更)を行う。リサイズは、主にダウンサイジング(縮小)を示す。ダウンサイジングは、主にセルのゲート幅を縮小することを示す。また、リサイズには、サイズを0にすることも含む。すなわち、セルの削除(除去)もリサイズの一形態である。ここでは、セルは、バッファやインバータ等のリピータを示す。セル処理部125は、セルがインバータの場合、インバータの削除は行わずダウンサイジングのみ行うと好適である。なお、セル処理部125は、インバータを削除する必要がある場合、2の倍数の数のインバータを同時に削除する。但し、実際には、これらの例に限定されない。
処理完了判定部126は、リストアップされたパスを全て処理したか判定し、全て処理していると判定した場合、出力部14に対して、改善されたレイアウト情報105を通知する。なお、処理完了判定部126は、出力部14に対して、改善されたレイアウト情報105を作成するために必要な情報を通知するようにしても良い。改善されたレイアウト情報105については後述する。
記憶部13は、処理部12から受け取った情報を格納し、処理部12から要求された際に、格納された情報を処理部12に提供する。なお、記憶部13は、設計制約101、セルライブラリ情報103、及び配線プロセス情報104を事前に格納していても良い。また、処理部12が、プログラムを実行し、当該プログラムに基づいて処理を行う場合、記憶部13は、当該プログラムを格納し、必要に応じて処理部12に提供する。
出力部14は、処理部12から受け取った情報を、所定の出力形式で出力する。例えば、出力部14は、処理部12から受け取った情報を、閲覧可能なデータ形式で表示したり、送信可能なデータ形式でネットワーク上の通信装置に送信したりする。
ここでは、出力部14は、処理部12から、改善されたレイアウト情報105を受け取り、改善されたレイアウト情報105を出力することが可能である。なお、出力部14は、処理部12から通知された情報に基づいて、改善されたレイアウト情報105を自動的に作成し、所定の条件に応じて、改善されたレイアウト情報105を出力するようにしても良い。
改善されたレイアウト情報105は、配線後のレイアウト情報102を基に、本発明を適用することによりタイミングや消費電力が改善された後のレイアウトデータを示す。
ここでは、入力部11の例として、外部の入力装置や記憶装置等から情報を取得するためのインターフェース(I/F:interface)を想定している。他にも、入力部11の例として、キーボードやキーパッド、画面上のキーパッド、タッチパネル(touch panel)、タブレット(tablet)、又は、記憶媒体(メディア)の読取装置等が考えられる。但し、実際には、これらの例に限定されない。
処理部12の例として、CPU(Central Processing Unit)やマイクロプロセッサ(microprocessor)等の処理装置、又は同様の機能を有する半導体集積回路(Integrated Circuit(IC))等が考えられる。なお、シミュレーション部121、パス検出部122、配線処理部123、改善判定部124、セル処理部125、及び処理完了判定部126は、各々の機能をコンピュータに実行させるためのプログラムでも良い。但し、実際には、これらの例に限定されない。
記憶部13の例として、メモリ等の半導体記憶装置、ハードディスク等の外部記憶装置(ストレージ)、又は、記憶媒体(メディア)等が考えられる。但し、実際には、これらの例に限定されない。
ここでは、出力部14の例として、外部の出力装置や記憶装置等に情報を出力するためのインターフェースを想定している。他にも、出力部14の例として、LCD(液晶ディスプレイ)やPDP(プラズマディスプレイ)、有機ELディスプレイ(organic electroluminescence display)等の表示装置、又は、表示内容を壁やスクリーンに投影するプロジェクタ等の映写装置、表示内容を用紙等に印刷するプリンタ等の印刷装置が考えられる。但し、実際には、これらの例に限定されない。
本発明のレイアウト設計システムでは、配線後のレイアウト情報とタイミングやEMの設計制約、スタンダードセルやハードマクロ等のライブラリ情報を入力とし、タイミングや消費電力を改善したレイアウトを出力とする。
本発明は、配線工程後のレイアウトを対象としている。その理由は、配線工程前や配線工程時の段階では、配線によるタイミングや消費電力の十分な予測が困難であるからである。そのため、本発明では、一旦、配線工程を実施し、配線工程後のレイアウトを基に、レイアウト変更を試みる。
図2を参照して、本発明のレイアウト設計システム内部の処理部12における動作について説明する。すなわち、図2は、本発明のレイアウト設計方法の工程を示す。
(1)ステップS101
シミュレーション部121は、配線後のレイアウト情報102を受け取ると、処理を開始する。ここでは、シミュレーション部121は、配線後のレイアウト情報102を受け取ると、設計制約101、セルライブラリ情報103、及び配線プロセス情報104を参照して、シミュレーションを行う。なお、シミュレーション部121は、設計制約101、セルライブラリ情報103、及び配線プロセス情報104を、配線後のレイアウト情報102と同時に入力部11から受け取っても良い。或いは、シミュレーション部121は、配線後のレイアウト情報102を受け取った際に、記憶部13に事前に格納された設計制約101、セルライブラリ情報103、及び配線プロセス情報104を参照するようにしても良い。シミュレーション部121は、シミュレーションの結果、タイミング制約に抵触する配線を検出する。
(2)ステップS102
パス検出部122は、シミュレーションの結果、タイミングスラックの小さい順にパスをリストアップし、順にピックアップする。ここでは、ピックアップされたパスを「P」とする。すなわち、パスPは、ピックアップされたパスを示す。
(3)ステップS103
次に、配線処理部123は、パスPについて、パスPを構成するネットの配線の周囲にスペースを空けるようにスペーシングを行い、レイアウトを変更する。このとき、配線処理部123は、できるだけ他の配線を押し退けたり、再配線したりすることにより、他の配線を避けることでスペースを空ける。これによって、配線処理部123は、ネットの配線容量を削減できる。更に、配線処理部123は、配線抵抗が大きいプロセスにおいて、配線を太幅化することで抵抗を削減する。なお、配線処理部123は、配線を太幅化する際、スペース等の都合で、当該配線の全体を均一に太幅化することができない場合、当該配線を部分的に太幅化するようにしても良い。このとき、配線処理部123は、当該配線において、周囲にスペースがあり太幅化することが可能な部分のみ太幅化する。
(4)ステップS104
改善判定部124は、パスPについて、タイミングスラックが改善しているか判定し、タイミングスラックが改善していれば、この配線変更を採用する。
(5)ステップS105
改善判定部124は、タイミングスラックが改善していなければ、この配線変更を採用せずに元の配線の状態に戻す。ここでは、改善判定部124は、タイミングスラックが改善していなければ、直前に行われた配線変更を取り消し、当該配線変更の前の状態に戻す。或いは、改善判定部124は、これまでに行われた配線変更を全て取り消し、配線後のレイアウト情報102の状態に戻すようにしても良い。
(6)ステップS106
セル処理部125は、タイミングスラックが改善しており、配線変更が採用された場合、配線が変更されたネットについて、タイミングやEMの設計制約を満たす範囲で、それを駆動しているセルのリサイズを行う。ここでは、セル処理部125は、セルのダウンサイズや削除を行う。セルがリピータであるときのように、論理等価値を保てる場合は、セルを削除しても良い。
(7)ステップS107
処理完了判定部126は、リストアップされたパスを全て処理したか判定し、リストアップされたパスのうち未処理のものが存在しなければ、現在のレイアウトを、改善されたレイアウト情報105として出力して終了する。
(8)ステップS108
処理完了判定部126は、リストアップされたパスのうち未処理のものが存在すれば、次のパスに対して同様のことを繰り返す。
なお、シミュレーション部121は、上記の各ステップ(工程)において、配線がDRCで違反とならない最小の間隔を保つように、ステップ(工程)毎にシミュレーションを行うようにすると好適である。例えば、シミュレーション部121は、配線の周りにスペースを空ける工程の後、或いは配線を太幅化する工程の後、DRCに基づく配線ルールチェックを行う。これは、配線が他の配線とプロセスでショートしないか等のチェックとなる。但し、実際には、これらの例に限定されない。
図3A、図3Bを参照して、本発明の適用前後のレイアウト情報の改善点について説明する。
図3Aは、配線後のレイアウト情報を示すイメージ図である。ここでは、フリップフロップ31とフリップフロップ32の間に配線33が配置されている。また、配線33の周囲に配線34が配置されている。また、配線33上に、リピータ35、リピータ36、リピータ37、及びリピータ38が設置されている。なお、フリップフロップ31及びフリップフロップ32は、ラッチ回路でも良い。また、リピータ35、リピータ36、リピータ37、及びリピータ38は、バッファやインバータでも良い。但し、実際には、これらの例に限定されない。
図3Bは、改善されたレイアウト情報を示すイメージ図である。すなわち、図3Bは、図3Aに示すレイアウトデータに対して、本発明による改善を行った後のレイアウトデータを示す。図3Aと比較すると、図3Bでは、配線処理部123が、配線33の周囲にある配線34を押し退けることで、配線33と配線34の間の幅を広げてスペースを空けている。また、配線処理部123が、空いたスペースを利用して、フリップフロップ31とフリップフロップ32の間にある配線33を太幅化している。また、セル処理部125が、不要になったリピータ35及びリピータ37を削除している。また、セル処理部125が、リピータ36及びリピータ38をダウンサイズしている。
図4A、図4B、図4Cを参照して、本発明の適用前後のレイアウト情報の具体的な改善の手順について説明する。
図4Aは、配線後のレイアウト情報を示すイメージ図である。基本的に、図4Aは、図3Aと同様である。ここでは、フリップフロップ41とフリップフロップ42の間に配線43が配置されている。また、配線43の周囲に配線44が配置されている。また、配線43上に、リピータ45、リピータ46、リピータ47、及びリピータ48が設置されている。なお、フリップフロップ41及びフリップフロップ42は、ラッチ回路でも良い。また、リピータ45、リピータ46、リピータ47、及びリピータ48は、バッファやインバータでも良い。但し、実際には、これらの例に限定されない。
図4Aでは、タイミング制約は「10ns」である。見積もり遅延値は「12ns」である。従って、タイミングスラックは「−2ns」である。また、消費電力は「0.050mW」である。配線処理部123は、図4Aに示すレイアウトデータにおいて、配線43の周囲にある配線44を押し退けることで、配線43と配線44の間の幅を広げてスペースを空ける。また、配線処理部123は、フリップフロップ41とフリップフロップ42の間にある配線43を太幅化する。これにより、図4Aに示すレイアウトデータは、図4Bに示すようなレイアウトデータとなる。
図4Bは、配線変更された後のレイアウト情報を示すイメージ図である。
図4Bでは、見積もり遅延値は「8ns」に改善される。タイミング制約は「10ns」であるため、タイミングスラックは「+2ns」に改善されたことになる。また、配線が太幅化され、配線抵抗が低減した分、消費電力は「0.045mW」に改善される。このとき、タイミングスラックが増加してタイミングに余裕ができたため、その分、セル(リピータ45、リピータ46、リピータ47、及びリピータ48)のリサイズを試みる。
図4Cは、最終的に出力される改善されたレイアウト情報を示すイメージ図である。
図4Cでは、セル処理部125は、不要になったリピータ45を削除する。また、セル処理部125は、リピータ46、リピータ47、及びリピータ48をダウンサイズする。これにより、見積もり遅延値は「10ns」になる。タイミング制約は「10ns」であるため、タイミングスラックは「0ns」になる。また、セルを削除及びダウンサイズした分、駆動電力が低減されるため、消費電力は「0.040mW」に改善される。
図5は、配線幅(w)とシート抵抗(Rs)の関係を示している。
図5に示されるグラフでは、横軸が配線幅(w)、縦軸がシート抵抗(Rs)を示す。基本的に、配線幅(w)とシート抵抗(Rs)は反比例する。ここでは、シート抵抗(Rs)は、最初、配線幅(w)が太くなるにつれて低減しているが、ある程度まで低減すると、以降はあまり変化せず、一定の水準に近づいていく。
なお、抵抗(R)とシート抵抗(Rs)の関係は、以下の式により示される。
R=Rs*l/w
ここで、「l」は配線長、「w」は配線幅である。
図6は、配線幅(w)と単位長あたりの配線容量(Cap)の関係を示している。
図6に示されるグラフでは、横軸が配線幅(w)、縦軸が単位長あたりの配線容量(Cap)を示す。基本的に、配線幅(w)と単位長あたりの配線容量(Cap)は比例する。ここでは、単位長あたりの配線容量(Cap)は、配線幅(w)が太くなるにつれて右肩上がりに推移する。
図7は、押し退け配線の例を示している。
図7では、ピックアップされたパスを構成するネットの配線の周囲にある他の配線を押し退ける前後の変化を示している。ここでは、ピックアップされたパスを構成するネットの配線は、中央に示されている。なお、実線は、同一層(X層)上の配線を示している。破線は、X層の隣接層(X±1層)上の配線を示している。ここでは、対象となる配線の周囲にある他の配線を押し退ける際、他の配線同士の位置関係等を考慮して、他の配線の配置を整理している。
以下に、本発明の第2実施形態について説明する。
本発明の第1実施形態では、配線の周囲にスペースを空け、空いたスペースを利用して、当該配線を太幅化しているが、本発明の第2実施形態では、空いたスペースを利用して、当該配線と対になるシールド配線を挿入する。すなわち、本実施形態では、配線を太幅化する代わりに、配線の本数を増やすことで、配線の抵抗の影響を緩和する。
以上のように、本発明は、配線工程後のレイアウトにおいて、余っている配線リソースを有効に活用して、タイミングや消費電力を改善する手法である。具体的には、本発明では、配線後のレイアウト情報とタイミングやEMの設計制約、スタンダードセルやハードマクロ等のライブラリ情報を入力とし、タイミングや消費電力を改善したレイアウトを出力とする。
本発明では、配線幅を増加させることで、配線抵抗が減少し、遅延時間が減少する。これにより、タイミングスラックが増加し、タイミングに余裕ができる。タイミングに余裕ができた場合は、ゲート幅の縮小等によりセル(リピータ等)の電流駆動能力を減少することで、消費電力を減少する。
また、本発明では、配線の周りにスペースをおくことで、配線容量が減少する場合もある。
本発明により、従来の手法であるリピータ挿入では改善できないタイミング問題を、改善することができるようになる。更に、この改善によって生まれたタイミングのゆとりを使い、セルのリサイズや削除を行うことによって、消費電力を低減することができるようになる。その理由は、配線容量や配線抵抗を低減できるためである。特に微細化が進んだプロセスでは、配線によるタイミング遅延が支配的になり、この効果が大きい。
本発明の利用分野として、レイアウトツールやCAD(Computer Aided Design)ツール等に適用することが考えられる。
以上、本発明の実施形態を詳述してきたが、実際には上記の実施形態に限られるものではなく、本発明の要旨を逸脱しない範囲の変更があっても本発明に含まれる。
図1は、本発明のレイアウト設計システムの概要を示すブロック図である。 図2は、本発明のレイアウト設計方法の工程を示すフローチャートである。 図3Aは、配線後のレイアウト情報を示すイメージ図である。 図3Bは、改善されたレイアウト情報を示すイメージ図である。 図4Aは、配線後のレイアウト情報を示すイメージ図である。 図4Bは、配線変更された後のレイアウト情報を示すイメージ図である。 図4Cは、改善されたレイアウト情報を示すイメージ図である。 図5は、配線幅(w)とシート抵抗(Rs)の関係を示すグラフのイメージ図である。 図6は、配線幅(w)と単位長あたりの配線容量(Cap)の関係を示すグラフのイメージ図である。 図7は、押し退け配線の例を示すグラフのイメージ図である。
符号の説明
11… 入力部
12… 処理部
121… シミュレーション部
122… パス検出部
123… 配線処理部
124… 改善判定部
125… セル処理部
126… 処理完了判定部
13… 記憶部
14… 出力部
101… 設計制約
102… 配線後のレイアウト情報
103… セルライブラリ情報
104… 配線プロセス情報
105… 改善されたレイアウト情報

Claims (21)

  1. 配線工程後のレイアウトを取得する入力手段と、
    前記配線工程後のレイアウトを参照し、タイミング制約に抵触する配線を検出し、前記検出された配線の周囲にスペースを空け、前記スペースを利用して前記検出された配線の幅を変更し、前記配線工程後のレイアウトを変更する改善手段と、
    前記変更された後のレイアウトを出力する出力手段と
    を含む
    レイアウト設計システム。
  2. 請求項1に記載のレイアウト設計システムであって、
    前記改善手段は、前記スペースを利用して前記検出された配線を太幅化する
    レイアウト設計システム。
  3. 請求項1又は2に記載のレイアウト設計システムであって、
    前記改善手段は、前記スペースを利用して前記検出された配線と対になるシールド配線を挿入する
    レイアウト設計システム。
  4. 請求項1乃至3のいずれか一項に記載のレイアウト設計システムであって、
    前記改善手段は、前記検出された配線の周囲にスペースを空ける際、前記検出された配線を、他の配線層を経由するように再配線する
    レイアウト設計システム。
  5. 請求項1乃至4のいずれか一項に記載のレイアウト設計システムであって、
    前記改善手段は、前記検出された配線の幅を変更した結果として生じたタイミングの余裕に応じて、前記検出された配線を駆動するセルのうち、不要なセルを削除し、残りのセルを縮小して消費電力を低減し、前記配線工程後のレイアウトを変更する
    レイアウト設計システム。
  6. 請求項5に記載のレイアウト設計システムであって、
    前記改善手段は、前記検出された配線を駆動するセルのゲート幅を縮小する
    レイアウト設計システム。
  7. 請求項5又は6に記載のレイアウト設計システムであって、
    前記改善手段は、
    前記配線工程後のレイアウトに対してシミュレーションを実行するシミュレーション手段と、
    前記シミュレーションの結果に基づいて、前記配線工程後のレイアウトから前記タイミング制約に抵触する配線を検出するパス検出手段と、
    前記検出された配線の周囲に前記スペースを空け、前記スペースを利用して前記検出された配線の幅を変更する配線処理手段と、
    前記検出された配線のタイミングスラックが改善したか判定する改善判定手段と、
    前記タイミングスラックが改善している場合、設計制約を満たす範囲で、前記検出された配線を駆動しているセルのリサイズを行い、前記配線工程後のレイアウトを変更するセル処理手段と、
    前記検出された配線を全て処理したか判定し、全て処理していると判定した場合、前記出力手段に対して、前記変更された後のレイアウトを通知する処理完了判定手段と
    を具備する
    レイアウト設計システム。
  8. 配線工程後のレイアウトを参照する工程と、
    前記配線工程後のレイアウトからタイミング制約に抵触する配線を検出し、前記検出された配線の周囲にスペースを空け、前記スペースを利用して前記検出された配線の幅を変更し、前記配線工程後のレイアウトを変更する工程と、
    前記変更された後のレイアウトを出力する工程と
    を含む
    レイアウト設計方法。
  9. 請求項8に記載のレイアウト設計方法であって、
    前記スペースを利用して前記検出された配線の幅を変更する際、前記検出された配線を太幅化する工程
    を更に含む
    レイアウト設計方法。
  10. 請求項8又は9に記載のレイアウト設計方法であって、
    前記スペースを利用して前記検出された配線の幅を変更する際、前記検出された配線と対になるシールド配線を挿入する工程
    を更に含む
    レイアウト設計方法。
  11. 請求項8乃至10のいずれか一項に記載のレイアウト設計方法であって、
    前記検出された配線の周囲にスペースを空ける際、前記検出された配線を、他の配線層を経由するように再配線する工程
    を更に含む
    レイアウト設計方法。
  12. 請求項8乃至11のいずれか一項に記載のレイアウト設計方法であって、
    前記検出された配線の幅を変更した結果として生じたタイミングの余裕に応じて、前記検出された配線を駆動するセルのうち、不要なセルを削除し、残りのセルを縮小して消費電力を低減し、前記配線工程後のレイアウトを変更する工程
    を更に含む
    レイアウト設計方法。
  13. 請求項12に記載のレイアウト設計方法であって、
    前記検出された配線を駆動するセルのゲート幅を縮小する工程
    を更に含む
    レイアウト設計方法。
  14. 請求項12又は13に記載のレイアウト設計方法であって、
    前記配線工程後のレイアウトに対してシミュレーションを実行する工程と、
    前記シミュレーションの結果に基づいて、前記配線工程後のレイアウトから前記タイミング制約に抵触する配線を検出する工程と、
    前記検出された配線の周囲に前記スペースを空け、前記スペースを利用して前記検出された配線の幅を変更する工程と、
    前記検出された配線のタイミングスラックが改善したか判定する工程と、
    前記タイミングスラックが改善している場合、設計制約を満たす範囲で、前記検出された配線を駆動しているセルのリサイズを行い、前記配線工程後のレイアウトを変更する工程と、
    前記検出された配線を全て処理したか判定し、全て処理していると判定した場合、前記変更された後のレイアウトを出力する工程と
    を更に含む
    レイアウト設計方法。
  15. 配線工程後のレイアウトを参照する工程と、
    前記配線工程後のレイアウトからタイミング制約に抵触する配線を検出し、前記検出された配線の周囲にスペースを空け、前記スペースを利用して前記検出された配線の幅を変更し、前記配線工程後のレイアウトを変更する工程と、
    前記変更された後のレイアウトを出力する工程と
    をコンピュータに実行させるための
    プログラム。
  16. 請求項15に記載のプログラムであって、
    前記スペースを利用して前記検出された配線の幅を変更する際、前記検出された配線を太幅化する工程
    を更にコンピュータに実行させるための
    プログラム。
  17. 請求項15又は16に記載のプログラムであって、
    前記スペースを利用して前記検出された配線の幅を変更する際、前記検出された配線と対になるシールド配線を挿入する工程
    を更にコンピュータに実行させるための
    プログラム。
  18. 請求項15乃至17のいずれか一項に記載のプログラムであって、
    前記検出された配線の周囲にスペースを空ける際、前記検出された配線を、他の配線層を経由するように再配線する工程
    を更にコンピュータに実行させるための
    プログラム。
  19. 請求項15乃至18のいずれか一項に記載のプログラムであって、
    前記検出された配線の幅を変更した結果として生じたタイミングの余裕に応じて、前記検出された配線を駆動するセルのうち、不要なセルを削除し、残りのセルを縮小して消費電力を低減し、前記配線工程後のレイアウトを変更する工程
    を更にコンピュータに実行させるための
    プログラム。
  20. 請求項19に記載のプログラムであって、
    前記検出された配線を駆動するセルのゲート幅を縮小する工程
    を更にコンピュータに実行させるための
    プログラム。
  21. 請求項19又は20に記載のプログラムであって、
    前記配線工程後のレイアウトに対してシミュレーションを実行する工程と、
    前記シミュレーションの結果に基づいて、前記配線工程後のレイアウトから前記タイミング制約に抵触する配線を検出する工程と、
    前記検出された配線の周囲に前記スペースを空け、前記スペースを利用して前記検出された配線の幅を変更する工程と、
    前記検出された配線のタイミングスラックが改善したか判定する工程と、
    前記タイミングスラックが改善している場合、設計制約を満たす範囲で、前記検出された配線を駆動しているセルのリサイズを行い、前記配線工程後のレイアウトを変更する工程と、
    前記検出された配線を全て処理したか判定し、全て処理していると判定した場合、前記変更された後のレイアウトを出力する工程と
    を更にコンピュータに実行させるための
    プログラム。
JP2008132437A 2008-05-20 2008-05-20 レイアウト設計システム、レイアウト設計方法、及びプログラム Withdrawn JP2009282638A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008132437A JP2009282638A (ja) 2008-05-20 2008-05-20 レイアウト設計システム、レイアウト設計方法、及びプログラム
US12/453,559 US20090293033A1 (en) 2008-05-20 2009-05-14 System and method for layout design of integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008132437A JP2009282638A (ja) 2008-05-20 2008-05-20 レイアウト設計システム、レイアウト設計方法、及びプログラム

Publications (1)

Publication Number Publication Date
JP2009282638A true JP2009282638A (ja) 2009-12-03

Family

ID=41343022

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008132437A Withdrawn JP2009282638A (ja) 2008-05-20 2008-05-20 レイアウト設計システム、レイアウト設計方法、及びプログラム

Country Status (2)

Country Link
US (1) US20090293033A1 (ja)
JP (1) JP2009282638A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015215851A (ja) * 2014-05-13 2015-12-03 株式会社ソシオネクスト 回路設計方法及び半導体集積回路

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8108821B2 (en) * 2010-01-12 2012-01-31 International Business Machines Corporation Reduction of logic and delay through latch polarity inversion
IT1405457B1 (it) * 2010-06-11 2014-01-10 St Microelectronics Srl Framework per la progettazione di circuiti integrati comprendente funzionalita' di analisi automatica
US8539388B2 (en) * 2010-07-14 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for low power semiconductor chip layout and low power semiconductor chip
DE112013005833T5 (de) * 2012-12-26 2015-08-27 Synopsys, Inc. Analyse zeitlicher Leitungsengpässe zur Steuerung einer Optimierung mit nützlichem Versatz
US8990750B2 (en) * 2013-07-30 2015-03-24 Synopsys, Inc. Numerical area recovery
US9367660B2 (en) * 2014-03-18 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Electromigration-aware layout generation
US9405880B2 (en) * 2014-05-16 2016-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement formation
US10127338B2 (en) * 2015-12-15 2018-11-13 Taiwan Semiconductor Manufacturing Company Ltd. System, method and associated computer readable medium for designing integrated circuit with pre-layout RC information

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7603644B2 (en) * 2005-06-24 2009-10-13 Pulsic Limited Integrated circuit routing and compaction
US7480886B2 (en) * 2006-01-18 2009-01-20 International Business Machines Corporation VLSI timing optimization with interleaved buffer insertion and wire sizing stages

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015215851A (ja) * 2014-05-13 2015-12-03 株式会社ソシオネクスト 回路設計方法及び半導体集積回路

Also Published As

Publication number Publication date
US20090293033A1 (en) 2009-11-26

Similar Documents

Publication Publication Date Title
JP2009282638A (ja) レイアウト設計システム、レイアウト設計方法、及びプログラム
JP5410523B2 (ja) 順序セルを接近配置する方法及び装置
US7245516B2 (en) Layout method and computer program product
US10713410B2 (en) Method for legalizing mixed-cell height standard cells of IC
JP2004172373A (ja) クロストーク修正方法
US9009645B2 (en) Automatic clock tree routing rule generation
US20130125077A1 (en) Method for optimising cell variant selection within a design process for an integrated circuit device
US9348962B2 (en) Hierarchical design of integrated circuits with multi-patterning requirements
US6425115B1 (en) Area efficient delay circuits
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
US8762919B2 (en) Circuit macro placement using macro aspect ratio based on ports
JP2006146601A (ja) 半導体集積回路のレイアウト設計方法
JP2010049386A (ja) 遅延解析装置、遅延解析方法、及びプログラム
JP2010087244A (ja) 半導体集積回路、半導体集積回路のレイアウト方法、及び半導体集積回路のレイアウトプログラム
US7962877B2 (en) Port assignment in hierarchical designs by abstracting macro logic
US20230048541A1 (en) Avoiding electrostatic discharge events from cross-hierarchy tie nets
US8132141B2 (en) Method and apparatus for generating a centerline connectivity representation
JP2011134084A (ja) 半導体集積回路の設計方法、及びプログラム
US8181143B2 (en) Method and apparatus for generating a memory-efficient representation of routing data
US20180052951A1 (en) Acceleration Of Voltage Propagation Based On Device Chain Reduction
US8032851B2 (en) Structure for an integrated circuit design for reducing coupling between wires of an electronic circuit
US10534880B2 (en) Acceleration of voltage propagation based on local iteration
US8549450B1 (en) Methods and software for determining net bounds for placing and routing
JP4946655B2 (ja) 設計支援プログラム、該プログラムを記録した記録媒体、設計支援装置および設計支援方法
US20230267259A1 (en) System and method employing power-optimized timing closure

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20110802