JP2008166376A - Method and system for processing substrate and manufacturing method for device - Google Patents

Method and system for processing substrate and manufacturing method for device Download PDF

Info

Publication number
JP2008166376A
JP2008166376A JP2006352172A JP2006352172A JP2008166376A JP 2008166376 A JP2008166376 A JP 2008166376A JP 2006352172 A JP2006352172 A JP 2006352172A JP 2006352172 A JP2006352172 A JP 2006352172A JP 2008166376 A JP2008166376 A JP 2008166376A
Authority
JP
Japan
Prior art keywords
substrate
photosensitive film
liquid
exposure
exposure light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006352172A
Other languages
Japanese (ja)
Inventor
Tomoharu Fujiwara
朋春 藤原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2006352172A priority Critical patent/JP2008166376A/en
Publication of JP2008166376A publication Critical patent/JP2008166376A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for processing a substrate inhibiting the generation of particles and the infiltration of a liquid and being capable of excellently processing a substrate in a substrate processing process containing liquid immersion exposure processing. <P>SOLUTION: The method for processing the substrate contains formation of a liquid repulsive photosensitive film to the liquid on the substrate, substrate irradiation in the peripheral region with a first exposure light and peripheral exposure processing exposing the photosensitive film formed on the substrate. The method further contains the irradiation of a region not irradiated with the first exposure light on the inside of the peripheral region of the substrate with a second exposure light through the liquid while bringing the photosensitive film formed on the substrate and the liquid into contact and liquid-immersion exposure processing exposing the photosensitive film formed on the substrate. The method further contains the development of the photosensitive film formed on the substrate after completing both the peripheral exposure processing and liquid-immersion exposure processing and the removal of the photosensitive film on the substrate irradiated with the first exposure light and the second exposure light. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、液体を介して基板を露光する処理を含む基板処理方法及び基板処理システム、並びにデバイス製造方法に関する。   The present invention relates to a substrate processing method, a substrate processing system, and a device manufacturing method including a process of exposing a substrate through a liquid.

デバイスパターンを形成するための手法の一つとして、従来よりフォトリソグラフィ技術が用いられている。フォトリソグラフィ工程は、例えば半導体ウエハ等の基板上に感光膜を形成する工程、その基板上の感光膜を露光する工程、及び露光された基板を現像する工程等を含む。基板上に感光膜を形成する技術として、例えば下記特許文献1、2に開示されているようなスピンコーティング法が知られている。また、基板上の感光膜を露光する技術として、下記特許文献3に開示されているような、液体を介して基板上の感光膜を露光する液浸露光法が知られている。
特開平9−213605号公報 特開2006−93409号公報 国際公開第99/49504号パンフレット
As one of the methods for forming a device pattern, a photolithography technique has been conventionally used. The photolithography process includes, for example, a process of forming a photosensitive film on a substrate such as a semiconductor wafer, a process of exposing the photosensitive film on the substrate, and a process of developing the exposed substrate. As a technique for forming a photosensitive film on a substrate, for example, a spin coating method as disclosed in Patent Documents 1 and 2 below is known. As a technique for exposing a photosensitive film on a substrate, an immersion exposure method for exposing a photosensitive film on a substrate through a liquid as disclosed in Patent Document 3 below is known.
JP-A-9-213605 JP 2006-93409 A International Publication No. 99/49504 Pamphlet

例えば、スピンコーティング法で感光膜を形成した場合、感光膜は基板の周縁部にも形成される。基板の周縁部の感光膜が基板搬送装置等の基板を保持する部材に接触すると、その感光膜が基板から剥がれ、パーティクルが発生する可能性がある。そのため、従来より、基板の周縁部の感光膜を除去するエッジリンス処理が行われている。ところが、基板の周縁部の状態によっては、液浸露光処理の際に、基板の周囲に形成されるギャップから液体が浸入しやすくなり、基板の下面、基板を保持する部材等が濡れる可能性がある。また、基板の下面に液体が付着すると、基板の下面を保持する搬送装置に液体が付着したり、搬送経路に液体が飛散したりする等、様々な不具合が発生し、基板を良好に処理できなくなる可能性がある。   For example, when a photosensitive film is formed by spin coating, the photosensitive film is also formed on the peripheral edge of the substrate. When the photosensitive film on the peripheral edge of the substrate comes into contact with a member that holds the substrate such as a substrate transport device, the photosensitive film may be peeled off from the substrate, generating particles. Therefore, conventionally, an edge rinse process for removing the photosensitive film on the peripheral edge of the substrate has been performed. However, depending on the state of the peripheral edge of the substrate, the liquid may easily enter from the gap formed around the substrate during the immersion exposure process, and the lower surface of the substrate, the member holding the substrate, etc. may get wet. is there. In addition, if liquid adheres to the lower surface of the substrate, various problems may occur such as liquid adhering to the transfer device that holds the lower surface of the substrate, or liquid splashing on the transfer path, and the substrate can be processed satisfactorily. There is a possibility of disappearing.

本発明はこのような事情に鑑みてなされたものであって、液浸露光処理を含む基板処理工程において、液浸露光処理において、基板の周囲に形成されるギャップからの液体の浸入を抑制し、基板を良好に処理できる基板処理方法を提供することを目的とする。また、液浸露光処理において、基板の周囲に形成されるギャップからの液体の浸入を抑制して、基板を良好に処理できる基板処理システム、及びその基板処理システムを用いるデバイス製造方法を提供することを目的とする。   The present invention has been made in view of such circumstances, and in a substrate processing process including an immersion exposure process, in the immersion exposure process, liquid intrusion from a gap formed around the substrate is suppressed. An object of the present invention is to provide a substrate processing method capable of processing a substrate satisfactorily. Also provided are a substrate processing system capable of satisfactorily processing a substrate by suppressing liquid intrusion from a gap formed around the substrate in immersion exposure processing, and a device manufacturing method using the substrate processing system. With the goal.

上記の課題を解決するため、本発明は実施の形態に示す各図に対応付けした以下の構成を採用している。但し、各要素に付した括弧付き符号はその要素の例示に過ぎず、各要素を限定するものではない。   In order to solve the above-described problems, the present invention employs the following configurations corresponding to the respective drawings shown in the embodiments. However, the reference numerals with parentheses attached to each element are merely examples of the element and do not limit each element.

本発明の第1の態様に従えば、液体(LQ)を介して基板(W)上の感光膜(Rg)を露光する液浸露光処理を含む基板処理方法であって、液体(LQ)に対して撥液性の感光膜(Rg)を基板(W)上に形成することと、基板(W)の周縁領域に第1露光光(EL1)を照射して、基板(W)上に形成された感光膜(Rg)を露光する周縁露光処理を実行することと、基板(W)上に形成された感光膜(Rg)と液体(LQ)とを接触させながら、基板(W)の周縁領域の内側の、第1露光光(EL1)が照射されていない領域に液体(LQ)を介して第2露光光(EL2)を照射し、基板(W)上に形成された感光膜(Rg)を露光する液浸露光処理を実行することと、周縁露光処理及び液浸露光処理の両方が終了した後、基板(W)上に形成された感光膜(Rg)を現像し、第1露光光(EL1)及び第2露光光(EL2)が照射された基板(W)上の感光膜(Rg)を除去することと、を含む基板処理方法が提供される。   According to a first aspect of the present invention, there is provided a substrate processing method including an immersion exposure process for exposing a photosensitive film (Rg) on a substrate (W) through a liquid (LQ), wherein the liquid (LQ) On the other hand, a liquid-repellent photosensitive film (Rg) is formed on the substrate (W), and the peripheral area of the substrate (W) is irradiated with the first exposure light (EL1) to be formed on the substrate (W). The peripheral edge of the substrate (W) while performing the peripheral edge exposure processing for exposing the formed photosensitive film (Rg) and bringing the photosensitive film (Rg) formed on the substrate (W) into contact with the liquid (LQ). A photosensitive film (Rg) formed on the substrate (W) by irradiating the second exposure light (EL2) through the liquid (LQ) to a region inside the region where the first exposure light (EL1) is not irradiated. ) On the substrate (W) after performing the immersion exposure process and exposing both the peripheral edge exposure process and the immersion exposure process. Developing the formed photosensitive film (Rg), and removing the photosensitive film (Rg) on the substrate (W) irradiated with the first exposure light (EL1) and the second exposure light (EL2). A substrate processing method is provided.

本発明の第1の態様によれば、基板の周縁領域に撥液性の感光膜が形成された状態で液浸露光処理が実行されるので、基板の周囲に形成されるギャップからの液体の浸入を抑制でき、基板を良好に処理できる。また、基板の現像処理後は、基板の周縁領域の撥液性の感光膜が除去されているので、その後の基板の処理において、基板の周縁領域から感光膜が剥がれたりすることによってパーティクルが発生することも防止できる。   According to the first aspect of the present invention, since the immersion exposure process is performed in a state where the liquid-repellent photosensitive film is formed in the peripheral region of the substrate, the liquid from the gap formed around the substrate is removed. Infiltration can be suppressed and the substrate can be processed satisfactorily. In addition, since the liquid-repellent photosensitive film is removed from the peripheral area of the substrate after the development processing of the substrate, particles are generated when the photosensitive film is peeled off from the peripheral area of the substrate in the subsequent processing of the substrate. Can also be prevented.

本発明の第2の態様に従えば、液体(LQ)を介して基板(W)上の感光膜(Rg)を露光する処理を行う基板処理システムであって、液体(LQ)に対して撥液性の感光膜(Rg)を基板(W)上に形成する第1処理装置(1)と、基板(W)の周縁領域に第1露光光(EL1)を照射して、基板(W)上に形成された感光膜(Rg)を露光する周縁露光処理を実行する第2処理装置(13)と、基板(W)上に形成された感光膜(Rg)と液体(LQ)とを接触させながら、基板(W)の周縁領域の内側の、第1露光光(EL1)が照射されていない領域に液体(LQ)を介して第2露光光(EL2)を照射して、基板(W)上に形成された感光膜(Rg)を露光する第3処理装置(EX)と、周縁露光処理及び液浸露光処理の両方が終了した後、基板(W)上に形成された感光膜(Rg)を現像し、第1露光光(EL1)及び第2露光光(EL2)が照射された基板(W)上の感光膜(Rg)を除去する第4処理装置(37)と、を含む基板処理システム(SYS)が提供される。   According to the second aspect of the present invention, there is provided a substrate processing system that performs a process of exposing the photosensitive film (Rg) on the substrate (W) through the liquid (LQ), and is repellent to the liquid (LQ). A first processing apparatus (1) that forms a liquid photosensitive film (Rg) on a substrate (W), and a peripheral area of the substrate (W) is irradiated with a first exposure light (EL1) to form a substrate (W). The second processing apparatus (13) that performs peripheral edge exposure processing for exposing the photosensitive film (Rg) formed thereon, and the photosensitive film (Rg) formed on the substrate (W) and the liquid (LQ) are brought into contact with each other. Then, the second exposure light (EL2) is irradiated via the liquid (LQ) to the area inside the peripheral area of the substrate (W) where the first exposure light (EL1) is not irradiated, and the substrate (W ) The third processing apparatus (EX) that exposes the photosensitive film (Rg) formed thereon, and both the peripheral exposure process and the immersion exposure process are completed. Then, the photosensitive film (Rg) formed on the substrate (W) is developed, and the photosensitive film (Rg) on the substrate (W) irradiated with the first exposure light (EL1) and the second exposure light (EL2). A substrate processing system (SYS) including a fourth processing device (37) for removing the first processing device (37).

本発明の第2の態様によれば、基板の周縁領域に撥液性の感光膜が形成された状態で液浸露光処理が実行されるので、基板の周囲に形成されるギャップからの液体の浸入を抑制でき、基板を良好に処理できる。また、基板の現像処理後は、基板の周縁領域の撥液性の感光膜が除去されているので、その後の基板の処理において、基板の周縁領域から感光膜が剥がれたりすることによってパーティクルが発生することも防止できる。   According to the second aspect of the present invention, since the liquid immersion exposure process is performed in a state where the liquid repellent photosensitive film is formed in the peripheral region of the substrate, the liquid from the gap formed around the substrate is removed. Infiltration can be suppressed and the substrate can be processed satisfactorily. In addition, since the liquid-repellent photosensitive film is removed from the peripheral area of the substrate after the development processing of the substrate, particles are generated when the photosensitive film is peeled off from the peripheral area of the substrate in the subsequent processing of the substrate. Can also be prevented.

本発明の第3の態様に従えば、上記態様の基板処理システム(SYS)を用いるデバイス製造方法が提供される。   According to the third aspect of the present invention, there is provided a device manufacturing method using the substrate processing system (SYS) of the above aspect.

本発明の第3の態様によれば、基板を良好に処理できる基板処理システムを用いて所望の性能を有するデバイスを製造できる。   According to the third aspect of the present invention, a device having desired performance can be manufactured using a substrate processing system that can process a substrate satisfactorily.

本発明によれば、デバイスを製造するための基板を良好に処理でき、所望の性能を有するデバイスを製造できる。   ADVANTAGE OF THE INVENTION According to this invention, the board | substrate for manufacturing a device can be processed favorably, and the device which has desired performance can be manufactured.

以下、本発明の実施形態について図面を参照しながら説明するが、本発明はこれに限定されない。なお、以下の説明においては、XYZ直交座標系を設定し、このXYZ直交座標系を参照しつつ各部材の位置関係について説明する。そして、水平面内の所定方向をX軸方向、水平面内においてX軸方向と直交する方向をY軸方向、X軸方向及びY軸方向のそれぞれに直交する方向(すなわち鉛直方向)をZ軸方向とする。また、X軸、Y軸、及びZ軸まわりの回転(傾斜)方向をそれぞれ、θX、θY、及びθZ方向とする。   Hereinafter, embodiments of the present invention will be described with reference to the drawings, but the present invention is not limited thereto. In the following description, an XYZ orthogonal coordinate system is set, and the positional relationship of each member will be described with reference to this XYZ orthogonal coordinate system. The predetermined direction in the horizontal plane is the X-axis direction, the direction orthogonal to the X-axis direction in the horizontal plane is the Y-axis direction, and the direction orthogonal to each of the X-axis direction and the Y-axis direction (that is, the vertical direction) is the Z-axis direction. To do. Further, the rotation (inclination) directions around the X axis, Y axis, and Z axis are the θX, θY, and θZ directions, respectively.

図1は、本実施形態に係る基板処理方法の一例を示すフローチャート図、図2〜図6は、図1の各ステップSA1〜SA5のそれぞれにおける基板の状態を示す模式図である。また、図2(B)〜図6(B)のそれぞれは、図2(A)〜図6(A)に示す基板Pの周縁部の近傍を拡大した図である。   FIG. 1 is a flowchart showing an example of a substrate processing method according to the present embodiment, and FIGS. 2 to 6 are schematic views showing the state of the substrate in each of steps SA1 to SA5 in FIG. Moreover, each of FIG. 2 (B)-FIG. 6 (B) is the figure which expanded the vicinity of the peripheral part of the board | substrate P shown to FIG. 2 (A)-FIG. 6 (A).

基板は、デバイス(デバイスパターン)を形成するために所定の処理が実行される基板であって、半導体ウエハ等の基材と、その基材上に形成される所定の材料層とを含む。以下の説明において、基材の表面(上面、側面、下面)に形成された材料層のうち、最も表層の材料層の表面(露出面)を適宜、基板の表面(上面、側面、下面)と言う。本実施形態においては、基板Pが、半導体ウエハ等の円形の基材Wと、その基材W上に形成される感光膜Rgとを含む場合を例にして説明する。   The substrate is a substrate on which a predetermined process is performed to form a device (device pattern), and includes a base material such as a semiconductor wafer and a predetermined material layer formed on the base material. In the following description, among the material layers formed on the surface (upper surface, side surface, and lower surface) of the base material, the surface (exposed surface) of the most surface layer is appropriately defined as the surface of the substrate (upper surface, side surface, and lower surface). To tell. In the present embodiment, a case where the substrate P includes a circular base material W such as a semiconductor wafer and a photosensitive film Rg formed on the base material W will be described as an example.

本実施形態の基板処理方法は、液体LQを介して基板Pの感光膜Rgを露光する液浸露光処理を含む。図1に示すように、基板処理方法は、液体LQに対して撥液性の感光膜Rgを基材W上に形成する膜形成処理を実行する工程(SA1)と、基板Pの感光膜Rgの一部を除去する膜除去処理を実行する工程(SA2)と、基板Pの周縁領域に第1露光光EL1を照射して、基板Pの感光膜Rgを露光する周縁露光処理を実行する工程(SA3)と、基板Pの感光膜Rgと液体LQとを接触させながら、基板Pの周縁領域の内側の、第1露光光EL1が照射されていない領域に液体LQを介して第2露光光EL2を照射し、基板Pの感光膜Rgを露光する液浸露光処理を実行する工程(SA4)と、液浸露光処理された基板Pを現像する現像処理を実行する工程(SA5)とを含む。   The substrate processing method of this embodiment includes an immersion exposure process in which the photosensitive film Rg of the substrate P is exposed via the liquid LQ. As shown in FIG. 1, the substrate processing method includes a step (SA1) of performing a film forming process for forming a liquid repellent photosensitive film Rg on the substrate W with respect to the liquid LQ, and a photosensitive film Rg on the substrate P. A step (SA2) of performing a film removal process for removing a part of the substrate, and a step of performing a peripheral exposure process for exposing the photosensitive film Rg of the substrate P by irradiating the peripheral region of the substrate P with the first exposure light EL1. (SA3) and the photosensitive film Rg of the substrate P and the liquid LQ are brought into contact with each other, and the second exposure light is passed through the liquid LQ to the region inside the peripheral region of the substrate P where the first exposure light EL1 is not irradiated. It includes a step (SA4) of performing an immersion exposure process for irradiating EL2 and exposing the photosensitive film Rg of the substrate P, and a step (SA5) for performing a development process for developing the substrate P subjected to the immersion exposure process. .

本実施形態においては、液浸露光処理SA4で使用する液体LQとして、水(純水)を用いる。また、本実施形態においては、膜除去処理SA2は、液浸露光処理SA4の前に実行される。現像処理SA5は、周縁露光処理SA3及び液浸露光処理SA4の両方が終了した後に実行される。現像処理SA5は、第1露光光EL1及び第2露光光EL2が照射された基板Pの感光膜Rgを除去する処理を含む。また、本実施形態においては、液浸露光処理SA4を実行する前に、周縁露光処理SA3が実行される。以下、各工程SA1〜SA5について説明する。   In the present embodiment, water (pure water) is used as the liquid LQ used in the immersion exposure processing SA4. In the present embodiment, the film removal process SA2 is executed before the immersion exposure process SA4. The development process SA5 is performed after both the edge exposure process SA3 and the liquid immersion exposure process SA4 are completed. The development process SA5 includes a process of removing the photosensitive film Rg of the substrate P irradiated with the first exposure light EL1 and the second exposure light EL2. In the present embodiment, the edge exposure process SA3 is executed before the immersion exposure process SA4. Hereinafter, each process SA1-SA5 is demonstrated.

(膜形成処理SA1)
はじめに、膜形成処理SA1について説明する。膜形成処理SA1は、基板Pの基材Wに感光膜Rgを形成する処理を含む。本実施形態においては、基材Wは、半導体ウエハを含み、基材Wの表面は、シリコン基板の表面を含む。感光膜Rgは、感光材(フォトレジスト)の膜である。本実施形態においては、感光膜Rgとして、液体(水)LQに対して撥液性(撥水性)を有する化学増幅型レジストを用いる。また、本実施形態の感光膜Rgは、露光光(第1露光光、第2露光光を含む)が照射された部分が現像処理によって除去されるポジ型レジストで形成される。例えば、感光膜Rgと液体LQとの接触角は70°以上、好ましくは90°以上である。
(Film formation treatment SA1)
First, the film formation process SA1 will be described. The film forming process SA1 includes a process of forming the photosensitive film Rg on the base material W of the substrate P. In the present embodiment, the base material W includes a semiconductor wafer, and the surface of the base material W includes the surface of a silicon substrate. The photosensitive film Rg is a film of a photosensitive material (photoresist). In the present embodiment, a chemically amplified resist having liquid repellency (water repellency) with respect to the liquid (water) LQ is used as the photosensitive film Rg. Further, the photosensitive film Rg of this embodiment is formed of a positive resist in which a portion irradiated with exposure light (including first exposure light and second exposure light) is removed by development processing. For example, the contact angle between the photosensitive film Rg and the liquid LQ is 70 ° or more, preferably 90 ° or more.

本実施形態においては、膜形成処理SA1は、スピンコーティング法を用いる。図2に示すように、基材W上には、スピンコーティング法に基づいて、感光膜Rgが形成される。感光膜Rgは、基材Wの上面、側面、及び下面の一部の領域に形成される。   In the present embodiment, the film formation process SA1 uses a spin coating method. As shown in FIG. 2, a photosensitive film Rg is formed on the substrate W based on a spin coating method. The photosensitive film Rg is formed on a part of the upper surface, side surface, and lower surface of the substrate W.

(膜除去処理SA2)
次に、膜除去処理SA2について説明する。膜除去処理SA2は、膜形成処理SA1が終了した後に実行される。膜除去処理SA2は、基材W上に形成された感光膜Rgの一部を除去する処理を含む。本実施形態では、膜除去処理SA2において、基材Wの下面に形成された感光膜Rg、及び基材Wの側面の下方の感光膜Rgが除去される。膜除去処理SA2は、基板Pの下面側から基板Pの周縁部に向けてアセトン、又はシンナー等を含む溶剤を吹き付けるリンス処理、いわゆるバックリンス処理を含む。これにより、図3に示すように、基材Wの上面、及び基材Wの側面の上方の感光膜Rgは除去されず、基板Pの上面、及び基板Pの側面の一部が感光膜Rgによって形成される。
(Film removal treatment SA2)
Next, the film removal process SA2 will be described. The film removal process SA2 is executed after the film formation process SA1 is completed. The film removal process SA2 includes a process of removing a part of the photosensitive film Rg formed on the substrate W. In the present embodiment, in the film removal process SA2, the photosensitive film Rg formed on the lower surface of the substrate W and the photosensitive film Rg below the side surface of the substrate W are removed. The film removal process SA2 includes a rinse process in which a solvent containing acetone or thinner is sprayed from the lower surface side of the substrate P toward the peripheral edge of the substrate P, so-called back rinse process. Thereby, as shown in FIG. 3, the upper surface of the base material W and the photosensitive film Rg above the side surface of the base material W are not removed, and the upper surface of the substrate P and a part of the side surface of the substrate P are exposed to the photosensitive film Rg. Formed by.

図7は、膜形成処理SA1及び膜除去処理SA2に用いる処理装置1の一例を示す図である。処理装置1は、例えば特開平9−213605号公報、特開2006−93409号公報(対応する米国特許出願公開第2006/0068110号)等に開示されているような、感光材の溶液をスピンコーティング法に基づいて塗布する塗布装置2、及びエッジリンス処理を実行可能なエッジリンス装置3を含み、感光膜Rgを基材W上に形成可能であるとともに、基材W上に形成された感光膜Rgの一部を除去可能である。図7に示す処理装置1は、基材Wの下面を保持可能な保持部材4と、保持部材4を回転する回転モータ5と、保持部材4に保持された基材Wの上面に感光材の溶液を供給可能な供給口6を有する第1ノズル7と、保持部材4に保持された基板Wの周縁部にエッジリンス用液体(溶剤)を供給可能な供給口8を有する第2ノズル9と、保持部材4の周囲に配置され、感光材の溶液、エッジリンス用液体を受けるカップ10とを備えている。カップ10の底には、飛散した感光材の溶液、エッジリンス用液体を集めて排出する排液口11と、飛散した感光材の溶液、エッジリンス用液体の微粒子が基板Pに再付着することを抑制するために、カップ10の内側の気体を排出する排気口12とが形成されている。   FIG. 7 is a diagram illustrating an example of the processing apparatus 1 used for the film formation process SA1 and the film removal process SA2. The processing apparatus 1 spin coats a solution of a photosensitive material as disclosed in, for example, JP-A-9-213605 and JP-A-2006-93409 (corresponding US Patent Application Publication No. 2006/0068110). A photosensitive film Rg formed on the substrate W and including the coating apparatus 2 for applying based on the method and the edge rinse apparatus 3 capable of performing an edge rinse process. A part of Rg can be removed. The processing apparatus 1 shown in FIG. 7 includes a holding member 4 that can hold the lower surface of the substrate W, a rotation motor 5 that rotates the holding member 4, and a photosensitive material on the upper surface of the substrate W held by the holding member 4. A first nozzle 7 having a supply port 6 capable of supplying a solution; a second nozzle 9 having a supply port 8 capable of supplying an edge rinse liquid (solvent) to the peripheral edge of the substrate W held by the holding member 4; And a cup 10 disposed around the holding member 4 and receiving a solution of a photosensitive material and an edge rinse liquid. At the bottom of the cup 10, the scattered solution of the photosensitive material and the drainage port 11 for collecting and discharging the edge rinse liquid, and the scattered photosensitive material solution and the fine particles of the edge rinse liquid are reattached to the substrate P. In order to suppress this, an exhaust port 12 for discharging the gas inside the cup 10 is formed.

基材W上に感光膜Rgを形成するとき、保持部材4に保持された基材Wの上面の中央と対向するように、第1ノズル7の供給口6が配置される。処理装置1は、回転モータ5を駆動して、保持部材4に保持された基材Wを回転しつつ、第1ノズル7の供給口6より感光材の溶液を基板Wの上面に供給することによって、基材W上に感光膜Rgを形成する。   When the photosensitive film Rg is formed on the substrate W, the supply port 6 of the first nozzle 7 is arranged so as to face the center of the upper surface of the substrate W held by the holding member 4. The processing apparatus 1 drives the rotary motor 5 to supply the photosensitive material solution to the upper surface of the substrate W from the supply port 6 of the first nozzle 7 while rotating the base material W held by the holding member 4. Thus, the photosensitive film Rg is formed on the substrate W.

また、エッジリンス(バックリンス)を実行するとき、保持部材4に保持された基板Pの下面の周縁領域と対向するように、第2ノズル9の供給口8が配置される。処理装置1は、回転モータ5を駆動して、保持部材4に保持された基板Pを回転しつつ、第2ノズル9の供給口8よりエッジリンス用液体を基板Pの下面の周縁領域に向けて供給することによって、少なくとも基板Pの下面の感光膜Rgを除去する。   Moreover, when performing edge rinse (back rinse), the supply port 8 of the 2nd nozzle 9 is arrange | positioned so that the peripheral area | region of the lower surface of the board | substrate P hold | maintained at the holding member 4 may be opposed. The processing apparatus 1 drives the rotary motor 5 to rotate the substrate P held by the holding member 4 and direct the edge rinse liquid from the supply port 8 of the second nozzle 9 toward the peripheral region on the lower surface of the substrate P. At least the photosensitive film Rg on the lower surface of the substrate P is removed.

なお、図7の処理装置1は、塗布装置2及びエッジリンス装置3を備えており、保持部材4に基材Wを保持したまま、膜形成処理SA1及び膜除去処理SA2を実行しているが、塗布装置2の保持部材とエッジリンス装置3の保持部材とを異なる位置に設け、膜形成処理後、塗布装置2の保持部材から基材W(基板P)をアンロードするとともに、エッジリンス装置3の保持部材にロードし、膜除去処理を実行してもよい。   7 includes the coating device 2 and the edge rinse device 3 and performs the film forming process SA1 and the film removing process SA2 while holding the substrate W on the holding member 4. The holding member of the coating apparatus 2 and the holding member of the edge rinse apparatus 3 are provided at different positions, and after the film formation process, the substrate W (substrate P) is unloaded from the holding member of the coating apparatus 2 and the edge rinse apparatus Alternatively, the film removal process may be executed by loading to the holding member 3.

また、後述の液浸露光処理において、基板Pの周囲のギャップからの液体LQの浸入が抑制可能であれば、基板Pの側面の一部(上方)の感光膜Rgも除去しても良い。   Further, in the immersion exposure process described later, if the liquid LQ can be prevented from entering from the gap around the substrate P, the photosensitive film Rg on a part (upper side) of the side surface of the substrate P may also be removed.

(周縁露光処理SA3)
次に、周縁露光処理SA3について説明する。周縁露光処理SA3は、膜除去処理SA2が終了した後に実行される。周縁露光処理SA3は、基板Pの周縁領域に第1露光光EL1を照射する処理を含む。第1露光光EL1が照射される基板Pの周縁領域は、輪帯状(環状)の領域であって、基板Pの上面の周縁領域、及び基板Pの側面を含む。図4に示すように、周縁露光処理SA3によって、基板Pの上面の周縁領域の感光膜Rg、及び基板Pの側面の感光膜Rgが、第1露光光EL1によって露光される。第1露光光EL1は、パターンを介さないで基板Pの周縁領域の感光膜Rgに照射される。
(Edge exposure processing SA3)
Next, the edge exposure process SA3 will be described. The peripheral edge exposure process SA3 is executed after the film removal process SA2 is completed. The peripheral exposure process SA3 includes a process of irradiating the peripheral region of the substrate P with the first exposure light EL1. The peripheral region of the substrate P irradiated with the first exposure light EL1 is a ring-shaped (annular) region, and includes the peripheral region of the upper surface of the substrate P and the side surface of the substrate P. As shown in FIG. 4, the peripheral exposure process SA3 exposes the photosensitive film Rg in the peripheral region on the upper surface of the substrate P and the photosensitive film Rg on the side surface of the substrate P with the first exposure light EL1. The first exposure light EL1 is applied to the photosensitive film Rg in the peripheral region of the substrate P without passing through a pattern.

図8は、周縁露光処理SA3に用いる処理装置13の一例を示す図である。処理装置13は、例えば特開平6−275516号公報(対応する米国特許第5,420,663号)等に開示されているような、基板Pの周縁領域に所定の露光光を照射して、基板Pの感光膜Rgを露光する周縁露光装置を含む。図8に示す周縁露光装置13は、基板Pの下面を保持可能な保持部材14と、保持部材14を回転する回転モータ15と、回転モータ15の回転位置に応じた信号を出力する回転位置検出器16とを備える。また、周縁露光装置13は、第1露光光EL1を射出する光源装置17と、光源装置17からの第1露光光EL1を保持部材14に保持された基板Pの周縁領域に導く光学系を含む照射部18とを備える。照射部18の射出面19から射出された第1露光光EL1は、第1露光光EL1を整形する絞り部材20を介して、基板Pの周縁領域に照射される。照射部18の射出面19と対向する位置には、照射部18から射出された第1露光光EL1を受光可能な受光面21を有し、受光状態に応じた信号を出力する受光部22が配置されている。周縁露光装置13は、照射部18の射出面19と受光部22の受光面21との間に基板Pの周縁領域を配置した状態で、受光部22の受光結果をモニタしながら、基板Pの下面を保持した保持部材14を回転しつつ、基板Pの周縁領域に第1露光光ELを照射することによって、基板Pの周縁領域の感光膜Rgを露光する。本実施形態においては、射出面19から射出される第1露光光EL1として、ArFエキシマレーザ光(波長193nm)が用いられる。   FIG. 8 is a diagram illustrating an example of the processing apparatus 13 used for the peripheral edge exposure processing SA3. The processing apparatus 13 irradiates the peripheral area of the substrate P with predetermined exposure light as disclosed in, for example, Japanese Patent Laid-Open No. 6-275516 (corresponding US Pat. No. 5,420,663), etc. A peripheral exposure apparatus that exposes the photosensitive film Rg of the substrate P is included. The peripheral exposure apparatus 13 shown in FIG. 8 has a holding member 14 that can hold the lower surface of the substrate P, a rotation motor 15 that rotates the holding member 14, and a rotation position detection that outputs a signal corresponding to the rotation position of the rotation motor 15. Instrument 16. The peripheral exposure device 13 includes a light source device 17 that emits the first exposure light EL1 and an optical system that guides the first exposure light EL1 from the light source device 17 to the peripheral region of the substrate P held by the holding member 14. And an irradiation unit 18. The first exposure light EL1 emitted from the emission surface 19 of the irradiating unit 18 is applied to the peripheral area of the substrate P through the diaphragm member 20 that shapes the first exposure light EL1. A light receiving unit 22 that has a light receiving surface 21 that can receive the first exposure light EL1 emitted from the irradiation unit 18 at a position facing the emission surface 19 of the irradiation unit 18 and outputs a signal corresponding to the light receiving state. Has been placed. The peripheral exposure device 13 monitors the light reception result of the light receiving unit 22 while the peripheral region of the substrate P is disposed between the emission surface 19 of the irradiation unit 18 and the light receiving surface 21 of the light receiving unit 22. By irradiating the peripheral area of the substrate P with the first exposure light EL while rotating the holding member 14 holding the lower surface, the photosensitive film Rg in the peripheral area of the substrate P is exposed. In the present embodiment, ArF excimer laser light (wavelength 193 nm) is used as the first exposure light EL1 emitted from the emission surface 19.

(液浸露光処理SA4)
次に、液浸露光処理SA4について説明する。液浸露光処理SA4は、周縁露光処理SA3が終了した後に実行される。液浸露光処理SA4は、基板Pの感光膜Rgと液体LQとを接触させながら、基板Pの周縁領域の内側の、周縁露光処理SA3において第1露光光EL1が照射されていない中央領域に液体LQを介して第2露光光EL2を照射する処理を含む。基板Pの中央領域は、基板Pの上面の周縁領域の内側の円形領域であって、周縁露光処理SA3において第1露光光EL1が照射されていない領域を含む。図5に示すように、液浸露光処理SA4によって、基板Pの上面の中央領域の感光膜Rgが、第2露光光EL2によって露光される。本実施形態においては、第2露光光EL2は、パターンを介して基板Pの中央領域の感光膜Rgに照射される。基板Pの中央領域の感光膜Rgは、パターン化された第2露光光EL2によって照射される。
(Immersion exposure treatment SA4)
Next, the immersion exposure process SA4 will be described. The immersion exposure process SA4 is executed after the peripheral edge exposure process SA3 is completed. In the immersion exposure processing SA4, a liquid is applied to the central region that is not irradiated with the first exposure light EL1 in the peripheral exposure processing SA3 inside the peripheral region of the substrate P while contacting the photosensitive film Rg of the substrate P and the liquid LQ. The process which irradiates 2nd exposure light EL2 via LQ is included. The central region of the substrate P is a circular region inside the peripheral region on the upper surface of the substrate P, and includes a region where the first exposure light EL1 is not irradiated in the peripheral exposure processing SA3. As shown in FIG. 5, the photosensitive film Rg in the central region of the upper surface of the substrate P is exposed with the second exposure light EL2 by the immersion exposure processing SA4. In the present embodiment, the second exposure light EL2 is applied to the photosensitive film Rg in the central region of the substrate P through a pattern. The photosensitive film Rg in the central region of the substrate P is irradiated with the patterned second exposure light EL2.

図9は、液浸露光処理SA4に用いる処理装置EXの一例を示す図である。処理装置EXは、例えば国際公開第99/49504号パンフレット、特開2005−109426号(対応する米国特許公開第2005/0280791号)、国際公開第2005/024517号パンフレット等に開示されているような、液体LQを介して基板Pに露光光を照射して、基板P上の感光膜Rgを露光する液浸露光装置を含む。図9に示す液浸露光装置EXは、デバイスを製造するためのパターンを有するマスクMを保持して移動可能なマスクステージ23と、基板Pを保持する基板ホルダ24Hを有し、基板ホルダ24Hに基板Pを保持して移動可能な基板ステージ24と、マスクステージ23に保持されているマスクMを第2露光光EL2で照明する照明系ILと、第2露光光EL2で照明されたマスクMのパターンの像を基板P上に投影する投影光学系PLとを備えている。マスクMは、基板P上に縮小投影されるデバイスパターンが形成されたレチクルを含む。本実施形態においては、マスクMとして透過型のマスクを用いるが、反射型のマスクを用いてもよい。   FIG. 9 is a diagram showing an example of the processing apparatus EX used for the immersion exposure processing SA4. The processing apparatus EX is disclosed in, for example, International Publication No. 99/49504 pamphlet, Japanese Patent Application Laid-Open No. 2005-109426 (corresponding US Patent Publication No. 2005/0280791), International Publication No. 2005/024517 pamphlet, and the like. And an immersion exposure apparatus that exposes the photosensitive film Rg on the substrate P by irradiating the substrate P with exposure light through the liquid LQ. An immersion exposure apparatus EX shown in FIG. 9 has a mask stage 23 that can move while holding a mask M having a pattern for manufacturing a device, and a substrate holder 24H that holds a substrate P. A substrate stage 24 that is movable while holding the substrate P, an illumination system IL that illuminates the mask M held on the mask stage 23 with the second exposure light EL2, and a mask M that is illuminated with the second exposure light EL2. And a projection optical system PL that projects an image of the pattern onto the substrate P. The mask M includes a reticle on which a device pattern to be reduced and projected on the substrate P is formed. In the present embodiment, a transmissive mask is used as the mask M, but a reflective mask may be used.

液浸露光装置EXは、露光光ELの光路空間を液体LQで満たすノズル部材25を備えている。例えば、基板Pに第2露光光EL2が照射されているとき、投影光学系PLの複数の光学素子のうち、投影光学系PLの像面に最も近い終端光学素子26の下面と、その終端光学素子26の下面と対向する位置に配置された基板Pの上面との間の第2露光光EL2の露光光ELの光路空間を液体LQで満たすように、ノズル部材25と基板Pの上面との間に液体LQの液浸空間LSが形成される。   The immersion exposure apparatus EX includes a nozzle member 25 that fills the optical path space of the exposure light EL with the liquid LQ. For example, when the substrate P is irradiated with the second exposure light EL2, the lower surface of the terminal optical element 26 closest to the image plane of the projection optical system PL and the terminal optical element among the plurality of optical elements of the projection optical system PL. Between the nozzle member 25 and the upper surface of the substrate P, the optical path space of the exposure light EL of the second exposure light EL2 between the lower surface of the element 26 and the upper surface of the substrate P disposed at a position facing the element 26 is filled with the liquid LQ. An immersion space LS for the liquid LQ is formed therebetween.

本実施形態においては、液浸露光装置EXは、基板Pの上面の一部の領域(局所的な領域)が液体LQで覆われるように、ノズル部材25と基板Pの上面との間に液浸空間LSを形成する。すなわち、本実施形態の液浸露光装置EXは、基板Pの露光中に、投影光学系PLの投影領域を含む基板Pの感光膜Rgの一部の領域が液体LQで覆われるように、ノズル部材25と基板Pの上面との間に液浸空間LSを形成する局所液浸方式を採用している。液浸露光装置EXは、基板Pの感光膜Rgの一部の領域に液体LQの液浸領域を形成して、その液浸領域の液体LQを介して基板Pの感光膜Rgに第2露光光EL2を照射する。なお、ノズル部材25は、基板Pのみならず、第2露光光EL2が射出される終端光学素子26の下面(射出面)27と対向する位置に配置された物体の上面との間で液浸空間LSを形成可能である。例えば、ノズル部材25は、基板ステージ24の上面24Fとの間で液浸空間LSを形成可能である。   In the present embodiment, the immersion exposure apparatus EX uses a liquid between the nozzle member 25 and the upper surface of the substrate P so that a partial region (local region) on the upper surface of the substrate P is covered with the liquid LQ. The immersion space LS is formed. In other words, the immersion exposure apparatus EX of the present embodiment is configured such that during exposure of the substrate P, a portion of the photosensitive film Rg of the substrate P including the projection region of the projection optical system PL is covered with the liquid LQ. A local liquid immersion method in which the liquid immersion space LS is formed between the member 25 and the upper surface of the substrate P is adopted. The immersion exposure apparatus EX forms an immersion region of the liquid LQ in a partial region of the photosensitive film Rg of the substrate P, and the second exposure is performed on the photosensitive film Rg of the substrate P via the liquid LQ of the immersion region. Irradiate light EL2. The nozzle member 25 is not only immersed between the substrate P and the upper surface of the object disposed at a position facing the lower surface (exit surface) 27 of the last optical element 26 from which the second exposure light EL2 is emitted. The space LS can be formed. For example, the nozzle member 25 can form an immersion space LS with the upper surface 24F of the substrate stage 24.

照明系ILは、マスクM上の所定の照明領域を均一な照度分布の第2露光光EL2で照明する。本実施形態においては、照明系ILから射出される第2露光光EL2として、ArFエキシマレーザ光(波長193nm)が用いられる。   The illumination system IL illuminates a predetermined illumination area on the mask M with the second exposure light EL2 having a uniform illuminance distribution. In the present embodiment, ArF excimer laser light (wavelength 193 nm) is used as the second exposure light EL2 emitted from the illumination system IL.

本実施形態においては、周縁露光処理SA3(周縁露光装置13)で用いる第1露光光EL1と、液浸露光処理SA4(液浸露光装置EX)で用いる第2露光光EL2とは、同じ波長である。これにより、基板Pの周縁領域及び中央領域の両方において、感光膜Rgは第1露光光EL1及び第2露光光EL2によって露光(感光)可能である。   In the present embodiment, the first exposure light EL1 used in the edge exposure process SA3 (edge exposure apparatus 13) and the second exposure light EL2 used in the liquid immersion exposure process SA4 (immersion exposure apparatus EX) have the same wavelength. is there. Thereby, the photosensitive film Rg can be exposed (photosensitized) by the first exposure light EL1 and the second exposure light EL2 in both the peripheral region and the central region of the substrate P.

マスクステージ23は、リニアモータ等のアクチュエータを含む駆動システムにより、マスクMを保持した状態で、X軸、Y軸、及びθZ方向に移動可能である。マスクステージ23(マスクM)のX軸、Y軸、及びθZ方向の位置情報は不図示のレーザ干渉計によって計測される。駆動システムは、レーザ干渉計の計測結果に基づいてマスクステージ23を駆動し、マスクステージ23に保持されているマスクMの位置制御を行う。   The mask stage 23 is movable in the X-axis, Y-axis, and θZ directions while holding the mask M by a drive system including an actuator such as a linear motor. Position information of the mask stage 23 (mask M) in the X-axis, Y-axis, and θZ directions is measured by a laser interferometer (not shown). The drive system drives the mask stage 23 based on the measurement result of the laser interferometer, and controls the position of the mask M held on the mask stage 23.

投影光学系PLは、マスクMのパターンの像を所定の投影倍率で基板Pに投影する。本実施形態の投影光学系PLは、その投影倍率が例えば1/4、1/5、又は1/8等の縮小系である。なお、投影光学系PLは等倍系及び拡大系のいずれでもよい。また、投影光学系PLは、反射光学素子を含まない屈折系、屈折光学素子を含まない反射系、反射光学素子と屈折光学素子とを含む反射屈折系のいずれであってもよい。また、投影光学系PLは、倒立像と正立像とのいずれを形成してもよい。   The projection optical system PL projects an image of the pattern of the mask M onto the substrate P at a predetermined projection magnification. The projection optical system PL of the present embodiment is a reduction system whose projection magnification is, for example, 1/4, 1/5, or 1/8. Note that the projection optical system PL may be either an equal magnification system or an enlargement system. The projection optical system PL may be any of a refractive system that does not include a reflective optical element, a reflective system that does not include a refractive optical element, and a catadioptric system that includes a reflective optical element and a refractive optical element. Further, the projection optical system PL may form either an inverted image or an erect image.

基板ステージ24は、基板Pを保持する基板ホルダ24Hと、基板ホルダ24Hの周囲に配置され、終端光学素子26の下面27と対向可能な上面24Fとを有する。基板ホルダ24Hは、基板ステージ24上に設けられた凹部24Rに配置されている。基板ホルダ24Hは、基板Pの上面とXY平面とがほぼ平行となるように、基板Pを保持する。また、基板ステージ24の上面24Fは、XY平面とほぼ平行な平坦面である。基板ホルダ24Hに保持された基板Pの上面と基板ステージ24の上面24Fとは、ほぼ面一である。上面24Fは、例えばフッ素を含む材料で形成されており、液体LQに対して撥液性を有する。上述のように、ノズル部材25は、基板Pのみならず、基板ステージ24の上面24Fとの間においても液浸空間LSを形成可能であり、例えば、基板Pの中央領域のエッジ付近を第2露光光EL2で露光するときに、液体LQは、上面24Fに接触する。   The substrate stage 24 includes a substrate holder 24H that holds the substrate P, and an upper surface 24F that is disposed around the substrate holder 24H and can face the lower surface 27 of the terminal optical element 26. The substrate holder 24H is disposed in a recess 24R provided on the substrate stage 24. The substrate holder 24H holds the substrate P so that the upper surface of the substrate P and the XY plane are substantially parallel. Further, the upper surface 24F of the substrate stage 24 is a flat surface substantially parallel to the XY plane. The upper surface of the substrate P held by the substrate holder 24H and the upper surface 24F of the substrate stage 24 are substantially flush with each other. The upper surface 24F is made of, for example, a material containing fluorine and has liquid repellency with respect to the liquid LQ. As described above, the nozzle member 25 can form the immersion space LS not only with the substrate P but also with the upper surface 24F of the substrate stage 24. For example, the nozzle member 25 has a second region around the edge of the central region of the substrate P. When the exposure is performed with the exposure light EL2, the liquid LQ comes into contact with the upper surface 24F.

基板ステージ24は、リニアモータ等のアクチュエータを含む駆動システムにより、基板ホルダ24Hに基板Pを保持した状態で、定盤28上で、X軸、Y軸、Z軸、θX、θY、及びθZ方向の6自由度の方向に移動可能である。基板ステージ24(基板P)のX軸、Y軸、及びθZ方向の位置情報は不図示のレーザ干渉計によって計測され、基板ステージ24に保持されている基板Pの表面の面位置情報(Z軸、θX、及びθY方向に関する位置情報)は、フォーカス・レベリング検出システムによって検出される。駆動システムは、レーザ干渉計の計測結果及びフォーカス・レベリング検出システムの検出結果に基づいて、基板ステージ24に保持されている基板Pの位置制御を行う。   The substrate stage 24 is in the X-axis, Y-axis, Z-axis, θX, θY, and θZ directions on the surface plate 28 with the substrate P held by the substrate holder 24H by a drive system including an actuator such as a linear motor. It is possible to move in the direction of 6 degrees of freedom. Position information of the substrate stage 24 (substrate P) in the X-axis, Y-axis, and θZ directions is measured by a laser interferometer (not shown), and surface position information (Z-axis) of the surface of the substrate P held on the substrate stage 24. , ΘX, and θY directions) is detected by a focus / leveling detection system. The drive system controls the position of the substrate P held on the substrate stage 24 based on the measurement result of the laser interferometer and the detection result of the focus / leveling detection system.

図10は、ノズル部材25の近傍を示す断面図である。ノズル部材25は、終端光学素子26と基板Pとの間の露光光ELの光路空間に液体LQを供給する供給口29と、液体LQを回収する回収口30とを有している。供給口29は流路を介して液体供給装置と接続されている。液体供給装置は、清浄で温度調整された液体LQを供給口29に供給可能である。回収口30は流路を介して真空システムを含む液体回収装置に接続されている。液体回収装置は、回収口30を介して、液浸空間LSの液体LQを回収可能である。また、本実施形態においては、回収口30には多孔部材(メッシュ)31が配置されている。   FIG. 10 is a cross-sectional view showing the vicinity of the nozzle member 25. The nozzle member 25 has a supply port 29 for supplying the liquid LQ to the optical path space of the exposure light EL between the terminal optical element 26 and the substrate P, and a recovery port 30 for recovering the liquid LQ. The supply port 29 is connected to the liquid supply device through a flow path. The liquid supply device can supply clean and temperature-adjusted liquid LQ to the supply port 29. The recovery port 30 is connected to a liquid recovery apparatus including a vacuum system via a flow path. The liquid recovery apparatus can recover the liquid LQ in the immersion space LS via the recovery port 30. In the present embodiment, a porous member (mesh) 31 is disposed in the recovery port 30.

液浸露光装置EXは、液浸空間LSを形成するために、供給口29の液体供給動作と回収口30の液体回収動作とを並行して行う。ノズル部材25は、終端光学素子26の射出面27と基板Pの上面との間の露光光ELの光路空間を供給口9から供給された液体LQで満たすように液浸空間LSを形成する。   The immersion exposure apparatus EX performs the liquid supply operation of the supply port 29 and the liquid recovery operation of the recovery port 30 in parallel to form the immersion space LS. The nozzle member 25 forms an immersion space LS so that the optical path space of the exposure light EL between the exit surface 27 of the last optical element 26 and the upper surface of the substrate P is filled with the liquid LQ supplied from the supply port 9.

露光装置EXは、少なくともマスクMのパターンの像を基板Pに投影している間、ノズル部材25を用いて、露光光ELの光路空間を液体LQで満たす。露光装置EXは、基板Pの感光膜Rgと液体LQとを接触させながら、基板Pの上面の中央領域の感光膜Rgに、マスクMのパターンを介した第2露光光EL2を、投影光学系PLと液浸空間LSの液体LQとを介して照射する。これにより、基板Pの感光膜Rgは露光され、マスクMのパターンの像が基板P上に投影される。   The exposure apparatus EX uses the nozzle member 25 to fill the optical path space of the exposure light EL with the liquid LQ while projecting at least the pattern image of the mask M onto the substrate P. The exposure apparatus EX applies the second exposure light EL2 through the pattern of the mask M to the photosensitive film Rg in the central region on the upper surface of the substrate P while bringing the photosensitive film Rg of the substrate P into contact with the liquid LQ. Irradiation is performed through the PL and the liquid LQ in the immersion space LS. Thereby, the photosensitive film Rg of the substrate P is exposed, and an image of the pattern of the mask M is projected onto the substrate P.

基板Pの上面の中央領域のエッジ付近を露光するとき、あるいは液浸空間LS(液浸領域)を基板ステージ24の上面24Fに移動するとき等においては、図10に示すように、液浸領域が、基板ホルダ24Hに保持された基板Pの上面と、その基板Pの周囲に設けられた基板ステージ24の上面24Fとの間のギャップ32上に配置される。本実施形態においては、基板ステージ24の上面24Fは撥液性を有し、基板Pの上面及び側面の上方も、撥液性を有する感光膜Rgによって形成されているので、ギャップ32への液体LQの浸入を抑制できる。   When exposing the vicinity of the edge of the central region of the upper surface of the substrate P or moving the immersion space LS (immersion region) to the upper surface 24F of the substrate stage 24, as shown in FIG. Is disposed on the gap 32 between the upper surface of the substrate P held by the substrate holder 24H and the upper surface 24F of the substrate stage 24 provided around the substrate P. In the present embodiment, the upper surface 24F of the substrate stage 24 has liquid repellency, and the upper surface and the side surface of the substrate P are also formed by the photosensitive film Rg having liquid repellency. LQ penetration can be suppressed.

また、基板ホルダ24Hは、周壁(シール部材)33を有しており、基板Pの下面と周壁33で囲まれた空間を負圧空間とすることによって、基板Pが基板ホルダ24Hに吸着保持される。なお、本実施形態においては、周壁33の上面が基板Pの下面と接触するように、基板Pが基板ホルダ24Hに保持されている。なお、本実施形態においては、膜除去処理SA2によって、基板ホルダ24Hの周壁33の上面と接触する基板Pの下面から感光膜Rgが除去されており、基板Pの下面には感光膜Rgが形成されていないので、感光膜Rgに起因するパーティクルの発生を抑制できる。   The substrate holder 24H has a peripheral wall (seal member) 33, and the space surrounded by the lower surface of the substrate P and the peripheral wall 33 is a negative pressure space, whereby the substrate P is attracted and held by the substrate holder 24H. The In the present embodiment, the substrate P is held by the substrate holder 24H so that the upper surface of the peripheral wall 33 is in contact with the lower surface of the substrate P. In the present embodiment, the photosensitive film Rg is removed from the lower surface of the substrate P in contact with the upper surface of the peripheral wall 33 of the substrate holder 24H by the film removal process SA2, and the photosensitive film Rg is formed on the lower surface of the substrate P. Therefore, generation of particles due to the photosensitive film Rg can be suppressed.

また、図11に示すように、本実施形態の基板ステージ24は、例えば特開2005−12009号公報等に開示されているような、基板Pの下面を保持して昇降可能なリフトピン34を含む昇降機構35を備えている。基板搬送装置36を用いて基板ホルダ24Hに対して基板Pを搬入(ロード)又は搬出(アンロード)するときには、リフトピ34は、基板Pの下面の中央部を支持して昇降する。基板Pの下面の中央部には感光膜Rgが形成されていないので、基板Pの感光膜Rgとリフトピン34とは接触しない。したがって、基板ホルダ24Hに対して基板Pをロード又はアンロードするときにおいても、感光膜Rgに起因するパーティクルの発生が抑制される。また、液浸露光装置EXの基板搬送装置36も、基板Pの下面の中央部を支持しながらその基板Pを搬送するので、基板Pの感光膜Rgと基板搬送装置36とは接触しない。   As shown in FIG. 11, the substrate stage 24 of the present embodiment includes lift pins 34 that can move up and down while holding the lower surface of the substrate P as disclosed in, for example, JP-A-2005-12009. An elevating mechanism 35 is provided. When loading (loading) or unloading (unloading) the substrate P with respect to the substrate holder 24 </ b> H using the substrate transfer device 36, the lift pipe 34 moves up and down while supporting the central portion of the lower surface of the substrate P. Since the photosensitive film Rg is not formed at the center of the lower surface of the substrate P, the photosensitive film Rg of the substrate P and the lift pins 34 are not in contact with each other. Accordingly, even when the substrate P is loaded or unloaded from the substrate holder 24H, the generation of particles due to the photosensitive film Rg is suppressed. Further, since the substrate transport device 36 of the immersion exposure apparatus EX also transports the substrate P while supporting the central portion of the lower surface of the substrate P, the photosensitive film Rg of the substrate P and the substrate transport device 36 do not come into contact with each other.

(現像処理SA5)
次に、現像処理SA5について説明する。現像処理SA5は、周縁露光処理SA3及び液浸露光処理SA4の両方が終了した後に実行される。本実施形態の感光膜Rgは、ポジ型レジストで形成されており、現像処理SA5は、現像液を用いて、第1露光光EL1及び第2露光光EL2が照射された基板P上の感光膜Rgを除去する。図6に示すように、現像処理SA5によって、第1露光光EL1が照射された基板Pの周縁領域の感光膜Rg、及び第2露光光EL2が照射された基板Pの上面の中央領域の一部の感光膜Rgが除去される。現像処理SA5は、周縁露光処理SA3及び液浸露光処理SA4の両方が終了した後に実行されるので、その現像処理SA5によって、第1露光光EL1が照射された部分と第2露光光EL2が照射された部分とが一括して除去される。
(Development SA5)
Next, the development process SA5 will be described. The development process SA5 is performed after both the edge exposure process SA3 and the liquid immersion exposure process SA4 are completed. The photosensitive film Rg of the present embodiment is formed of a positive resist, and the development processing SA5 is a photosensitive film on the substrate P irradiated with the first exposure light EL1 and the second exposure light EL2 using a developer. Rg is removed. As shown in FIG. 6, by the development process SA5, the photosensitive film Rg in the peripheral region of the substrate P irradiated with the first exposure light EL1 and the central region of the upper surface of the substrate P irradiated with the second exposure light EL2 Part of the photosensitive film Rg is removed. Since the development processing SA5 is executed after both the edge exposure processing SA3 and the liquid immersion exposure processing SA4 are completed, the portion exposed to the first exposure light EL1 and the second exposure light EL2 are irradiated by the development processing SA5. The removed portion is removed at once.

図12は、現像処理SA5に用いる処理装置37の一例を示す図である。処理装置37は、例えば特開2006−60084号(対応する米国特許出願公開第2006/0040051号)公報等に開示されているような、露光された後の感光膜Rgに現像液を供給して、露光光が照射された部分の感光膜Rgを除去する現像装置を含む。図12に示す現像装置37は、露光された後の基板Pの下面を保持可能な保持部材38と、保持部材38を回転する回転モータ39と、保持部材38に保持された基板Pに現像液を供給可能な供給口40を有する第3ノズル41と、保持部材38の周囲に配置され、現像液を受けるカップ42とを備えている。カップ42の底には、現像液を集めて排出する排液口43が形成されている。   FIG. 12 is a diagram illustrating an example of the processing device 37 used for the development processing SA5. The processing device 37 supplies a developing solution to the exposed photosensitive film Rg as disclosed in, for example, JP-A-2006-60084 (corresponding US Patent Application Publication No. 2006/0040051). And a developing device for removing the photosensitive film Rg in the portion irradiated with the exposure light. The developing device 37 shown in FIG. 12 has a holding member 38 that can hold the lower surface of the substrate P after exposure, a rotation motor 39 that rotates the holding member 38, and a developer on the substrate P held by the holding member 38. A third nozzle 41 having a supply port 40 capable of supplying the liquid and a cup 42 disposed around the holding member 38 and receiving the developer. A drain port 43 for collecting and discharging the developer is formed at the bottom of the cup 42.

露光された後の基板Pを現像するとき、保持部材38に保持された基板Pの上面の中央と対向するように、第3ノズル41の供給口40が配置される。現像装置37は、回転モータ39を駆動して、保持部材38に保持された基板Pを回転しつつ、第3ノズル41の供給口40より現像液を基板Pに供給することによって、基板Pを現像する。   When developing the exposed substrate P, the supply port 40 of the third nozzle 41 is disposed so as to face the center of the upper surface of the substrate P held by the holding member 38. The developing device 37 drives the rotation motor 39 to rotate the substrate P held by the holding member 38 and supply the developing solution to the substrate P from the supply port 40 of the third nozzle 41, thereby causing the substrate P to move. develop.

現像処理SA5によって、基板Pの上面の中央領域においては、マスクMのパターンに応じた感光膜Rgの一部分が除去される。また、基板Pの周縁領域においては、その周縁領域に形成されている全ての感光膜Rgが除去される。   By the development process SA5, a part of the photosensitive film Rg corresponding to the pattern of the mask M is removed in the central region of the upper surface of the substrate P. Further, in the peripheral region of the substrate P, all the photosensitive film Rg formed in the peripheral region is removed.

現像処理SA5後、エッチング処理を含む所定の処理を実行することにより、基板P上には、マスクMのパターンに応じたパターン(デバイスパターン)が形成される。現像処理SA5後において、例えば基板Pを搬送する搬送装置等の部材が、基板Pの周縁領域に接触する可能性があるが、基板Pの周縁領域の感光膜Rgは、現像処理SA5において除去されているので、感光膜Rgに起因するパーティクルの発生が抑制されている。   A pattern (device pattern) corresponding to the pattern of the mask M is formed on the substrate P by executing predetermined processing including etching processing after the development processing SA5. After the development processing SA5, for example, a member such as a transport device that transports the substrate P may come into contact with the peripheral region of the substrate P. However, the photosensitive film Rg in the peripheral region of the substrate P is removed in the development processing SA5. Therefore, the generation of particles due to the photosensitive film Rg is suppressed.

なお、膜形成処理SA1を実行した後、液浸露光処理SA4を実行する前、液浸露光処理SA4を実行した後、現像処理SA5を実行する前、現像処理SA5を実行した後など、所定のタイミングで、必要に応じて、ベーク処理等の所定の処理が実行される。   In addition, after executing the film formation process SA1, before executing the immersion exposure process SA4, after executing the immersion exposure process SA4, before executing the development process SA5, after executing the development process SA5, etc. At the timing, a predetermined process such as a baking process is executed as necessary.

図13は、上述の処理装置1、周縁露光装置13、液浸露光装置EX、及び現像装置37を備えた基板処理システムSYSの一例を示す模式図である。各処理装置間においては、基材W,基板Pは搬送装置によって搬送される。   FIG. 13 is a schematic diagram illustrating an example of a substrate processing system SYS including the processing apparatus 1, the edge exposure apparatus 13, the immersion exposure apparatus EX, and the development apparatus 37 described above. Between each processing apparatus, the base material W and the board | substrate P are conveyed by the conveying apparatus.

図13に示す基板処理システムSYSは、液浸露光装置EXと、その液浸露光装置EXに接続され、上述の処理装置1、周縁露光装置13、及び現像装置37を含むコータ・デベロッパ装置CDとを含む。液浸露光装置EXとコータ・デベロッパ装置CDとはインターフェースIFを介して接続されており、基板Pは不図示の搬送装置により、液浸露光装置EXとコータ・デベロッパ装置CDとの間でインターフェースIFを介して搬送可能である。   A substrate processing system SYS shown in FIG. 13 includes an immersion exposure apparatus EX and a coater / developer apparatus CD that is connected to the immersion exposure apparatus EX and includes the processing apparatus 1, the edge exposure apparatus 13, and the development apparatus 37 described above. including. The immersion exposure apparatus EX and the coater / developer apparatus CD are connected via an interface IF, and the substrate P is interfaced between the immersion exposure apparatus EX and the coater / developer apparatus CD by a transport apparatus (not shown). Can be conveyed via

以上説明したように、本実施形態によれば、液体LQに対して撥液性の感光膜Rgで基板Pの周縁領域の表面を形成するようにしたので、その基板Pを基板ホルダ24Hで保持した状態で液浸露光を行った場合でも、基板Pの周縁領域の周囲に形成されるギャップ32を介して液体LQが浸入することを抑制できる。また、基板ホルダ24Hに保持された基板Pの周縁領域と所定のギャップ32を介して対向する基板ステージ24の上面24Fも液体LQに対して撥液性を有しており、基板Pの上面と基板ステージ24の上面24Fとはほぼ面一なので、ギャップ32を介して液体LQが浸入することを良好に抑制できる。したがって、液体LQの浸入に起因して基板Pの下面が濡れたり、基板ホルダ24Hが濡れたりする等の不具合の発生を抑制できる。   As described above, according to the present embodiment, since the surface of the peripheral region of the substrate P is formed by the photosensitive film Rg that is liquid repellent with respect to the liquid LQ, the substrate P is held by the substrate holder 24H. Even when immersion exposure is performed in this state, it is possible to prevent the liquid LQ from entering through the gap 32 formed around the peripheral region of the substrate P. Further, the upper surface 24F of the substrate stage 24 facing the peripheral region of the substrate P held by the substrate holder 24H with a predetermined gap 32 is also liquid repellent with respect to the liquid LQ, Since the upper surface 24F of the substrate stage 24 is substantially flush with the upper surface 24F, it is possible to satisfactorily suppress the liquid LQ from entering through the gap 32. Therefore, it is possible to suppress the occurrence of problems such as the lower surface of the substrate P getting wet or the substrate holder 24H getting wet due to the penetration of the liquid LQ.

また、膜形成処理SA1において、基板Pの周縁領域の感光膜Rgを、周縁露光処理SA3において、露光するようにしたので、液浸露光処理SA4の後に実行される現像処理SA5において、基板Pの周縁領域の感光膜Rgを除去することができる。感光膜Rgが周縁領域に形成されている場合、その感光膜Rgと基板搬送装置等の部材とが接触すると、その感光膜Rgの一部が剥がれ、パーティクルとなる可能性がある。本実施形態においては、液浸露光処理SA4を実行中には、基板Pの周縁領域は撥液性の感光膜Rgで形成されていて液体LQの浸入を抑制しており、現像処理SA5を実行した後においては、基板Pの周縁領域からは感光膜Rgが無くなる。したがって、少なくとも現像処理SA5を実行した後において、基板Pの周縁領域に基板搬送装置、あるいは基板Pを保管する支持部材等が接触した場合でも、感光膜Rgに起因するパーティクルの発生を抑制できる。   In the film formation process SA1, the photosensitive film Rg in the peripheral area of the substrate P is exposed in the peripheral exposure process SA3. Therefore, in the development process SA5 performed after the immersion exposure process SA4, The photosensitive film Rg in the peripheral area can be removed. When the photosensitive film Rg is formed in the peripheral area, when the photosensitive film Rg and a member such as a substrate transport device come into contact with each other, a part of the photosensitive film Rg may be peeled off and become particles. In the present embodiment, while the immersion exposure process SA4 is being performed, the peripheral area of the substrate P is formed of the liquid repellent photosensitive film Rg to suppress the penetration of the liquid LQ, and the development process SA5 is performed. After this, the photosensitive film Rg disappears from the peripheral area of the substrate P. Therefore, even when the development processing SA5 is performed, even when the substrate transport device or the support member for storing the substrate P comes into contact with the peripheral area of the substrate P, the generation of particles due to the photosensitive film Rg can be suppressed.

また、液浸露光処理SA4を実行する液浸露光装置EXは、基板Pの下面を支持しながら昇降する昇降機構35などを備え、基板Pを保持したり、移動(搬送)したりするとき、基板Pの周縁領域と接触しないようになっている。したがって、液浸露光装置EXにおいて、その基板Pの周縁領域の感光膜Rgに起因するパーティクルの発生は抑制されている。   The immersion exposure apparatus EX that performs the immersion exposure process SA4 includes an elevating mechanism 35 that moves up and down while supporting the lower surface of the substrate P, and holds or moves (carrys) the substrate P. It does not come into contact with the peripheral area of the substrate P. Therefore, in the immersion exposure apparatus EX, the generation of particles due to the photosensitive film Rg in the peripheral region of the substrate P is suppressed.

また、本実施形態においては、膜形成処理SA1において基板Pの周縁領域まで感光膜Rgを形成した後、膜除去処理SA2において、バックリンス処理を実行している。これにより、基板ホルダ24Hの周壁33の上面と基板Pの下面とが接触しても、基板Pの下面には感光膜Rgが形成されていないので、感光膜Rgに起因するパーティクルの発生が抑制される。   Further, in the present embodiment, after the photosensitive film Rg is formed up to the peripheral region of the substrate P in the film formation process SA1, the back rinse process is performed in the film removal process SA2. Thereby, even if the upper surface of the peripheral wall 33 of the substrate holder 24H and the lower surface of the substrate P are in contact with each other, the photosensitive film Rg is not formed on the lower surface of the substrate P. Therefore, generation of particles due to the photosensitive film Rg is suppressed. Is done.

また、本実施形態においては、液浸露光処理SA4を実行する前に、周縁露光処理SA3が実行される。本実施形態の感光膜Rgは、化学増幅型レジストであり、デバイスパターンを形成するための液浸露光処理SA4が終了してから現像処理SA5を開始するまでの時間を管理する必要がある場合、本実施形態のように、液浸露光処理SA4を実行する前に、周縁露光処理SA3を実行することによって、液浸露光処理SA4が終了してから現像処理SA5を開始するまでの時間を良好に管理することができる。なお、液浸露光処理SA4が終了してから現像処理SA5を開始するまでの時間がある程度変動しても許容されるならば、図14のフローチャート図に示すように、液浸露光処理SA4を実行した後に、周縁露光処理SA3を実行してもよい。図14に示す例においても、周縁露光処理SA3及び液浸露光処理SA4の両方が終了した後に、現像処理SA5が実行される。   In the present embodiment, the edge exposure process SA3 is executed before the immersion exposure process SA4. The photosensitive film Rg of this embodiment is a chemically amplified resist, and when it is necessary to manage the time from the completion of the immersion exposure process SA4 for forming the device pattern to the start of the development process SA5. As in this embodiment, before the immersion exposure process SA4 is executed, the peripheral exposure process SA3 is executed, so that the time from the end of the immersion exposure process SA4 to the start of the development process SA5 is improved. Can be managed. If the time from the end of the immersion exposure process SA4 to the start of the development process SA5 is allowed to some extent, the immersion exposure process SA4 is executed as shown in the flowchart of FIG. After that, the edge exposure processing SA3 may be executed. Also in the example illustrated in FIG. 14, the development processing SA5 is performed after both the peripheral edge exposure processing SA3 and the immersion exposure processing SA4 are completed.

なお、周縁露光処理SA3において、第1露光光EL1は、デバイスパターンが形成される基板Pの上面の中央領域に照射されないが、液浸露光処理SA4においては、第2露光光EL2が、基板Pの周縁領域に照射されても構わない。   In the edge exposure process SA3, the first exposure light EL1 is not irradiated to the central region of the upper surface of the substrate P on which the device pattern is formed. In the immersion exposure process SA4, the second exposure light EL2 is applied to the substrate P. It may be irradiated to the peripheral region.

なお、本実施形態においては、シリコン基板等の基材W上に感光膜Rgを直接的に形成する場合を例にして説明したが、例えば、基材W上に反射防止膜(bottom ARC(Anti-Reflective Coating))を形成した後、その反射防止膜上に感光膜Rgを形成してもよいし、基材W上にHMDS(ヘキサメチルジンラザン)の膜を形成した後、その上に感光膜Rgを形成してもよい。また、基材Wと感光膜Rgとの間に、反射防止膜及びHMDSの膜の両方を配置してもよい。また、基材Wと感光膜Rgとの間に複数の反射防止膜を配置してもよい。   In this embodiment, the case where the photosensitive film Rg is directly formed on the base material W such as a silicon substrate has been described as an example. However, for example, an antireflection film (bottom ARC (Anti) is formed on the base material W. -Reflective Coating)), a photosensitive film Rg may be formed on the antireflection film, or a HMDS (hexamethylzine lazan) film may be formed on the substrate W and then exposed to light. A film Rg may be formed. Further, both the antireflection film and the HMDS film may be arranged between the substrate W and the photosensitive film Rg. A plurality of antireflection films may be disposed between the substrate W and the photosensitive film Rg.

なお、上述の実施形態においては、説明を簡単にするために、シリコン基板上に感光膜Rgを形成した場合を例にして説明したが、基材Wの表面(下地)がSiO等の酸化膜の場合もある。また、基材Wの表面(下地)が、前のプロセスまでに形成されたSiO等の酸化膜、SiO及びSiNx等の絶縁膜、Cu及びAl−Si等の金属・導体膜、アモルファスSi等の半導体膜の少なくとも1つの表面である場合もある。いずれの場合も、基板Pの周縁領域において基材Wが露出していると、基板ステージのギャップに液体LQが浸入する可能性が高くなる。基板Pの周縁領域に、撥液性を有する感光膜Rgを残したまま液浸露光処理を実行することによって、液浸露光処理中に、基板Pの周囲に形成されるギャップ32に液体LQが浸入することを抑制できる。 In the above embodiment, for the sake of simplicity, the case where the photosensitive film Rg is formed on the silicon substrate has been described as an example. However, the surface (base) of the base material W is oxidized by SiO 2 or the like. It may be a membrane. Further, the surface (base) of the substrate W is an oxide film such as SiO 2 formed by the previous process, an insulating film such as SiO 2 and SiNx, a metal / conductor film such as Cu and Al—Si, amorphous Si, etc. In some cases, it may be at least one surface of a semiconductor film. In any case, if the base material W is exposed in the peripheral region of the substrate P, the possibility that the liquid LQ enters the gap of the substrate stage is increased. By performing the immersion exposure process while leaving the photosensitive film Rg having liquid repellency in the peripheral region of the substrate P, the liquid LQ is formed in the gap 32 formed around the substrate P during the immersion exposure process. Infiltration can be suppressed.

また、上述の実施形態においては、周辺露光装置13を用いて基板Pの周縁領域を露光しているが、周辺露光装置13を設けずに、基板Pの上面の中央領域にパターン化された第2露光光EL2を照射する動作の前又は後に、液浸露光装置EXで基板Pの周縁領域を露光してもよい。この場合、基板Pの周縁領域の全域が第2露光光EL2で露光されるようにマスクMを第2露光光EL2の光路から待避させたり、基板Pの上面の中央領域に露光光ELが照射されないように第2露光光EL2の照射領域を制限したりしてもよい。   Further, in the above-described embodiment, the peripheral area of the substrate P is exposed using the peripheral exposure apparatus 13, but the first pattern patterned in the central area on the upper surface of the substrate P without providing the peripheral exposure apparatus 13. The peripheral area of the substrate P may be exposed by the immersion exposure apparatus EX before or after the operation of irradiating the two exposure light EL2. In this case, the mask M is retracted from the optical path of the second exposure light EL2 so that the entire peripheral area of the substrate P is exposed with the second exposure light EL2, or the central region on the upper surface of the substrate P is irradiated with the exposure light EL. The irradiation area of the second exposure light EL2 may be limited so as not to be performed.

なお、上述の実施形態の投影光学系は、終端光学素子の像面側(射出側)の光路空間を液体で満たしているが、国際公開第2004/019128号パンフレットに開示されているように、終端光学素子の物体面側(入射側)の光路空間も液体で満たす投影光学系を採用することもできる。   In the projection optical system of the above-described embodiment, the optical path space on the image plane side (exit side) of the terminal optical element is filled with liquid, but as disclosed in International Publication No. 2004/019128, It is also possible to employ a projection optical system in which the optical path space on the object plane side (incident side) of the last optical element is filled with liquid.

なお、本実施形態の液体LQは水であるが、水以外の液体であってもよい。液体LQとしては、露光光ELに対する透過性があってできるだけ屈折率が高く、投影光学系、あるいは基板の表面を形成する感光材(フォトレジスト)の膜に対して安定なものが好ましい。例えば、液体LQとして、ハイドロフロロエーテル(HFE)、過フッ化ポリエーテル(PFPE)、フォンブリンオイル、セダー油等を用いることも可能である。感光膜Rgを形成する材料としては、使用される液体LQに対して撥液性を有するものが使用される。また、液体LQとして、屈折率が1.6〜1.8程度のものを使用してもよい。更に、石英及び蛍石よりも屈折率が高い(例えば1.6以上)材料で、液体LQと接触する投影光学系PLの光学素子(最終光学素子FLなど)を形成してもよい。また、液体LQとして、種々の流体、例えば、超臨界流体を用いることも可能である。   In addition, although the liquid LQ of this embodiment is water, liquids other than water may be sufficient. The liquid LQ is preferably a liquid LQ that is transmissive to the exposure light EL, has a refractive index as high as possible, and is stable with respect to the projection optical system or a photosensitive material (photoresist) film that forms the surface of the substrate. For example, as the liquid LQ, hydrofluoroether (HFE), perfluorinated polyether (PFPE), fomblin oil, cedar oil, or the like can be used. As a material for forming the photosensitive film Rg, a material having liquid repellency with respect to the liquid LQ to be used is used. A liquid LQ having a refractive index of about 1.6 to 1.8 may be used. Further, an optical element (such as the final optical element FL) of the projection optical system PL that is in contact with the liquid LQ may be formed of a material having a refractive index higher than that of quartz and fluorite (for example, 1.6 or more). In addition, various fluids such as a supercritical fluid can be used as the liquid LQ.

また、例えば露光光ELがFレーザ光である場合、このFレーザ光は水を透過しないので、液体LQとしてはFレーザ光を透過可能なもの、例えば、過フッ化ポリエーテル(PFPE)、フッ素系オイル等のフッ素系流体を用いることができる。この場合、液体LQと接触する部分には、例えばフッ素を含む極性の小さい分子構造の物質で薄膜を形成することで親液化処理する。 Further, for example, when the exposure light EL is F 2 laser light, the F 2 laser light does not transmit water, so that the liquid LQ can transmit F 2 laser light, such as perfluorinated polyether (PFPE). ), Fluorine-based fluids such as fluorine-based oils can be used. In this case, a lyophilic treatment is performed by forming a thin film with a substance having a small molecular structure including fluorine, for example, in a portion in contact with the liquid LQ.

なお、上述の実施形態において、ノズル部材など液浸システムの構成は上述のものに限られず、例えば国際公開第2004/086468号パンフレット、国際公開第2005/024517号パンフレットに開示されている液浸システムを用いることもできる。   In the above-described embodiment, the configuration of the liquid immersion system such as the nozzle member is not limited to the above-described one. For example, the liquid immersion system disclosed in International Publication No. 2004/0886468 and International Publication No. 2005/024517 Can also be used.

なお、上記各実施形態の基板Pとしては、半導体デバイス製造用の半導体ウエハのみならず、ディスプレイデバイス用のガラス基板や、薄膜磁気ヘッド用のセラミックウエハ、あるいは露光装置で用いられるマスクまたはレチクルの原版(合成石英、シリコンウエハ)等が適用される。   The substrate P in each of the above embodiments is not only a semiconductor wafer for manufacturing a semiconductor device, but also a glass substrate for a display device, a ceramic wafer for a thin film magnetic head, or an original mask or reticle used in an exposure apparatus. (Synthetic quartz, silicon wafer) or the like is applied.

露光装置EXとしては、マスクMと基板Pとを同期移動してマスクMのパターンを走査露光するステップ・アンド・スキャン方式の走査型露光装置(スキャニングステッパ)の他に、マスクMと基板Pとを静止した状態でマスクMのパターンを一括露光し、基板Pを順次ステップ移動させるステップ・アンド・リピート方式の投影露光装置(ステッパ)にも適用することができる。   As the exposure apparatus EX, in addition to the step-and-scan type scanning exposure apparatus (scanning stepper) that scans and exposes the pattern of the mask M by moving the mask M and the substrate P synchronously, the mask M and the substrate P Can be applied to a step-and-repeat type projection exposure apparatus (stepper) in which the pattern of the mask M is collectively exposed while the substrate P is stationary and the substrate P is sequentially moved stepwise.

さらに、ステップ・アンド・リピート方式の露光において、第1パターンと基板Pとをほぼ静止した状態で、投影光学系を用いて第1パターンの縮小像を基板P上に転写した後、第2パターンと基板Pとをほぼ静止した状態で、投影光学系を用いて第2パターンの縮小像を第1パターンと部分的に重ねて基板P上に一括露光してもよい(スティッチ方式の一括露光装置)。また、スティッチ方式の露光装置としては、基板P上で少なくとも2つのパターンを部分的に重ねて転写し、基板Pを順次移動させるステップ・アンド・スティッチ方式の露光装置にも適用できる。   Furthermore, in the step-and-repeat exposure, after the reduced image of the first pattern is transferred onto the substrate P using the projection optical system while the first pattern and the substrate P are substantially stationary, the second pattern With the projection optical system, the reduced image of the second pattern may be partially overlapped with the first pattern and collectively exposed on the substrate P (stitch type batch exposure apparatus). ). Further, the stitch type exposure apparatus can be applied to a step-and-stitch type exposure apparatus in which at least two patterns are partially transferred on the substrate P, and the substrate P is sequentially moved.

また、例えば特表2004−519850号公報(対応米国特許第6,611,316号)に開示されているように、2つのマスクのパターンを、投影光学系を介して基板上で合成し、1回の走査露光によって基板上の1つのショット領域をほぼ同時に二重露光する露光装置などにも本発明を適用することができる。また、プロキシミティ方式の露光装置、ミラープロジェクション・アライナーなどにも本発明を適用することができる。   Further, as disclosed in, for example, Japanese translations of PCT publication No. 2004-51850 (corresponding US Pat. No. 6,611,316), two mask patterns are synthesized on a substrate via a projection optical system. The present invention can also be applied to an exposure apparatus that double-exposes one shot area on a substrate almost simultaneously by multiple scanning exposures. The present invention can also be applied to proximity type exposure apparatuses, mirror projection aligners, and the like.

また、本発明は、特開平10−163099号公報、特開平10−214783号公報、特表2000−505958号公報、米国特許6,341,007号、米国特許6,400,441号、米国特許6,549,269号、及び米国特許6,590,634号、米国特許6,208,407号、米国特許6,262,796号などに開示されているような複数の基板ステージを備えたツインステージ型の露光装置にも適用できる。   In addition, the present invention relates to JP-A-10-163099, JP-A-10-214783, JP2000-505958A, US Pat. No. 6,341,007, US Pat. No. 6,400,441, US Pat. Twin with multiple substrate stages as disclosed in US Pat. No. 6,549,269 and US Pat. No. 6,590,634, US Pat. No. 6,208,407, US Pat. No. 6,262,796, etc. It can also be applied to a stage type exposure apparatus.

更に、例えば特開平11−135400号公報(対応国際公開第1999/23692号パンフレット)、特開2000−164504号公報(対応米国特許第6,897,963号)等に開示されているように、基板を保持する基板ステージと基準マークが形成された基準部材及び/又は各種の光電センサを搭載した計測ステージとを備えた露光装置にも本発明を適用することができる。   Further, as disclosed in, for example, JP-A-11-135400 (corresponding international publication 1999/23692 pamphlet), JP-A 2000-164504 (corresponding US Pat. No. 6,897,963), etc. The present invention can also be applied to an exposure apparatus that includes a substrate stage for holding a substrate and a reference member on which a reference mark is formed and / or a measurement stage on which various photoelectric sensors are mounted.

また、上述の実施形態は、複数の基板ステージと計測ステージとを備えた露光装置にも適用することができる。   The above-described embodiment can also be applied to an exposure apparatus that includes a plurality of substrate stages and measurement stages.

露光装置EXの種類としては、基板Pに半導体素子パターンを露光する半導体素子製造用の露光装置に限られず、液晶表示素子製造用又はディスプレイ製造用の露光装置や、薄膜磁気ヘッド、撮像素子(CCD)、マイクロマシン、MEMS、DNAチップ、あるいはレチクル又はマスクなどを製造するための露光装置などにも広く適用できる。   The type of the exposure apparatus EX is not limited to an exposure apparatus for manufacturing a semiconductor element that exposes a semiconductor element pattern on the substrate P, but an exposure apparatus for manufacturing a liquid crystal display element or a display, a thin film magnetic head, an image sensor (CCD). ), An exposure apparatus for manufacturing a micromachine, a MEMS, a DNA chip, a reticle, a mask, or the like.

なお、上述の各実施形態においては、レーザ干渉計を含む干渉計システムを用いて各ステージ23、24の各位置情報を計測するものとしたが、これに限らず、例えば各ステージに設けられるスケール(回折格子)を検出するエンコーダシステムを用いてもよい。この場合、干渉計システムとエンコーダシステムとの両方を備えるハイブリッドシステムとし、干渉計システムの計測結果を用いてエンコーダシステムの計測結果の較正(キャリブレーション)を行うことが好ましい。また、干渉計システムとエンコーダシステムとを切り換えて用いる、あるいはその両方を用いて、ステージの位置制御を行うようにしてもよい。   In each of the above-described embodiments, the position information of each stage 23 and 24 is measured using an interferometer system including a laser interferometer. However, the present invention is not limited to this. For example, a scale provided in each stage An encoder system for detecting (diffraction grating) may be used. In this case, it is preferable that a hybrid system including both the interferometer system and the encoder system is used, and the measurement result of the encoder system is calibrated using the measurement result of the interferometer system. Further, the position of the stage may be controlled by switching between the interferometer system and the encoder system or using both.

また、上述の各実施形態では、露光光ELとしてArFエキシマレーザ光を発生する光源装置として、ArFエキシマレーザを用いてもよいが、例えば、国際公開第1999/46835号パンフレット(対応米国特許7,023,610号)に開示されているように、DFB半導体レーザ又はファイバーレーザなどの固体レーザ光源、ファイバーアンプなどを有する光増幅部、及び波長変換部などを含み、波長193nmのパルス光を出力する高調波発生装置を用いてもよい。さらに、上記実施形態では、前述の各照明領域と、投影領域がそれぞれ矩形状であるものとしたが、他の形状、例えば円弧状などでもよい。   In each of the above-described embodiments, an ArF excimer laser may be used as a light source device that generates ArF excimer laser light as exposure light EL. For example, WO 1999/46835 pamphlet (corresponding US Pat. No. 7, No. 023,610) includes a solid-state laser light source such as a DFB semiconductor laser or a fiber laser, an optical amplification unit having a fiber amplifier, a wavelength conversion unit, and the like, and outputs pulsed light having a wavelength of 193 nm A harmonic generator may be used. Furthermore, in the above-described embodiment, each illumination area and the projection area described above are rectangular, but other shapes such as an arc shape may be used.

なお、上述の実施形態においては、光透過性の基板上に所定の遮光パターン(又は位相パターン・減光パターン)を形成した光透過型マスクを用いたが、このマスクに代えて、例えば米国特許第6,778,257号公報に開示されているように、露光すべきパターンの電子データに基づいて透過パターン又は反射パターン、あるいは発光パターンを形成する可変成形マスク(電子マスク、アクティブマスク、あるいはイメージジェネレータとも呼ばれる)を用いてもよい。可変成形マスクは、例えば非発光型画像表示素子(空間光変調器)の一種であるDMD(Digital Micro-mirror Device)等を含む。また、可変成形マスクとしては、DMDに限られるものでなく、DMDに代えて、以下に説明する非発光型画像表示素子を用いても良い。ここで、非発光型画像表示素子は、所定方向へ進行する光の振幅(強度)、位相あるいは偏光の状態を空間的に変調する素子であり、透過型空間光変調器としては、透過型液晶表示素子(LCD:Liquid Crystal Display)以外に、エレクトロクロミックディスプレイ(ECD)等が例として挙げられる。また、反射型空間光変調器としては、上述のDMDの他に、反射ミラーアレイ、反射型液晶表示素子、電気泳動ディスプレイ(EPD:Electro Phonetic Display)、電子ペーパー(または電子インク)、光回折型ライトバルブ(Grating Light Valve)等が例として挙げられる。   In the above-described embodiment, a light-transmitting mask in which a predetermined light-shielding pattern (or phase pattern / dimming pattern) is formed on a light-transmitting substrate is used. As disclosed in US Pat. No. 6,778,257, a variable shaping mask (an electronic mask, an active mask, or an image) that forms a transmission pattern, a reflection pattern, or a light emission pattern based on electronic data of a pattern to be exposed. (Also called a generator) may be used. The variable shaping mask includes, for example, a DMD (Digital Micro-mirror Device) which is a kind of non-light emitting image display element (spatial light modulator). The variable shaping mask is not limited to DMD, and a non-light emitting image display element described below may be used instead of DMD. Here, the non-light-emitting image display element is an element that spatially modulates the amplitude (intensity), phase, or polarization state of light traveling in a predetermined direction, and a transmissive liquid crystal modulator is a transmissive liquid crystal modulator. An electrochromic display (ECD) etc. are mentioned as an example other than a display element (LCD: Liquid Crystal Display). In addition to the DMD described above, the reflective spatial light modulator includes a reflective mirror array, a reflective liquid crystal display element, an electrophoretic display (EPD), electronic paper (or electronic ink), and a light diffraction type. An example is a light valve (Grating Light Valve).

また、非発光型画像表示素子を備える可変成形マスクに代えて、自発光型画像表示素子を含むパターン形成装置を備えるようにしても良い。この場合、照明系は不要となる。ここで自発光型画像表示素子としては、例えば、CRT(Cathode Ray Tube)、無機ELディスプレイ、有機ELディスプレイ(OLED:Organic Light Emitting Diode)、LEDディスプレイ、LDディスプレイ、電界放出ディスプレイ(FED:Field Emission Display)、プラズマディスプレイ(PDP:Plasma Display Panel)等が挙げられる。また、パターン形成装置が備える自発光型画像表示素子として、複数の発光点を有する固体光源チップ、チップを複数個アレイ状に配列した固体光源チップアレイ、または複数の発光点を1枚の基板に作り込んだタイプのもの等を用い、該固体光源チップを電気的に制御してパターンを形成しても良い。なお、固体光源素子は、無機、有機を問わない。   Further, a pattern forming apparatus including a self-luminous image display element may be provided instead of the variable molding mask including the non-luminous image display element. In this case, an illumination system is unnecessary. Here, as a self-luminous image display element, for example, CRT (Cathode Ray Tube), inorganic EL display, organic EL display (OLED: Organic Light Emitting Diode), LED display, LD display, field emission display (FED: Field Emission) Display), plasma display (PDP: Plasma Display Panel), and the like. Further, as a self-luminous image display element provided in the pattern forming apparatus, a solid light source chip having a plurality of light emitting points, a solid light source chip array in which a plurality of chips are arranged in an array, or a plurality of light emitting points on a single substrate A built-in type or the like may be used to form a pattern by electrically controlling the solid-state light source chip. The solid light source element may be inorganic or organic.

上述の各実施形態においては、投影光学系PLを備えた露光装置を例に挙げて説明してきたが、投影光学系PLを用いない露光装置及び露光方法に本発明を適用することができる。このように投影光学系PLを用いない場合であっても、露光光はレンズ等の光学部材を介して基板に照射され、そのような光学部材と基板との間の所定空間に液浸空間が形成される。   In each of the above embodiments, the exposure apparatus provided with the projection optical system PL has been described as an example. However, the present invention can be applied to an exposure apparatus and an exposure method that do not use the projection optical system PL. Even when the projection optical system PL is not used in this way, the exposure light is irradiated onto the substrate via an optical member such as a lens, and an immersion space is formed in a predetermined space between the optical member and the substrate. It is formed.

また、例えば国際公開第2001/035168号パンフレットに開示されているように、干渉縞を基板P上に形成することによって、基板P上にライン・アンド・スペースパターンを露光する露光装置(リソグラフィシステム)にも本発明を適用することができる。   Further, as disclosed in, for example, International Publication No. 2001/035168, an exposure apparatus (lithography system) that exposes a line and space pattern on the substrate P by forming interference fringes on the substrate P. The present invention can also be applied to.

なお、上記実施形態及び変形例で引用した処理装置、液浸露光装置などに関する全ての公開公報及び米国特許の開示を援用して本文の記載の一部とする。   It should be noted that the disclosure of all published publications and US patents related to the processing apparatus, immersion exposure apparatus, and the like cited in the above embodiment and modifications are incorporated herein by reference.

以上のように、本願実施形態の露光装置EXは、本願請求の範囲に挙げられた各構成要素を含む各種サブシステムを、所定の機械的精度、電気的精度、光学的精度を保つように、組み立てることで製造される。これら各種精度を確保するために、この組み立ての前後には、各種光学系については光学的精度を達成するための調整、各種機械系については機械的精度を達成するための調整、各種電気系については電気的精度を達成するための調整が行われる。各種サブシステムから露光装置への組み立て工程は、各種サブシステム相互の、機械的接続、電気回路の配線接続、気圧回路の配管接続等が含まれる。この各種サブシステムから露光装置への組み立て工程の前に、各サブシステム個々の組み立て工程があることはいうまでもない。各種サブシステムの露光装置への組み立て工程が終了したら、総合調整が行われ、露光装置全体としての各種精度が確保される。なお、露光装置の製造は温度およびクリーン度等が管理されたクリーンルームで行うことが望ましい。   As described above, the exposure apparatus EX according to the embodiment of the present application maintains various mechanical subsystems including the respective constituent elements recited in the claims of the present application so as to maintain predetermined mechanical accuracy, electrical accuracy, and optical accuracy. Manufactured by assembling. In order to ensure these various accuracies, before and after assembly, various optical systems are adjusted to achieve optical accuracy, various mechanical systems are adjusted to achieve mechanical accuracy, and various electrical systems are Adjustments are made to achieve electrical accuracy. The assembly process from the various subsystems to the exposure apparatus includes mechanical connection, electrical circuit wiring connection, pneumatic circuit piping connection, and the like between the various subsystems. Needless to say, there is an assembly process for each subsystem before the assembly process from the various subsystems to the exposure apparatus. When the assembly process of the various subsystems to the exposure apparatus is completed, comprehensive adjustment is performed to ensure various accuracies as the entire exposure apparatus. The exposure apparatus is preferably manufactured in a clean room where the temperature, cleanliness, etc. are controlled.

半導体デバイス等のマイクロデバイスは、図13に示すように、マイクロデバイスの機能・性能設計を行うステップ201、この設計ステップに基づいたマスク(レチクル)を製作するステップ202、デバイスの基材である基板を製造するステップ203、前述した実施形態に従って、マスクのパターンを基板に露光し、露光した基板を現像する基板処理(露光処理)を含む基板処理ステップ204、デバイス組み立てステップ(ダイシング工程、ボンディング工程、パッケージ工程などの加工プロセスを含む)205、検査ステップ206等を経て製造される。ステップ204は、上述の実施形態の膜形成処理SA1、膜除去処理SA2、周縁露光処理SA3、液浸露光処理SA4、及び現像処理SA5を含む。   As shown in FIG. 13, a microdevice such as a semiconductor device includes a step 201 for designing a function / performance of the microdevice, a step 202 for producing a mask (reticle) based on the design step, and a substrate which is a base material of the device. Manufacturing step 203, substrate processing step 204 including substrate processing (exposure processing) for exposing the mask pattern to the substrate and developing the exposed substrate according to the above-described embodiment, device assembly step (dicing process, bonding process, (Including a processing process such as a packaging process) 205, an inspection step 206, and the like. Step 204 includes the film formation process SA1, the film removal process SA2, the edge exposure process SA3, the immersion exposure process SA4, and the development process SA5 of the above-described embodiment.

本実施形態に係る基板処理方法の一例を示すフローチャート図である。It is a flowchart figure which shows an example of the substrate processing method which concerns on this embodiment. 膜形成処理後における基板の状態を示す模式図である。It is a schematic diagram which shows the state of the board | substrate after a film formation process. 膜除去処理後における基板の状態を示す模式図である。It is a schematic diagram which shows the state of the board | substrate after a film | membrane removal process. 周縁露光処理後における基板の状態を示す模式図である。It is a schematic diagram which shows the state of the board | substrate after a periphery exposure process. 液浸露光処理後における基板の状態を示す模式図である。It is a schematic diagram which shows the state of the board | substrate after an immersion exposure process. 現像処理後における基板の状態を示す模式図である。It is a schematic diagram which shows the state of the board | substrate after a development process. 膜形成処理及び膜除去処理を実行する処理装置の一例を示す図である。It is a figure which shows an example of the processing apparatus which performs a film | membrane formation process and a film | membrane removal process. 周縁露光処理を実行する処理装置の一例を示す図である。It is a figure which shows an example of the processing apparatus which performs a periphery exposure process. 液浸露光処理を実行する処理装置の一例を示す図である。It is a figure which shows an example of the processing apparatus which performs an immersion exposure process. 液浸露光装置の一部を拡大した図である。It is the figure which expanded a part of immersion exposure apparatus. 液浸露光装置の動作の一例を示す模式図である。It is a schematic diagram which shows an example of operation | movement of an immersion exposure apparatus. 現像処理を実行する処理装置の一例を示す図である。It is a figure which shows an example of the processing apparatus which performs a development process. 本実施形態に係る基板処理システムの一例を示す模式図である。It is a mimetic diagram showing an example of a substrate processing system concerning this embodiment. 本実施形態に係る基板処理方法の一例を示すフローチャート図である。It is a flowchart figure which shows an example of the substrate processing method which concerns on this embodiment. マイクロデバイスの製造工程の一例を示すフローチャート図である。It is a flowchart figure which shows an example of the manufacturing process of a microdevice.

符号の説明Explanation of symbols

1…処理装置、13…周縁露光装置、24…基板ステージ、24F…上面、24H…基板ホルダ、37…現像装置、EX…液浸露光装置、EL1…第1露光光、EL2…第2露光光、LQ…液体、M…マスク、P…基板、Rg…感光膜、SYS…基板処理システム、W…基材 DESCRIPTION OF SYMBOLS 1 ... Processing apparatus, 13 ... Edge exposure apparatus, 24 ... Substrate stage, 24F ... Upper surface, 24H ... Substrate holder, 37 ... Development apparatus, EX ... Immersion exposure apparatus, EL1 ... First exposure light, EL2 ... Second exposure light , LQ ... liquid, M ... mask, P ... substrate, Rg ... photosensitive film, SYS ... substrate processing system, W ... substrate

Claims (15)

液体を介して基板上の感光膜を露光する液浸露光処理を含む基板処理方法であって、
前記液体に対して撥液性の感光膜を前記基板上に形成することと、
前記基板の周縁領域に第1露光光を照射して、前記基板上に形成された感光膜を露光する周縁露光処理を実行することと、
前記基板上に形成された感光膜と前記液体とを接触させながら、前記基板の周縁領域の内側の、前記第1露光光が照射されていない領域に前記液体を介して第2露光光を照射し、前記基板上に形成された感光膜を露光する前記液浸露光処理を実行することと、
前記周縁露光処理及び前記液浸露光処理の両方が終了した後、前記基板上に形成された感光膜を現像し、前記第1露光光及び前記第2露光光が照射された前記基板上の感光膜を除去することと、
を含む基板処理方法。
A substrate processing method including an immersion exposure process for exposing a photosensitive film on a substrate through a liquid,
Forming a photosensitive film that is liquid repellent to the liquid on the substrate;
Irradiating a peripheral region of the substrate with first exposure light to perform a peripheral exposure process for exposing a photosensitive film formed on the substrate;
While contacting the photosensitive film formed on the substrate and the liquid, irradiate the second exposure light through the liquid on the inner side of the peripheral area of the substrate not irradiated with the first exposure light. Performing the immersion exposure process for exposing the photosensitive film formed on the substrate;
After both the peripheral edge exposure process and the liquid immersion exposure process are completed, the photosensitive film formed on the substrate is developed, and the photosensitive material on the substrate irradiated with the first exposure light and the second exposure light is exposed. Removing the membrane;
A substrate processing method.
前記液浸露光処理を実行する前に、前記周縁露光処理を実行する請求項1記載の基板処理方法。   The substrate processing method according to claim 1, wherein the edge exposure process is executed before the immersion exposure process. 前記第1露光光はパターンを介さないで前記基板に照射され、前記第2露光光はパターンを介して前記基板に照射される請求項1または2記載の基板処理方法。   The substrate processing method according to claim 1, wherein the first exposure light is applied to the substrate without a pattern, and the second exposure light is applied to the substrate through a pattern. 前記液浸露光処理を実行する前に、前記基板上に形成された感光膜の一部を除去する膜除去処理を実行することをさらに含む請求項1〜3のいずれか一項記載の基板処理方法。   The substrate processing according to any one of claims 1 to 3, further comprising executing a film removal process for removing a part of the photosensitive film formed on the substrate before performing the immersion exposure process. Method. 前記第2露光光は、前記基板の上面に形成された前記感光膜に照射され、前記膜除去処理において、前記基板の下面の前記感光膜を除去する請求項4記載の基板処理方法。   The substrate processing method according to claim 4, wherein the second exposure light is applied to the photosensitive film formed on the upper surface of the substrate, and the photosensitive film on the lower surface of the substrate is removed in the film removal processing. 前記基板は、シリコン基板を含む請求項1〜5のいずれか一項記載の基板処理方法。   The substrate processing method according to claim 1, wherein the substrate includes a silicon substrate. 前記液浸露光処理において、前記基板上の感光膜の一部に前記液体の液浸領域を形成することをさらに含む請求項1〜6のいずれか一項記載の基板処理方法。   The substrate processing method according to claim 1, further comprising forming an immersion area of the liquid in a part of the photosensitive film on the substrate in the immersion exposure process. 液体を介して基板上の感光膜を露光する処理を行う基板処理システムであって、
前記液体に対して撥液性の感光膜を前記基板上に形成する第1処理装置と、
前記基板の周縁領域に第1露光光を照射して、前記基板上に形成された感光膜を露光する周縁露光処理を実行する第2処理装置と、
前記基板上に形成された感光膜と前記液体とを接触させながら、前記基板の周縁領域の内側の、前記第1露光光が照射されていない領域に前記液体を介して第2露光光を照射して、前記基板上に形成された感光膜を露光する第3処理装置と、
前記周縁露光処理及び前記液浸露光処理の両方が終了した後、前記基板上に形成された感光膜を現像し、前記第1露光光及び前記第2露光光が照射された前記基板上の感光膜を除去する第4処理装置と、
を含む基板処理システム。
A substrate processing system for performing a process of exposing a photosensitive film on a substrate through a liquid,
A first processing apparatus for forming a liquid-repellent photosensitive film on the substrate on the substrate;
A second processing apparatus for performing a peripheral exposure process of irradiating a peripheral region of the substrate with a first exposure light to expose a photosensitive film formed on the substrate;
While contacting the photosensitive film formed on the substrate and the liquid, irradiate the second exposure light through the liquid on the inner side of the peripheral area of the substrate not irradiated with the first exposure light. A third processing apparatus for exposing the photosensitive film formed on the substrate;
After both the peripheral edge exposure process and the liquid immersion exposure process are completed, the photosensitive film formed on the substrate is developed, and the photosensitive material on the substrate irradiated with the first exposure light and the second exposure light is exposed. A fourth processing apparatus for removing the film;
Including substrate processing system.
前記第3処理装置で前記液浸露光処理を実行する前に、前記第2処理装置で前記周縁露光処理を実行する請求項8記載の基板処理システム。   The substrate processing system according to claim 8, wherein the edge exposure process is executed by the second processing apparatus before the immersion exposure process is executed by the third processing apparatus. 前記第3処理装置で前記液浸露光処理を実行する前に、前記基板上に形成された感光膜の一部を除去する膜除去処理を実行する第5処理装置をさらに含む請求項8又は9記載の基板処理システム。   10. The fifth processing apparatus further includes a fifth processing apparatus that performs a film removal process for removing a part of the photosensitive film formed on the substrate before the immersion exposure process is performed in the third processing apparatus. The substrate processing system as described. 前記第3処理装置は、前記基板の上面に形成された前記感光膜に前記第2露光光を照射し、
前記第5処理装置は、前記基板の下面の前記感光膜を除去する請求項10記載の基板処理システム。
The third processing apparatus irradiates the second exposure light to the photosensitive film formed on the upper surface of the substrate,
The substrate processing system according to claim 10, wherein the fifth processing apparatus removes the photosensitive film on a lower surface of the substrate.
前記第3処理装置は、前記感光膜が形成された基板を保持する保持部を有する基板保持装置を有し、
前記基板保持装置は、前記保持部の周囲に、前記液体に対して撥液性の液体接触面を有する請求項8〜11のいずれか一項記載の基板処理システム。
The third processing apparatus has a substrate holding device having a holding unit for holding the substrate on which the photosensitive film is formed,
The substrate processing system according to claim 8, wherein the substrate holding device has a liquid contact surface that is liquid repellent with respect to the liquid around the holding unit.
前記基板保持装置の保持部に保持された基板の表面と前記液体接触面とはほぼ面一である請求項12記載の基板処理システム。   The substrate processing system according to claim 12, wherein the surface of the substrate held by the holding unit of the substrate holding device is substantially flush with the liquid contact surface. 前記第1露光光と前記第2露光光は、同じ波長である請求項8〜13のいずれか一項記載の基板処理システム。   The substrate processing system according to claim 8, wherein the first exposure light and the second exposure light have the same wavelength. 請求項8〜14のいずれか一項記載の基板処理システムを用いるデバイス製造方法。   The device manufacturing method using the substrate processing system as described in any one of Claims 8-14.
JP2006352172A 2006-12-27 2006-12-27 Method and system for processing substrate and manufacturing method for device Withdrawn JP2008166376A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006352172A JP2008166376A (en) 2006-12-27 2006-12-27 Method and system for processing substrate and manufacturing method for device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006352172A JP2008166376A (en) 2006-12-27 2006-12-27 Method and system for processing substrate and manufacturing method for device

Publications (1)

Publication Number Publication Date
JP2008166376A true JP2008166376A (en) 2008-07-17

Family

ID=39695490

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006352172A Withdrawn JP2008166376A (en) 2006-12-27 2006-12-27 Method and system for processing substrate and manufacturing method for device

Country Status (1)

Country Link
JP (1) JP2008166376A (en)

Similar Documents

Publication Publication Date Title
KR101496478B1 (en) Liquid recovery system, immersion exposure apparatus, immersion exposing method, and device fabricating method
JP5029611B2 (en) Cleaning member, cleaning method, exposure apparatus, and device manufacturing method
JP5700010B2 (en) Immersion member, immersion exposure apparatus, immersion exposure method, device manufacturing method
US8300207B2 (en) Exposure apparatus, immersion system, exposing method, and device fabricating method
JP2010528449A (en) Exposure apparatus, immersion system, exposure method, and device manufacturing method
JP2009021498A (en) Exposure device, liquid immersion system, and device manufacturing method
JP2007116073A (en) Exposure method, exposure apparatus, and method for manufacturing device
JP2009188119A (en) Cover member, stepper, exposure method, and device manufacturing method
JP2008166811A (en) Exposure system, exposure method, and method for manufacturing device
JP2007288108A (en) Method of manufacturing device
JP5018277B2 (en) Exposure apparatus, device manufacturing method, and cleaning method
JP2009267401A (en) Exposure apparatus, cleaning method, and device fabricating method
JP2009212132A (en) Substrate, method and apparatus of treating substrate, substrate treatment system, method and apparatus of lithography, and device manufacturing method
US20080204687A1 (en) Exposing method, exposure apparatus, device fabricating method, and substrate for immersion exposure
JP2009260352A (en) Exposure apparatus, cleaning method, and device manufacturing method
JP2008300771A (en) Liquid immersion exposure apparatus, device manufacturing method, and determining method of exposure condition
JP2008166376A (en) Method and system for processing substrate and manufacturing method for device
JP2009044089A (en) Exposure method, and device manufacturing method and system
JP2010056365A (en) Exposure method and method of manufacturing device
JP2009111325A (en) Evaluation method and evaluation device, method and system for treating substrate, substrate treatment equipment, exposure device, and method of manufacturing device
WO2007007723A1 (en) Substrate for immersion exposure, exposure method and method for manufacturing device
JP2009277677A (en) Exposure apparatus, substrate carrying method and device manufacturing method
JP2012195606A (en) Exposure device, device manufacturing method, and cleaning method
JP2009188061A (en) Substrate, exposure method, and device manufacturing method
JP2009182110A (en) Exposure system, exposure method and device manufacturing method

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20100302