JP2008153510A - Substrate processing system, substrate processing method, and storage medium - Google Patents

Substrate processing system, substrate processing method, and storage medium Download PDF

Info

Publication number
JP2008153510A
JP2008153510A JP2006341282A JP2006341282A JP2008153510A JP 2008153510 A JP2008153510 A JP 2008153510A JP 2006341282 A JP2006341282 A JP 2006341282A JP 2006341282 A JP2006341282 A JP 2006341282A JP 2008153510 A JP2008153510 A JP 2008153510A
Authority
JP
Japan
Prior art keywords
substrate
protective film
substrate processing
wafer
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006341282A
Other languages
Japanese (ja)
Inventor
Eiichi Nishimura
栄一 西村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006341282A priority Critical patent/JP2008153510A/en
Priority to US11/950,832 priority patent/US20080141509A1/en
Publication of JP2008153510A publication Critical patent/JP2008153510A/en
Priority to US13/214,881 priority patent/US20110303642A1/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a substrate processing system for surely preventing the back face of a substrate from being scratched without deteriorating a through-put. <P>SOLUTION: This substrate processing system 10 is provided with: a transfer module 11 as a vacuum substrate conveyance device; four process modules 12 to 15 radially arranged in the periphery of the transfer module 11; a loader module 16 as an atmospheric substrate conveyance device; a printing module 34 arranged at one end concerning the longitudinal direction of the loader module 16; and a cleaning module 35 arranged at the other end. The printing module 34 prints a protection film 48 on the back face of a wafer W by screen printing processing, and a process module 13 operates RIE processing to the wafer W, and the cleaning module 35 removes the protection film 48 printed on the back face of the wafer W by ordinary pressure plasma ashing processing. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、基板処理システム、基板処理方法及び記憶媒体に関し、特に、基板を静電吸着するエッチング装置を備える基板処理システムに関する。   The present invention relates to a substrate processing system, a substrate processing method, and a storage medium, and more particularly to a substrate processing system including an etching apparatus that electrostatically attracts a substrate.

基板としてのウエハの表面にプラズマを利用して所望のパターンの配線溝やビアホールを形成する場合、ウエハの表面に所望のパターンのレジスト膜を形成するフォトレジスト装置と、ウエハの表面にエッチング処理、例えば、RIE(Reactive Ion Etching)処理を施すエッチング装置と、レジスト膜を除去する洗浄装置とを用いる。ここで、フォトレジスト装置は、感光性樹脂をウエハの表面に塗布するコータと、感光性樹脂を感光するステッパと、ウエハの表面から硬化していない感光性樹脂を除去するデベロッパとを有する。また、エッチング装置は、ウエハを収容し且つプラズマが生成される収容室と、該収容室内に配置されて、ウエハにエッチング処理が施される間、ウエハを静電吸着する静電チャックとを有する(例えば、特許文献1参照。)。   When forming a wiring groove or via hole of a desired pattern using plasma on the surface of the wafer as a substrate, a photoresist device that forms a resist film of the desired pattern on the surface of the wafer, and an etching process on the surface of the wafer, For example, an etching apparatus that performs RIE (Reactive Ion Etching) processing and a cleaning apparatus that removes the resist film are used. Here, the photoresist apparatus includes a coater for applying a photosensitive resin to the surface of the wafer, a stepper for exposing the photosensitive resin, and a developer for removing the uncured photosensitive resin from the surface of the wafer. The etching apparatus also includes a storage chamber that stores the wafer and generates plasma, and an electrostatic chuck that is disposed in the storage chamber and electrostatically attracts the wafer while the wafer is being etched. (For example, refer to Patent Document 1).

ステッパでは所望のパターンの紫外線光等をウエハの表面における感光性樹脂に照射するが、近年、所望のパターンの微細化に伴い短波長、例えば、波長が193nmの紫外線光が用いられる。波長が短いと焦点深度も小さくなり、許容されるウエハの平面度、傾きも小さくなる。また、ステッパでは複数のピン状の突起がウエハの裏面を支持することから、ウエハの裏面の傷、異物等がウエハの平面度、傾きに大きな影響を与える。   The stepper irradiates the photosensitive resin on the surface of the wafer with ultraviolet light having a desired pattern. In recent years, ultraviolet light having a short wavelength, for example, a wavelength of 193 nm, is used as the desired pattern is miniaturized. When the wavelength is short, the depth of focus is also reduced, and the flatness and tilt of the allowable wafer are also reduced. Further, in the stepper, since a plurality of pin-shaped protrusions support the back surface of the wafer, scratches, foreign matter, etc. on the back surface of the wafer greatly affect the flatness and tilt of the wafer.

ところで、ウエハにおいて複雑な半導体デバイス用の配線構造、電極構造を実現するため、ウエハには基板処理システムによってエッチング処理が繰り返して施されるが、エッチング処理の度にウエハは静電チャックによって静電吸着される。静電チャックの表面はイットリア(Y)で覆われるため、吸着したシリコン(Si)からなるウエハの裏面に傷が付くことがある。また、静電チャックの表面にも吸着したウエハの裏面との接触によって傷が付くことがある。そして、静電チャックの表面に傷が付くことに起因して発生した異物がウエハの裏面に転写して付着することがある。 By the way, in order to realize a complicated wiring structure and electrode structure for a semiconductor device on a wafer, the wafer is repeatedly subjected to an etching process by a substrate processing system. Adsorbed. Since the surface of the electrostatic chuck is covered with yttria (Y 2 O 3 ), the back surface of the wafer made of adsorbed silicon (Si) may be damaged. Further, the surface of the electrostatic chuck may be damaged by contact with the back surface of the wafer that has been attracted. In some cases, foreign matter generated due to scratches on the surface of the electrostatic chuck may be transferred and adhered to the back surface of the wafer.

従来、ウエハの裏面に付着した異物は洗浄液等を用いたウェット洗浄によって除去することができるが、ウエハの裏面の傷を効果的に除去する方法は知られていない。そして、上述したようにウエハの裏面の傷によって許容されるウエハの平面度、傾きを維持できなくなるおそれがある。したがって、ウエハが静電チャックに吸着されるときにウエハの裏面に傷が付くのを防止する必要がある。   Conventionally, foreign matter adhering to the back surface of a wafer can be removed by wet cleaning using a cleaning liquid or the like, but a method for effectively removing scratches on the back surface of the wafer is not known. As described above, there is a possibility that the flatness and inclination of the wafer allowed by the scratches on the back surface of the wafer cannot be maintained. Therefore, it is necessary to prevent the back surface of the wafer from being damaged when the wafer is attracted to the electrostatic chuck.

そこで、本発明者は、エッチング処理が施される前のウエハの裏面に蒸着処理、具体的にはCVD処理、若しくは塗布処理、具体的にはスピンコート処理によって保護膜を形成し、ウエハが静電チャックに吸着されるときにウエハの裏面に形成された保護膜を静電チャックの表面に接触させ、これにより、ウエハの裏面に傷が付くのを防止する基板処理システムを提案した。
特開2005−347620号公報
Therefore, the present inventor forms a protective film on the back surface of the wafer before the etching process by vapor deposition, specifically, CVD process or coating process, specifically, spin coat process, so that the wafer is static. A substrate processing system has been proposed in which a protective film formed on the back surface of a wafer is brought into contact with the surface of the electrostatic chuck when attracted to the electric chuck, thereby preventing the back surface of the wafer from being damaged.
JP 2005-347620 A

しかしながら、上述したCVD処理、若しくはスピンコート処理では、ウエハの裏面に保護膜が薄く形成されており、ウエハが静電チャックによって繰り返し静電吸着されたときに、ウエハの裏面に薄く形成された保護膜に傷が付き、その結果、ウエハの裏面に傷が付くことがあった。   However, in the above-described CVD process or spin coat process, a protective film is thinly formed on the back surface of the wafer, and when the wafer is repeatedly electrostatically adsorbed by an electrostatic chuck, the thin film is formed on the back surface of the wafer. The film was damaged, and as a result, the back surface of the wafer was sometimes damaged.

また、上述したウェット洗浄、及びCVD処理若しくはスピンコート処理は、基板処理システムのスループットを著しく低下させていた。   In addition, the above-described wet cleaning, CVD processing, or spin coating processing significantly reduced the throughput of the substrate processing system.

本発明の目的は、スループットを低下させることなく基板の裏面に傷が付くのを確実に防止することができる基板処理システム、基板処理方法及び記憶媒体を提供することにある。   An object of the present invention is to provide a substrate processing system, a substrate processing method, and a storage medium that can reliably prevent the back surface of the substrate from being scratched without reducing the throughput.

上記目的を達成するために、請求項1記載の基板処理システムは、基板にプラズマエッチング処理を施すエッチング装置と、該エッチング装置が接続された真空系基板搬送装置と、該真空系基板搬送装置に接続された大気系基板搬送装置とを備え、前記エッチング装置は前記基板を静電吸着する載置台を有し、該載置台は前記基板の裏面と接触する基板処理システムにおいて、前記大気系基板搬送装置に接続され、且つ前記プラズマエッチング処理が施される前の前記基板の裏面に保護膜を印刷する保護膜印刷装置と、前記大気系基板搬送装置に接続され、且つ前記プラズマエッチング処理が施された後の前記基板の裏面から前記保護膜を除去する保護膜除去装置とを備えることを特徴とする。   In order to achieve the above object, a substrate processing system according to claim 1 includes an etching apparatus that performs a plasma etching process on a substrate, a vacuum substrate transport apparatus to which the etching apparatus is connected, and the vacuum substrate transport apparatus. An atmospheric substrate transfer device connected to the substrate, and the etching device includes a mounting table for electrostatically adsorbing the substrate, the mounting table contacting the back surface of the substrate in the substrate processing system. A protective film printing apparatus for printing a protective film on the back surface of the substrate before being connected to the apparatus and being subjected to the plasma etching process; and connected to the atmospheric substrate transport apparatus and being subjected to the plasma etching process. And a protective film removing device that removes the protective film from the back surface of the substrate after the substrate.

請求項2記載の基板処理システムは、請求項1記載の基板処理システムにおいて、前記保護膜印刷装置はスクリーン印刷処理によって前記保護膜の印刷を行うことを特徴とする。   A substrate processing system according to a second aspect is the substrate processing system according to the first aspect, wherein the protective film printing apparatus prints the protective film by a screen printing process.

請求項3記載の基板処理システムは、請求項1記載の基板処理システムにおいて、前記保護膜印刷装置は所定膜の貼着処理によって前記保護膜の印刷を行うことを特徴とする。   According to a third aspect of the present invention, there is provided the substrate processing system according to the first aspect, wherein the protective film printing apparatus prints the protective film by applying a predetermined film.

請求項4記載の基板処理システムは、請求項1乃至3のいずれか1項に記載の基板処理システムにおいて、前記保護膜除去装置は常圧プラズマアッシング処理によって前記保護膜の除去を行うことを特徴とする。   The substrate processing system according to claim 4 is the substrate processing system according to any one of claims 1 to 3, wherein the protective film removing apparatus removes the protective film by an atmospheric pressure plasma ashing process. And

請求項5記載の基板処理システムは、請求項1乃至3のいずれか1項に記載の基板処理システムにおいて、前記保護膜除去装置は過熱水蒸気噴出処理によって前記保護膜の除去を行うことを特徴とする。   The substrate processing system according to claim 5 is the substrate processing system according to any one of claims 1 to 3, wherein the protective film removing device removes the protective film by superheated steam ejection processing. To do.

請求項6記載の基板処理システムは、請求項1乃至5のいずれか1項に記載の基板処理システムにおいて、前記保護膜は樹脂からなることを特徴とする。   A substrate processing system according to a sixth aspect is the substrate processing system according to any one of the first to fifth aspects, wherein the protective film is made of a resin.

請求項7記載の基板処理システムは、請求項1乃至5のいずれか1項に記載の基板処理システムにおいて、前記保護膜はシリカ、無弗素芳香族炭化水素の有機ポリマー、ポリイミド、及びレジストのいずれか1つからなることを特徴とする。   The substrate processing system according to claim 7 is the substrate processing system according to any one of claims 1 to 5, wherein the protective film is any one of silica, an organic polymer of fluorine-free aromatic hydrocarbon, polyimide, and a resist. It consists of or one.

請求項8記載の基板処理システムは、請求項1乃至7のいずれか1項に記載の基板処理システムにおいて、前記載置台は上部において前記載置台の基材が露出することを特徴とする。   The substrate processing system according to claim 8 is the substrate processing system according to any one of claims 1 to 7, wherein the base of the mounting table is exposed at an upper portion of the mounting table.

請求項9記載の基板処理システムは、請求項1乃至8のいずれか1項に記載の基板処理システムにおいて、前記保護膜除去装置は前記基板と接触することなく前記基板の保持を行うことを特徴とする。   The substrate processing system according to claim 9 is the substrate processing system according to any one of claims 1 to 8, wherein the protective film removing apparatus holds the substrate without contacting the substrate. And

上記目的を達成するために、請求項10記載の基板処理方法は、基板にプラズマエッチング処理を施すエッチング装置を少なくとも備え、前記エッチング装置は前記基板を静電吸着する載置台を有し、該載置台は前記基板の裏面と接触する基板処理システムにおける基板処理方法であって、前記基板の裏面に保護膜を印刷する印刷ステップと、前記基板の表面に前記プラズマエッチング処理を施すエッチングステップと、前記保護膜を除去する除去ステップとを有することを特徴とする。   In order to achieve the above object, a substrate processing method according to claim 10 includes at least an etching apparatus that performs plasma etching on a substrate, and the etching apparatus includes a mounting table that electrostatically attracts the substrate. The mounting table is a substrate processing method in a substrate processing system in contact with the back surface of the substrate, the printing step printing a protective film on the back surface of the substrate, the etching step performing the plasma etching process on the surface of the substrate, And a removing step for removing the protective film.

請求項11記載の基板処理方法は、請求項10記載の基板処理方法において、前記印刷ステップではスクリーン印刷処理によって前記保護膜の印刷を行うことを特徴とする。   The substrate processing method according to an eleventh aspect is the substrate processing method according to the tenth aspect, wherein the protective film is printed by a screen printing process in the printing step.

請求項12記載の基板処理方法は、請求項10記載の基板処理方法において、前記印刷ステップでは所定膜の貼着処理によって前記保護膜の印刷を行うことを特徴とする。   According to a twelfth aspect of the present invention, in the substrate processing method according to the tenth aspect, in the printing step, the protective film is printed by applying a predetermined film.

請求項13記載の基板処理方法は、請求項10乃至12のいずれか1項に記載の基板処理方法において、前記除去ステップでは常圧プラズマアッシング処理によって前記保護膜の除去を行うことを特徴とする。   The substrate processing method according to claim 13 is the substrate processing method according to any one of claims 10 to 12, wherein the protective film is removed by atmospheric pressure plasma ashing in the removing step. .

請求項14記載の基板処理方法は、請求項10乃至12のいずれか1項に記載の基板処理方法において、前記除去ステップでは過熱水蒸気噴出処理によって前記保護膜の除去を行うことを特徴とする。   A substrate processing method according to a fourteenth aspect is the substrate processing method according to any one of the tenth to twelfth aspects, wherein in the removing step, the protective film is removed by a superheated steam jetting process.

請求項15記載の基板処理方法は、請求項10乃至14のいずれか1項に記載の基板処理方法において、前記保護膜は樹脂からなることを特徴とする。   The substrate processing method according to claim 15 is the substrate processing method according to any one of claims 10 to 14, wherein the protective film is made of a resin.

請求項16記載の基板処理方法は、請求項10乃至14のいずれか1項に記載の基板処理方法において、前記保護膜はシリカ、無弗素芳香族炭化水素の有機ポリマー、ポリイミド、及びレジストのいずれか1つからなることを特徴とする。   The substrate processing method according to claim 16 is the substrate processing method according to any one of claims 10 to 14, wherein the protective film is any one of silica, a fluorine-free aromatic hydrocarbon organic polymer, polyimide, and a resist. It consists of or one.

請求項17記載の基板処理方法は、請求項10乃至16のいずれか1項に記載の基板処理方法において、前記除去ステップでは前記基板と接触することなく前記基板の保持を行うことを特徴とする。   The substrate processing method according to claim 17 is the substrate processing method according to any one of claims 10 to 16, wherein in the removing step, the substrate is held without contacting the substrate. .

上記目的を達成するために、請求項18記載の記憶媒体は、基板にプラズマエッチング処理を施すエッチング装置を少なくとも備え、前記エッチング装置は前記基板を静電吸着する載置台を有し、該載置台は前記基板の裏面と接触する基板処理システムにおける基板処理方法をコンピュータに実行させるプログラムを格納するコンピュータ読み取り可能な記憶媒体であって、前記プログラムは、前記基板の裏面に保護膜を印刷する印刷モジュールと、前記基板の表面に前記プラズマエッチング処理を施すエッチングモジュールと、前記保護膜を除去する除去モジュールとを有することを特徴とする。   In order to achieve the above object, the storage medium according to claim 18 includes at least an etching apparatus for performing a plasma etching process on a substrate, and the etching apparatus includes a mounting table for electrostatically adsorbing the substrate. Is a computer-readable storage medium storing a program for causing a computer to execute a substrate processing method in a substrate processing system in contact with the back surface of the substrate, wherein the program prints a protective film on the back surface of the substrate And an etching module that performs the plasma etching process on the surface of the substrate, and a removal module that removes the protective film.

請求項1記載の基板処理システム、請求項10記載の基板処理方法及び請求項18記載の記憶媒体によれば、基板の表面にプラズマエッチング処理が施される前に基板の裏面において保護膜が印刷され、基板の表面にプラズマエッチング処理が施された後に基板の裏面から保護膜が除去されるので、載置台は基板の裏面に厚く印刷された保護膜と接触する。したがって、基板が載置台に繰り返し吸着される際においても基板の裏面に傷が付くのを確実に防止することができる。   According to the substrate processing system according to claim 1, the substrate processing method according to claim 10, and the storage medium according to claim 18, the protective film is printed on the back surface of the substrate before the plasma etching process is performed on the surface of the substrate. Then, after the plasma etching process is performed on the surface of the substrate, the protective film is removed from the back surface of the substrate, so that the mounting table is in contact with the protective film printed thick on the back surface of the substrate. Therefore, even when the substrate is repeatedly attracted to the mounting table, it is possible to reliably prevent the back surface of the substrate from being damaged.

請求項2記載の基板処理システム及び請求項11記載の基板処理方法によれば、スクリーン印刷処理によって保護膜の印刷が行われるので、該保護膜を確実に厚く印刷することができる。さらに、スクリーン印刷処理は常圧の環境下において行われるので、基板処理システムのスループットを著しく低下させることはない。   According to the substrate processing system of the second aspect and the substrate processing method of the eleventh aspect, since the protective film is printed by the screen printing process, the protective film can be reliably printed thick. Furthermore, since the screen printing process is performed under an atmospheric pressure environment, the throughput of the substrate processing system is not significantly reduced.

請求項3記載の基板処理システム及び請求項12記載の基板処理方法によれば、所定膜の貼着処理によって保護膜の印刷が行われるので、該保護膜を確実に厚く印刷することができる。さらに、所定膜の貼着処理は常圧の環境下において行われるので、基板処理システムのスループットを著しく低下させることはない。   According to the substrate processing system of the third aspect and the substrate processing method of the twelfth aspect, since the protective film is printed by the sticking process of the predetermined film, the protective film can be reliably printed thick. Furthermore, since the predetermined film sticking process is performed under an environment of normal pressure, the throughput of the substrate processing system is not significantly reduced.

請求項4記載の基板処理システム及び請求項13記載の基板処理方法によれば、常圧プラズマアッシング処理によって保護膜の除去が行われるので、該保護膜を確実に除去することができる。さらに、常圧プラズマアッシング処理は常圧の環境下において行われるので、基板処理システムのスループットを著しく低下させることはない。   According to the substrate processing system of the fourth aspect and the substrate processing method of the thirteenth aspect, since the protective film is removed by the atmospheric pressure plasma ashing process, the protective film can be reliably removed. Furthermore, since the atmospheric pressure plasma ashing process is performed under an environment of atmospheric pressure, the throughput of the substrate processing system is not significantly reduced.

請求項5記載の基板処理システム及び請求項14記載の基板処理方法によれば、過熱水蒸気噴出処理によって保護膜の除去が行われるので、該保護膜を確実に除去することができる。さらに、過熱水蒸気噴出処理は常圧の環境下において行われるので、基板処理システムのスループットを著しく低下させることはない。   According to the substrate processing system of the fifth aspect and the substrate processing method of the fourteenth aspect, since the protective film is removed by the superheated steam jetting process, the protective film can be reliably removed. Furthermore, since the superheated steam jetting process is performed under a normal pressure environment, the throughput of the substrate processing system is not significantly reduced.

請求項6記載の基板処理システム及び請求項15記載の基板処理方法によれば、保護膜は樹脂からなるので、該保護膜を容易に厚く印刷することができる。   According to the substrate processing system described in claim 6 and the substrate processing method described in claim 15, since the protective film is made of resin, the protective film can be printed thick easily.

請求項7記載の基板処理システム及び請求項16記載の基板処理方法によれば、保護膜はシリカ、無弗素芳香族炭化水素の有機ポリマー、ポリイミド、及びレジストのいずれか1つからなるので、該保護膜を容易に厚く印刷することができる。   According to the substrate processing system according to claim 7 and the substrate processing method according to claim 16, the protective film is made of any one of silica, a fluorine-free aromatic hydrocarbon organic polymer, polyimide, and resist. The protective film can be easily printed thick.

請求項8記載の基板処理システムによれば、載置台は上部において載置台の基材が露出する。その結果、裏面に保護膜が厚く印刷された基板が載置台の上面に載置された場合、該基板は基材が露出した載置台の上面に吸着保持される。したがって、載置台の上部に静電チャックを配置することなく基板を吸着保持することができるので、載置台の構成を簡略化することができる。   According to the substrate processing system of the eighth aspect, the base of the mounting table is exposed at the upper part of the mounting table. As a result, when a substrate with a protective film printed thick on the back surface is placed on the upper surface of the mounting table, the substrate is sucked and held on the upper surface of the mounting table with the base material exposed. Therefore, the substrate can be sucked and held without disposing the electrostatic chuck on the top of the mounting table, so that the configuration of the mounting table can be simplified.

請求項9記載の基板処理システム及び請求項17記載の基板処理方法によれば、保護膜を除去するときに、基板と接触することなく基板の保持が行われるので、該保護膜を確実に除去することができると共に、基板処理システムのスループットの低下を確実に防止することができる。   According to the substrate processing system according to claim 9 and the substrate processing method according to claim 17, when removing the protective film, since the substrate is held without contacting the substrate, the protective film is surely removed. In addition, it is possible to reliably prevent a decrease in the throughput of the substrate processing system.

以下、本発明の実施の形態について図面を参照しながら説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

まず、本発明の実施の形態に係る基板処理システムについて説明する。   First, a substrate processing system according to an embodiment of the present invention will be described.

図1は、本実施の形態に係る基板処理システムの構成を概略的に示す平面図である。   FIG. 1 is a plan view schematically showing the configuration of the substrate processing system according to the present embodiment.

図1において、基板処理システム10は、平面視六角形のトランスファモジュール11(真空系基板搬送装置)と、該トランスファモジュール11の周囲において放射状に配置された、半導体デバイス用のウエハ(以下、単に「ウエハ」という。)W(基板)に所定の処理を施す4つのプロセスモジュール12〜15と、矩形状の共通搬送室としてのローダーモジュール16(大気系基板搬送装置)と、トランスファモジュール11及びローダーモジュール16の間に配置され、トランスファモジュール11及びローダーモジュール16を連結する2つのロード・ロックモジュール17,18とを備える。   In FIG. 1, a substrate processing system 10 includes a hexagonal transfer module 11 (vacuum-based substrate transfer device) in plan view, and semiconductor device wafers (hereinafter simply referred to as “simply”) arranged radially around the transfer module 11. "Wafer".) Four process modules 12 to 15 for performing predetermined processing on W (substrate), a loader module 16 (atmospheric substrate transfer device) as a rectangular common transfer chamber, transfer module 11 and loader module 16 and two load lock modules 17 and 18 that connect the transfer module 11 and the loader module 16 to each other.

トランスファモジュール11及び各プロセスモジュール12〜15は内部の圧力が真空に維持され、トランスファモジュール11と各プロセスモジュール12〜15とは、それぞれ真空ゲートバルブ19〜22を介して接続される。   The internal pressure of the transfer module 11 and each of the process modules 12 to 15 is maintained in a vacuum, and the transfer module 11 and each of the process modules 12 to 15 are connected via vacuum gate valves 19 to 22, respectively.

基板処理システム10では、ローダーモジュール16の内部圧力が大気圧に維持される一方、トランスファモジュール11の内部圧力は真空に維持される。そのため、各ロード・ロックモジュール17,18は、それぞれトランスファモジュール11との連結部に真空ゲートバルブ23,24を備えると共に、ローダーモジュール16との連結部に大気ドアバルブ25,26を備えることによって、その内部圧力を調整可能な真空予備搬送室として構成される。また、各ロード・ロックモジュール17,18はローダーモジュール16及びトランスファモジュール11の間において受渡されるウエハWを一時的に載置するためのウエハ載置台27,28を有する。   In the substrate processing system 10, the internal pressure of the loader module 16 is maintained at atmospheric pressure, while the internal pressure of the transfer module 11 is maintained at vacuum. Therefore, each load lock module 17, 18 is provided with vacuum gate valves 23, 24 at the connection portion with the transfer module 11, and atmospheric door valves 25, 26 at the connection portion with the loader module 16. It is configured as a vacuum preliminary transfer chamber that can adjust the internal pressure. Each load / lock module 17, 18 has a wafer mounting table 27, 28 for temporarily mounting a wafer W delivered between the loader module 16 and the transfer module 11.

トランスファモジュール11はその内部に配置された屈伸及び旋回自在になされたフロッグレッグタイプの搬送アーム29を有し、該搬送アーム29は、各プロセスモジュール12〜15や各ロード・ロックモジュール17,18の間においてウエハWを搬送する。   The transfer module 11 has a frog-leg type transfer arm 29 arranged in the inside thereof so as to be able to bend and stretch, and the transfer arm 29 is provided with the process modules 12 to 15 and the load / lock modules 17 and 18. The wafer W is transferred between them.

ローダーモジュール16には、上述したロード・ロックモジュール17,18の他、25枚のウエハWを収容する容器としてのフープ(Front Opening Unified Pod)30がそれぞれ載置される3つのフープ載置台31と、ウエハWを表裏反転させるウエハ反転モジュール36を介して、ウエハWの裏面に後述する保護膜を印刷するプリンティングモジュール34と、ウエハWの裏面から上記保護膜を除去するクリーニングモジュール35とが接続されている。   In addition to the load / lock modules 17 and 18 described above, the loader module 16 includes three FOUP mounting tables 31 on which FOUPs (Front Opening Unified Pods) 30 as containers for storing 25 wafers W are respectively mounted. A printing module 34 that prints a protective film to be described later on the back surface of the wafer W and a cleaning module 35 that removes the protective film from the back surface of the wafer W are connected via a wafer reversing module 36 that reverses the wafer W. ing.

ロード・ロックモジュール17,18は、ローダーモジュール16の長手方向における側壁に接続されると共にローダーモジュール16を挟んで3つのフープ載置台31と対向するように配置され、プリンティングモジュール34はローダーモジュール16の長手方向に関する一端に配置され、クリーニングモジュール35はローダーモジュール16の長手方向に関する他端に配置される。   The load / lock modules 17 and 18 are connected to the side wall in the longitudinal direction of the loader module 16 and are disposed so as to face the three hoop mounting tables 31 with the loader module 16 interposed therebetween. The cleaning module 35 is disposed at one end in the longitudinal direction, and the cleaning module 35 is disposed at the other end in the longitudinal direction of the loader module 16.

ローダーモジュール16は、内部に配置された、ウエハWを搬送するスカラ型デュアルアームタイプの搬送アーム機構32と、各フープ載置台31に対応するように側壁に配置されたウエハWの投入口としての3つのロードポート33とを有する。搬送アーム機構32は、フープ載置台31に載置されたフープ30からウエハWをロードポート33経由で取り出し、該取り出したウエハWをロード・ロックモジュール17,18、ウエハ反転モジュール36を介してプリンティングモジュール34、及びクリーニングモジュール35へ搬出入する。   The loader module 16 serves as a loading port for the wafer W disposed on the side wall so as to correspond to the scalar type dual arm type transport arm mechanism 32 for transporting the wafer W and the respective hoop mounting tables 31. And three load ports 33. The transfer arm mechanism 32 takes out the wafer W from the FOUP 30 placed on the FOUP placement table 31 via the load port 33, and prints the taken wafer W via the load / lock modules 17 and 18 and the wafer reversing module 36. Carry in and out of the module 34 and the cleaning module 35.

基板処理システム10では、プリンティングモジュール34(保護膜印刷装置)がウエハWの裏面に後述する保護膜を印刷し、プロセスモジュール13(エッチング装置)がウエハWにRIE処理を施し、クリーニングモジュール35(保護膜除去装置)がウエハWの裏面に印刷された上記保護膜を除去する。基板処理システム10において、ウエハWはプリンティングモジュール34、プロセスモジュール13及びクリーニングモジュール35の順で搬送される。なお、ウエハWはプリンティングモジュール34に搬入される前に、ウエハ反転モジュール36によって表裏が反転される。   In the substrate processing system 10, the printing module 34 (protective film printing apparatus) prints a protective film (to be described later) on the back surface of the wafer W, the process module 13 (etching apparatus) performs RIE processing on the wafer W, and the cleaning module 35 (protection module 35). A film removing device removes the protective film printed on the back surface of the wafer W. In the substrate processing system 10, the wafer W is transferred in the order of the printing module 34, the process module 13, and the cleaning module 35. Incidentally, before the wafer W is carried into the printing module 34, the front and back are reversed by the wafer reversing module 36.

図2は、図1におけるプリンティングモジュール34の構成を概略的に示す断面図である。   FIG. 2 is a cross-sectional view schematically showing the configuration of the printing module 34 in FIG.

図2において、プリンティングモジュール34は、ウエハWを収容する筐体状の収容室としてのチャンバ37と、該チャンバ37の底面部38に配置された載置台39と、載置台39と所定の間隔だけ離間されて載置台39に対向するように配置されたスクリーン印刷ユニット40とを備える。   In FIG. 2, the printing module 34 includes a chamber 37 serving as a housing-like storage chamber for storing the wafer W, a mounting table 39 disposed on the bottom surface 38 of the chamber 37, and a predetermined distance from the mounting table 39. And a screen printing unit 40 disposed so as to be opposed to the mounting table 39.

載置台39は円柱状の突出物であり、上面に複数のリフトピン41が配置されている。各リフトピン41はその頂部が斜面状に形成されており、リフトピン41はチャンバ37に搬入されたウエハWの表面の周縁と接触して該ウエハWを支持する。また、各リフトピン41はウエハWを図中上下方向(垂直方向)に移動することができる。ウエハWの搬出入の際には、リフトピン41は、ウエハWがチャンバ37の側壁に設けられたウエハWの搬出入口47に対応する高さに位置するように、ウエハWを移動する。   The mounting table 39 is a cylindrical protrusion, and a plurality of lift pins 41 are arranged on the upper surface. Each lift pin 41 is formed with a slope at the top, and the lift pin 41 contacts the peripheral edge of the surface of the wafer W loaded into the chamber 37 to support the wafer W. Each lift pin 41 can move the wafer W in the vertical direction (vertical direction) in the drawing. When the wafer W is loaded / unloaded, the lift pins 41 move the wafer W so that the wafer W is positioned at a height corresponding to the wafer W loading / unloading port 47 provided on the side wall of the chamber 37.

スクリーン印刷ユニット40は、ウエハWの裏面と同一形状の版膜42が形成されたスクリーン膜43と、該スクリーン膜43が張着された枠44と、スクリーン膜43の上方に配置され、ヘラ状に形成されたスキージ45とを有する。   The screen printing unit 40 includes a screen film 43 on which a plate film 42 having the same shape as the back surface of the wafer W is formed, a frame 44 on which the screen film 43 is stretched, and a screen-like film 43. And a squeegee 45 formed on the surface.

プリンティングモジュール34では、スクリーン印刷処理によってウエハWの裏面に樹脂からなる保護膜を印刷する。具体的には、まず、リフトピン41が、ウエハWの裏面がスクリーン印刷ユニット40の下方の所定の高さに位置するように、ウエハWを移動し、次に、スクリーン膜43上に絶縁性の樹脂46を載せ、スキージ45により当該樹脂46をスクリーン膜41、特に版膜42に対して加圧しながら当該スキージ45を図中左右方向に移動することによって、ウエハWの裏面に樹脂46からなる保護膜を印刷する。   In the printing module 34, a protective film made of resin is printed on the back surface of the wafer W by a screen printing process. Specifically, first, the lift pins 41 move the wafer W so that the back surface of the wafer W is positioned at a predetermined height below the screen printing unit 40, and then the insulating film is formed on the screen film 43. A resin 46 is placed on the back surface of the wafer W to protect the rear surface of the wafer W by moving the squeegee 45 in the horizontal direction in the figure while pressing the resin 46 against the screen film 41, particularly the plate film 42, with the squeegee 45. Print the membrane.

プリンティングモジュール34では、ウエハWの裏面に30〜50μmの厚さの保護膜を印刷する。なお、印刷される樹脂46は、例えばポリイミドであればよい。また、印刷される保護膜は樹脂からなる保護膜に限られず、例えば、シリカ(SiO)、レジストからなる保護膜であってもよく、無弗素芳香族炭化水素の有機ポリマー、具体的にはSiLK(登録商標)からなる保護膜であってもよい。 In the printing module 34, a protective film having a thickness of 30 to 50 μm is printed on the back surface of the wafer W. The printed resin 46 may be polyimide, for example. Further, the protective film to be printed is not limited to a protective film made of a resin, but may be, for example, a protective film made of silica (SiO 2 ) or a resist, specifically an organic polymer of a non-fluorinated aromatic hydrocarbon, specifically A protective film made of SiLK (registered trademark) may be used.

本実施の形態では、スクリーン印刷処理によってウエハWの裏面に保護膜を印刷したが、予めウエハWの裏面の形状に合わせて作成された樹脂フィルム(所定膜)をウエハWの裏面に貼着するフィルム貼着処理によってウエハWの裏面に保護膜を印刷してもよい。   In the present embodiment, the protective film is printed on the back surface of the wafer W by screen printing processing, but a resin film (predetermined film) prepared in advance according to the shape of the back surface of the wafer W is attached to the back surface of the wafer W. You may print a protective film on the back surface of the wafer W by a film sticking process.

プリンティングモジュール34で裏面に保護膜が印刷されたウエハWは、チャンバ37から搬出されるとウエハ反転モジュール36によって表裏が反転され、さらに、ローダーモジュール16によって搬送される。   When the wafer W on which the protective film is printed on the back surface by the printing module 34 is unloaded from the chamber 37, the front and back are reversed by the wafer reversing module 36 and further conveyed by the loader module 16.

図3は、図1におけるプロセスモジュール13の構成を概略的に示す断面図である。   FIG. 3 is a cross-sectional view schematically showing the configuration of the process module 13 in FIG.

図3において、プロセスモジュール13は、裏面に上述したプリンティングモジュール34によって保護膜48が印刷されたウエハWを収容するチャンバ51を有し、該チャンバ51内には上記ウエハWを載置する載置台としての円柱状のサセプタ52が配置されている。   In FIG. 3, the process module 13 has a chamber 51 for accommodating the wafer W on which the protective film 48 is printed by the above-described printing module 34 on the back surface, and a mounting table on which the wafer W is mounted. A cylindrical susceptor 52 is disposed.

プロセスモジュール13では、チャンバ51の内側壁とサセプタ52の側面とによって、サセプタ52上方のガスをチャンバ51の外へ排出する流路として機能する側方排気路53が形成される。この側方排気路53の途中には排気プレート54が配置される。   In the process module 13, a side exhaust path 53 that functions as a flow path for discharging the gas above the susceptor 52 out of the chamber 51 is formed by the inner wall of the chamber 51 and the side surface of the susceptor 52. An exhaust plate 54 is disposed in the middle of the side exhaust path 53.

排気プレート54は多数の孔を有する板状部材であり、チャンバ51を上部と下部に仕切る仕切り板として機能する。排気プレート54によって仕切られたチャンバ51の上部57には、ウエハWを載置するサセプタ52等が配置され、プラズマが発生する。以下、チャンバ51の上部を「反応室」と称する。また、チャンバ51の下部(以下、「排気室(マニホールド)」という。)58にはチャンバ51内のガスを排出する粗引き排気管55及び本排気管56が開口する。粗引き排気管55にはDP(Dry Pump)(図示しない)が接続され、本排気管56にはTMP(Turbo Molecular Pump)(図示しない)が接続される。また、排気プレート54は反応室57の後述する処理空間Sにおいて発生するイオンやラジカルを捕捉又は反射してこれらのマニホールド58への漏洩を防止する。   The exhaust plate 54 is a plate-like member having a large number of holes, and functions as a partition plate that partitions the chamber 51 into an upper part and a lower part. In an upper part 57 of the chamber 51 partitioned by the exhaust plate 54, a susceptor 52 and the like on which the wafer W is placed are arranged, and plasma is generated. Hereinafter, the upper part of the chamber 51 is referred to as a “reaction chamber”. Further, a roughing exhaust pipe 55 and a main exhaust pipe 56 for exhausting the gas in the chamber 51 are opened in a lower part 58 (hereinafter referred to as “exhaust chamber (manifold)”) of the chamber 51. A DP (Dry Pump) (not shown) is connected to the roughing exhaust pipe 55, and a TMP (Turbo Molecular Pump) (not shown) is connected to the main exhaust pipe 56. The exhaust plate 54 captures or reflects ions and radicals generated in a processing space S (described later) of the reaction chamber 57 to prevent leakage to the manifold 58.

粗引き排気管55、本排気管56、DP及びTMP等は排気装置を構成し、該排気装置は反応室57のガスをマニホールド58を介してチャンバ51の外部へ排出する。具体的には、粗引き排気管55はチャンバ51内を大気圧から低真空状態まで減圧し、本排気管56は粗引き排気管55と協働してチャンバ51内を大気圧から低真空状態より低い圧力である高真空状態(例えば、133Pa(1Torr)以下)まで減圧する。   The roughing exhaust pipe 55, the main exhaust pipe 56, DP, TMP, and the like constitute an exhaust device, and the exhaust device exhausts the gas in the reaction chamber 57 to the outside of the chamber 51 through the manifold 58. Specifically, the roughing exhaust pipe 55 depressurizes the inside of the chamber 51 from the atmospheric pressure to a low vacuum state, and the main exhaust pipe 56 cooperates with the roughing exhaust pipe 55 in the chamber 51 from the atmospheric pressure to a low vacuum state. The pressure is reduced to a high vacuum state (for example, 133 Pa (1 Torr or less)) which is a lower pressure.

サセプタ52には下部高周波電源59が整合器60を介して接続されており、該下部高周波電源59は、所定の高周波電力をサセプタ52に供給する。これにより、サセプタ52は下部電極として機能する。また、整合器60は、サセプタ52からの高周波電力の反射を低減して高周波電力のサセプタ52への供給効率を最大にする。   A lower high frequency power supply 59 is connected to the susceptor 52 via a matching unit 60, and the lower high frequency power supply 59 supplies predetermined high frequency power to the susceptor 52. Thereby, the susceptor 52 functions as a lower electrode. In addition, the matching unit 60 reduces the reflection of the high frequency power from the susceptor 52 to maximize the supply efficiency of the high frequency power to the susceptor 52.

通常、サセプタ52の上部には、直流電源が電気的に接続された電極板を内部に有する絶縁性部材、例えば、イットリア、アルミナ(Al)やシリカからなる円板状の静電チャックが配置され、サセプタ52がウエハWを載置するときに、該ウエハWは静電チャック上に配される。そして、電極板に負の直流電圧が印加された際に電極板及びウエハWの裏面の間に電位差が発生し、該発生した電位差に起因するクーロン力又はジョンソン・ラーベック力によってウエハWは静電チャックの上面に吸着保持される。一方、本実施の形態では、サセプタ52の上部においてサセプタ52の基材、例えばアルミが露出する。 Usually, on the upper part of the susceptor 52, an insulating member having an electrode plate to which a DC power supply is electrically connected, for example, a disc-shaped electrostatic chuck made of yttria, alumina (Al 2 O 3 ), or silica. When the susceptor 52 places the wafer W thereon, the wafer W is placed on the electrostatic chuck. When a negative DC voltage is applied to the electrode plate, a potential difference is generated between the electrode plate and the back surface of the wafer W, and the wafer W is electrostatically electrostatically caused by the Coulomb force or Johnson Rabeck force resulting from the generated potential difference. Adsorbed and held on the upper surface of the chuck. On the other hand, in the present embodiment, the base material of the susceptor 52, for example, aluminum is exposed at the upper portion of the susceptor 52.

本実施の形態では、ウエハWの裏面に30〜50μmの厚さの絶縁性の樹脂からなる保護膜48が印刷されるため、サセプタ52の上部に上記静電チャックを配置することなく、サセプタ52の基材を露出させたまま、ウエハWをサセプタ52の上面に吸着保持することができる。具体的には、サセプタ52には直流電源61が電気的に接続されており、サセプタ52に負の直流電圧が印加されると、ウエハWにおける保護膜48との界面に正電位が発生し、さらに、ウエハWの表面には負電位が発生する。そして、サセプタ52の上面及びウエハWにおける保護膜48との界面の間に電位差が生じ、該電位差に起因するクーロン力又はジョンソン・ラーベック力によってウエハWはサセプタ52の上面に吸着保持される。なお、本実施の形態においてサセプタ52の上部に上記静電チャックを配置してもよい。   In the present embodiment, since the protective film 48 made of an insulating resin having a thickness of 30 to 50 μm is printed on the back surface of the wafer W, the susceptor 52 is not disposed on the susceptor 52. The wafer W can be adsorbed and held on the upper surface of the susceptor 52 with the base material exposed. Specifically, a DC power supply 61 is electrically connected to the susceptor 52, and when a negative DC voltage is applied to the susceptor 52, a positive potential is generated at the interface with the protective film 48 on the wafer W, Further, a negative potential is generated on the surface of the wafer W. Then, a potential difference is generated between the upper surface of the susceptor 52 and the interface of the wafer W with the protective film 48, and the wafer W is attracted and held on the upper surface of the susceptor 52 by Coulomb force or Johnson Rabeck force resulting from the potential difference. In the present embodiment, the electrostatic chuck may be disposed above the susceptor 52.

また、サセプタ52の上方には、サセプタ52の上面に吸着保持されたウエハWの周りを囲うように円環状のフォーカスリング62が配設される。このフォーカスリング62は、処理空間Sに露出し、該処理空間SにおいてプラズマをウエハWの表面に向けて収束し、RIE処理の効率を向上させる。   Further, an annular focus ring 62 is disposed above the susceptor 52 so as to surround the wafer W sucked and held on the upper surface of the susceptor 52. The focus ring 62 is exposed to the processing space S and converges the plasma toward the surface of the wafer W in the processing space S, thereby improving the efficiency of the RIE processing.

また、サセプタ52の内部には、例えば、円周方向に延在する環状の冷媒室63が設けられる。この冷媒室63には、チラーユニット(図示しない)から冷媒用配管64を介して所定温度の冷媒、例えば、冷却水やガルデンが循環供給され、当該冷媒の温度によってサセプタ52の上面に吸着保持されたウエハWの処理温度が制御される。   Further, for example, an annular coolant chamber 63 extending in the circumferential direction is provided inside the susceptor 52. A refrigerant having a predetermined temperature, for example, cooling water or galden, is circulated and supplied from the chiller unit (not shown) to the refrigerant chamber 63 via a refrigerant pipe 64 and is adsorbed and held on the upper surface of the susceptor 52 by the temperature of the refrigerant. The processing temperature of the wafer W is controlled.

サセプタ52の上面のウエハWが吸着保持される部分(以下、「吸着面」という。)には、複数の伝熱ガス供給孔65が開口している。これら複数の伝熱ガス供給孔65は、伝熱ガス供給ライン66を介して伝熱ガス供給部(図示しない)に接続され、該伝熱ガス供給部は伝熱ガスとしてのヘリウムガスを、伝熱ガス供給孔65を介して吸着面及びウエハWの裏面の間隙に供給する。吸着面及びウエハWの裏面の間隙に供給されたヘリウムガスはウエハWの熱をサセプタ52に伝熱する。   A plurality of heat transfer gas supply holes 65 are opened in a portion of the upper surface of the susceptor 52 where the wafer W is adsorbed and held (hereinafter referred to as “adsorption surface”). The plurality of heat transfer gas supply holes 65 are connected to a heat transfer gas supply unit (not shown) via a heat transfer gas supply line 66, and the heat transfer gas supply unit transfers helium gas as the heat transfer gas. It is supplied to the gap between the suction surface and the back surface of the wafer W through the hot gas supply hole 65. The helium gas supplied to the gap between the suction surface and the back surface of the wafer W transfers the heat of the wafer W to the susceptor 52.

また、サセプタ52の吸着面には、突出自在なリフトピンとしての複数のプッシャーピン(図示しない)が配置されている。これらのプッシャーピンは、モータ(図示しない)とボールねじ(図示しない)を介して接続され、ボールねじによって直線運動に変換されたモータの回転運動に起因して吸着面から自在に突出する。ウエハWにRIE処理を施すためにウエハWを吸着面に吸着保持するときには、プッシャーピンはサセプタ52に収容され、RIE処理が施されたウエハWをチャンバ51から搬出するときには、プッシャーピンは突出してウエハWをサセプタ52から離間させて上方へ持ち上げる。   In addition, a plurality of pusher pins (not shown) serving as liftable lift pins are disposed on the suction surface of the susceptor 52. These pusher pins are connected via a motor (not shown) and a ball screw (not shown), and freely protrude from the suction surface due to the rotational motion of the motor converted into a linear motion by the ball screw. When the wafer W is sucked and held on the suction surface in order to perform the RIE process on the wafer W, the pusher pin is accommodated in the susceptor 52, and when the wafer W subjected to the RIE process is unloaded from the chamber 51, the pusher pin protrudes. The wafer W is separated from the susceptor 52 and lifted upward.

チャンバ51(反応室57)の天井部には、サセプタ52と対向するようにガス導入シャワーヘッド67が配置されている。ガス導入シャワーヘッド67には整合器68を介して上部高周波電源69が接続されており、上部高周波電源69は所定の高周波電力をガス導入シャワーヘッド67に供給するので、ガス導入シャワーヘッド67は上部電極として機能する。なお、整合器68の機能は上述した整合器60の機能と同じである。   A gas introduction shower head 67 is disposed on the ceiling of the chamber 51 (reaction chamber 57) so as to face the susceptor 52. An upper high-frequency power source 69 is connected to the gas introduction shower head 67 via a matching unit 68, and the upper high-frequency power source 69 supplies predetermined high-frequency power to the gas introduction shower head 67. Functions as an electrode. The function of the matching unit 68 is the same as the function of the matching unit 60 described above.

ガス導入シャワーヘッド67は、多数のガス穴70を有する天井電極板71と、該天井電極板71を着脱可能に支持する電極支持体72とを有する。また、該電極支持体72の内部にはバッファ室73が設けられ、このバッファ室73には処理ガス導入管74が接続されている。ガス導入シャワーヘッド67は、処理ガス導入管74からバッファ室73へ供給された処理ガスをガス穴70を経由してチャンバ51(反応室57)内へ供給する。   The gas introduction shower head 67 includes a ceiling electrode plate 71 having a number of gas holes 70 and an electrode support 72 that detachably supports the ceiling electrode plate 71. In addition, a buffer chamber 73 is provided inside the electrode support 72, and a processing gas introduction pipe 74 is connected to the buffer chamber 73. The gas introduction shower head 67 supplies the processing gas supplied from the processing gas introduction pipe 74 to the buffer chamber 73 into the chamber 51 (reaction chamber 57) via the gas hole 70.

また、チャンバ51の側壁には、プッシャーピンによってサセプタ52から上方へ持ち上げられたウエハWの高さに対応する位置にウエハWの搬出入口75が設けられ、搬出入口75には、該搬出入口75を開閉する真空ゲートバルブ20が取り付けられている。   In addition, on the side wall of the chamber 51, a wafer W loading / unloading port 75 is provided at a position corresponding to the height of the wafer W lifted upward from the susceptor 52 by a pusher pin. A vacuum gate valve 20 for opening and closing is attached.

このプロセスモジュール13のチャンバ51内では、上述したように、サセプタ52及びガス導入シャワーヘッド67に高周波電力を供給して、サセプタ52及びガス導入シャワーヘッド67の間の処理空間Sに高周波電力を印加することにより、該処理空間Sにおいてガス導入シャワーヘッド67から供給された処理ガスを高密度のプラズマにしてイオンやラジカルを発生させ、該イオン等によってウエハWにRIE処理を施す。   In the chamber 51 of the process module 13, as described above, high frequency power is supplied to the susceptor 52 and the gas introduction shower head 67 and high frequency power is applied to the processing space S between the susceptor 52 and the gas introduction shower head 67. As a result, the processing gas supplied from the gas introduction shower head 67 in the processing space S is made into high-density plasma to generate ions and radicals, and the wafer W is subjected to RIE processing by the ions and the like.

図4は、図1におけるクリーニングモジュール35の構成を概略的に示す断面図である。   FIG. 4 is a cross-sectional view schematically showing the configuration of the cleaning module 35 in FIG.

図4において、クリーニングモジュール35は、裏面に上述した保護膜48が印刷されると共に、表面に上述したRIE処理が施されたウエハWを収容する筐体状の収容室としてのチャンバ81と、該チャンバ81の底面部82に配置された載置台83と、チャンバ81内のガス等を外部へ排出する排出管84とを備える。   In FIG. 4, the cleaning module 35 includes a chamber 81 serving as a housing-like storage chamber for storing the above-described protective film 48 on the back surface and storing the wafer W subjected to the above-described RIE process on the front surface. A mounting table 83 disposed on the bottom surface portion 82 of the chamber 81 and a discharge pipe 84 for discharging gas in the chamber 81 to the outside are provided.

載置台83は円柱状の突出物であり、その内部に常圧の環境下において供給された処理ガスをプラズマにしてイオンやラジカルを発生させる常圧プラズマ発生部(図示しない)を有し、上面に該常圧プラズマ発生部で発生したイオン等を噴出する複数の噴出孔85を有する。また、載置台83の上面には複数のリフトピン86が配置されている。各リフトピン86はその頂部が円錐状に形成されており、リフトピン86はチャンバ81に搬入されたウエハWの裏面に印刷された保護膜48に接触して該ウエハWを支持する。また、各リフトピン86はウエハWを図中上下方向に移動することができる。ウエハWの裏面に印刷された保護膜48を除去する際には、リフトピン86は、ウエハWの裏面に印刷された保護膜48と各噴出孔85との間隙が1〜3mmになるように、ウエハWを移動し、ウエハWの搬出入の際には、リフトピン86は、ウエハWがチャンバ81の側壁に設けられたウエハWの搬出入口87に対応する高さに位置するように、ウエハWを移動する。   The mounting table 83 is a cylindrical protrusion, and has an atmospheric pressure plasma generation unit (not shown) that generates ions and radicals by using a processing gas supplied in an atmospheric pressure as a plasma. Are provided with a plurality of ejection holes 85 for ejecting ions and the like generated in the atmospheric pressure plasma generating section. A plurality of lift pins 86 are arranged on the upper surface of the mounting table 83. Each lift pin 86 has a top formed in a conical shape, and the lift pin 86 contacts the protective film 48 printed on the back surface of the wafer W carried into the chamber 81 to support the wafer W. Each lift pin 86 can move the wafer W in the vertical direction in the drawing. When removing the protective film 48 printed on the back surface of the wafer W, the lift pins 86 are arranged so that the gap between the protective film 48 printed on the back surface of the wafer W and each ejection hole 85 is 1 to 3 mm. When the wafer W is moved and the wafer W is loaded / unloaded, the lift pins 86 are positioned at a height corresponding to the wafer W loading / unloading port 87 provided on the side wall of the chamber 81. To move.

クリーニングモジュール35では、リフトピン86によって支持されたウエハWの裏面に印刷された保護膜48に向けて各噴出孔85からイオン等が噴出される。各噴出孔85から噴出されたイオン等は保護膜48を分解・除去する(常圧プラズマアッシング処理)。なお、保護膜48におけるリフトピン86との接触部分は該リフトピン86により上記イオン等の接触が阻害され、当該接触部分の保護膜は分解・除去することができない。したがって、上記搬送アーム機構32によりウエハWの位置をずらして再度リフトピン86と接触させ、上記接触部分の保護膜を同様に分解・除去する。   In the cleaning module 35, ions and the like are ejected from the ejection holes 85 toward the protective film 48 printed on the back surface of the wafer W supported by the lift pins 86. The ions ejected from the respective ejection holes 85 decompose and remove the protective film 48 (atmospheric pressure plasma ashing process). It should be noted that the contact portion of the protective film 48 with the lift pin 86 is prevented from being contacted with the ions or the like by the lift pin 86, and the protective film of the contact portion cannot be decomposed or removed. Therefore, the position of the wafer W is shifted by the transfer arm mechanism 32 and brought into contact with the lift pins 86 again, and the protective film at the contact portion is similarly disassembled and removed.

本実施の形態では、載置台83の内部に常圧プラズマ発生部を有し、該常圧プラズマ発生部で発生したイオン等を複数の噴出孔85から噴出し、該イオン等によって保護膜48を分解・除去したが、載置台83の内部に過熱水蒸気を発生させる過熱水蒸気発生部を有し、該過熱水蒸気発生部で発生した過熱水蒸気を複数の噴出孔85から噴出し、該過熱水蒸気によって保護膜48を剥離してもよい(過熱水蒸気噴出処理)。ここでは、120℃以上の過熱水蒸気を用いる。   In the present embodiment, the mounting table 83 has an atmospheric pressure plasma generation unit, and ions generated in the atmospheric pressure plasma generation unit are ejected from the plurality of ejection holes 85, and the protective film 48 is formed by the ions or the like. Although it has been decomposed and removed, it has a superheated steam generating section that generates superheated steam inside the mounting table 83, and the superheated steam generated in the superheated steam generating section is ejected from a plurality of ejection holes 85 and protected by the superheated steam. The film 48 may be peeled off (superheated steam ejection process). Here, superheated steam at 120 ° C. or higher is used.

また、本実施の形態において、載置台83の上面に噴出孔85から噴出したイオン等や過熱水蒸気等を吸引する吸引口を備えてもよい。   In the present embodiment, a suction port may be provided on the top surface of the mounting table 83 for sucking ions or the like ejected from the ejection holes 85 or superheated steam.

図1に戻り、基板処理システム10は、各構成要素、例えば、トランスファモジュール11、プロセスモジュール12〜15やローダーモジュール16の動作を制御するシステムコントローラ(図示しない)と、ローダーモジュール16の長手方向に関する一端に配置されたオペレーションパネル90を備える。   Returning to FIG. 1, the substrate processing system 10 is related to a longitudinal direction of the loader module 16 and a system controller (not shown) that controls the operation of each component, for example, the transfer module 11, the process modules 12 to 15 and the loader module 16. An operation panel 90 is provided at one end.

オペレーションパネル90は、例えばLCD(Liquid Crystal Display)からなる表示部を有し、該表示部は基板処理システム10の各構成要素の動作状況を表示する。   The operation panel 90 includes a display unit made up of, for example, an LCD (Liquid Crystal Display), and the display unit displays the operation status of each component of the substrate processing system 10.

上述した基板処理システム10によれば、RIE処理が施される前のウエハWの裏面にスクリーン印刷処理によって30〜50μmの厚さの保護膜48が印刷され、RIE処理が施された後のウエハWの裏面から常圧プラズマアッシング処理によって上記保護膜48が除去されるので、ウエハWの裏面に厚い保護膜48を印刷することができると共に、該保護膜48を確実に除去することができる。また、プロセスモジュール13においてサセプタ52はウエハWの裏面に印刷された厚い保護膜48と接触する。したがって、ウエハWがサセプタ52によって繰り返し静電吸着される際においても、ウエハWの裏面及びサセプタ52の上面に傷が付くのを確実に防止することができ、ウエハWとサセプタ52の密着性が向上するため、ウエハWの温度制御性を向上することができる。さらに、サセプタ52の上部に静電チャックを配置することなく、サセプタ52の基材を露出させたままでウエハWを吸着保持することができるので、サセプタ52の構成を簡略化することができる。   According to the substrate processing system 10 described above, the protective film 48 having a thickness of 30 to 50 μm is printed by the screen printing process on the back surface of the wafer W before the RIE process, and the wafer after the RIE process is performed. Since the protective film 48 is removed from the back surface of W by atmospheric pressure plasma ashing, the thick protective film 48 can be printed on the back surface of the wafer W, and the protective film 48 can be reliably removed. In the process module 13, the susceptor 52 comes into contact with the thick protective film 48 printed on the back surface of the wafer W. Therefore, even when the wafer W is repeatedly electrostatically attracted by the susceptor 52, the back surface of the wafer W and the top surface of the susceptor 52 can be reliably prevented from being damaged, and the adhesion between the wafer W and the susceptor 52 can be prevented. Therefore, the temperature controllability of the wafer W can be improved. Furthermore, since the wafer W can be sucked and held with the base material of the susceptor 52 exposed without disposing an electrostatic chuck on the susceptor 52, the configuration of the susceptor 52 can be simplified.

基板処理システム10では、プリンティングモジュール34はスクリーン印刷処理によって保護膜48を印刷するので、該プリンティングモジュール34は大気系処理装置である。したがって、常圧の環境下においてウエハWの裏面に保護膜48を印刷することができるので、基板処理システム10のスループットを著しく低下させることはない。また、ローダーモジュール16は大気系基板搬送装置であるため、プリンティングモジュール34はローダーモジュール16に簡単に接続することができる。したがって、従来の基板処理システムの構成を大幅に変更することなくプリンティングモジュール34を接続することができる。   In the substrate processing system 10, since the printing module 34 prints the protective film 48 by screen printing processing, the printing module 34 is an atmospheric processing apparatus. Therefore, since the protective film 48 can be printed on the back surface of the wafer W under a normal pressure environment, the throughput of the substrate processing system 10 is not significantly reduced. Further, since the loader module 16 is an atmospheric substrate transfer device, the printing module 34 can be easily connected to the loader module 16. Accordingly, the printing module 34 can be connected without significantly changing the configuration of the conventional substrate processing system.

また、基板処理システム10では、クリーニングモジュール35は常圧プラズマアッシング処理によって保護膜48を除去するので、該クリーニングモジュール35は大気系処理装置である。したがって、常圧の環境下においてウエハWの裏面から保護膜48を除去することができるので、基板処理システム10のスループットを著しく低下させることはない。また、ローダーモジュール16は大気系基板搬送装置であるため、クリーニングモジュール35はローダーモジュール16に簡単に接続することができる。したがって、従来の基板処理システムの構成を大幅に変更することなくクリーニングモジュール35を接続することができる。   Further, in the substrate processing system 10, the cleaning module 35 removes the protective film 48 by the atmospheric pressure plasma ashing process, so the cleaning module 35 is an atmospheric processing apparatus. Therefore, since the protective film 48 can be removed from the back surface of the wafer W under a normal pressure environment, the throughput of the substrate processing system 10 is not significantly reduced. Further, since the loader module 16 is an atmospheric substrate transfer device, the cleaning module 35 can be easily connected to the loader module 16. Accordingly, the cleaning module 35 can be connected without significantly changing the configuration of the conventional substrate processing system.

次に、上述したウエハWの裏面から保護膜を除去するクリーニングモジュールの変形例について説明する。   Next, a modified example of the cleaning module that removes the protective film from the back surface of the wafer W will be described.

本変形例は、その構成や作用が上述したクリーニングモジュール35と基本的に同じであり、ウエハWの保持方法が上述したクリーニングモジュール35と異なるのみである。したがって、同様の構成については説明を省略し、以下に上述したクリーニングモジュール35と異なる構成・作用についてのみ説明を行う。   This modification is basically the same in configuration and operation as the cleaning module 35 described above, and only the method for holding the wafer W is different from that in the cleaning module 35 described above. Therefore, the description of the same configuration is omitted, and only the configuration and operation different from the cleaning module 35 described above will be described below.

図5は、ウエハWの裏面から保護膜を除去するクリーニングモジュールの変形例の構成を概略的に示す断面図である。   FIG. 5 is a cross-sectional view schematically showing a configuration of a modified example of the cleaning module for removing the protective film from the back surface of the wafer W.

図5において、クリーニングモジュール91は、載置台83の上方に設けられたベルヌーイチャックユニット92を備える。ベルヌーイチャックユニット92は、ガス導入管93と、該ガス導入管93に接続され、末広がり状に形成されると共に外側の端部が内側に湾曲したノズル94とを有する。   In FIG. 5, the cleaning module 91 includes a Bernoulli chuck unit 92 provided above the mounting table 83. The Bernoulli chuck unit 92 includes a gas introduction pipe 93 and a nozzle 94 that is connected to the gas introduction pipe 93 and has a divergent shape and has an outer end curved inward.

ベルヌーイチャックユニット92は、図5に示すように、ガス導入管93から所定のガスをノズル94内へ導入し、ノズル94は導入されたガスをウエハWの表面側からウエハWに向けて供給する。そして、表面側から供給されたガスはウエハWの裏面側に回り込み、その際に発生する表面側と裏面側とのガスの圧力差によってウエハWを浮上させ、これにより、ウエハWを接触することなく支持する。   As shown in FIG. 5, the Bernoulli chuck unit 92 introduces a predetermined gas into the nozzle 94 from the gas introduction pipe 93, and the nozzle 94 supplies the introduced gas toward the wafer W from the surface side of the wafer W. . Then, the gas supplied from the front side goes around to the back side of the wafer W, and the wafer W is lifted by the gas pressure difference between the front side and the back side generated at this time, thereby contacting the wafer W. Without support.

クリーニングモジュール91では、ベルヌーイチャックユニット92によって接触することなく支持されたウエハWの裏面に印刷された保護膜48に常圧プラズマアッシング処理若しくは過熱水蒸気噴出処理を施し、ウエハWの裏面から保護膜48を除去する。   In the cleaning module 91, the protective film 48 printed on the back surface of the wafer W supported without being contacted by the Bernoulli chuck unit 92 is subjected to an atmospheric pressure plasma ashing process or a superheated steam blowing process, and the protective film 48 is applied from the back surface of the wafer W. Remove.

本変形例によれば、接触することなく支持されたウエハWの裏面から常圧プラズマアッシング処理若しくは過熱水蒸気噴出処理によって保護膜48を除去するので、確実にウエハWの裏面から保護膜48を除去することができる。したがって、上述したクリーニングモジュール35のようにウエハWの位置をずらして再度常圧プラズマアッシング処理若しくは過熱水蒸気噴出処理を行う必要がないので、基板処理システム10のスループットの低下を確実に防止することができる。   According to this modification, the protective film 48 is removed from the back surface of the wafer W supported without contact by atmospheric pressure plasma ashing or superheated steam ejection, so that the protective film 48 is reliably removed from the back surface of the wafer W. can do. Therefore, unlike the cleaning module 35 described above, it is not necessary to shift the position of the wafer W and perform the atmospheric pressure plasma ashing process or the superheated steam ejection process again, so that it is possible to reliably prevent a decrease in the throughput of the substrate processing system 10. it can.

また、上述した実施の形態における基板処理システムにおいてエッチング処理が施される基板は半導体ウエハに限られず、LCD(Liquid Crystal Display)やFPD(Flat Panel Display)等に用いる各種基板や、フォトマスク、CD基板、プリント基板等であってもよい。   Further, the substrate subjected to the etching process in the substrate processing system in the above-described embodiment is not limited to a semiconductor wafer, but various substrates used for LCD (Liquid Crystal Display), FPD (Flat Panel Display), etc., photomasks, CDs A board | substrate, a printed circuit board, etc. may be sufficient.

また、本発明の目的は、上述した実施の形態の機能を実現するソフトウェアのプログラムコードを記憶した記憶媒体を、システム或いは装置に供給し、そのシステム或いは装置のコンピュータ(またはCPUやMPU等)が記憶媒体に格納されたプログラムコードを読み出し実行することによっても達成される。   Another object of the present invention is to supply a storage medium storing software program codes for realizing the functions of the above-described embodiments to a system or apparatus, and a computer (or CPU, MPU, etc.) of the system or apparatus. It is also achieved by reading and executing the program code stored in the storage medium.

この場合、記憶媒体から読み出されたプログラムコード自体が上述した実施の形態の機能を実現することになり、そのプログラムコード及び該プログラムコードを記憶した記憶媒体は本発明を構成することになる。   In this case, the program code itself read from the storage medium realizes the functions of the above-described embodiment, and the program code and the storage medium storing the program code constitute the present invention.

また、プログラムコードを供給するための記憶媒体としては、例えば、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD−ROM、DVD−RAM、DVD−RW、DVD+RW等の光ディスク、磁気テープ、不揮発性のメモリカード、ROM等を用いることができる。または、プログラムコードをネットワークを介してダウンロードしてもよい。   Examples of the storage medium for supplying the program code include a floppy (registered trademark) disk, a hard disk, a magneto-optical disk, a CD-ROM, a CD-R, a CD-RW, a DVD-ROM, a DVD-RAM, and a DVD. An optical disc such as RW or DVD + RW, a magnetic tape, a nonvolatile memory card, a ROM, or the like can be used. Alternatively, the program code may be downloaded via a network.

また、コンピュータが読み出したプログラムコードを実行することにより、上述した実施の形態の機能が実現されるだけではなく、そのプログラムコードの指示に基づき、コンピュータ上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部または全部を行い、その処理によって上述した実施の形態の機能が実現される場合も含まれる。   Further, by executing the program code read by the computer, not only the functions of the above-described embodiments are realized, but also an OS (operating system) running on the computer based on the instruction of the program code, etc. Includes a case where part or all of the actual processing is performed and the functions of the above-described embodiments are realized by the processing.

さらに、記憶媒体から読み出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムコードの指示に基づき、その拡張機能を拡張ボードや拡張ユニットに備わるCPU等が実際の処理の一部または全部を行い、その処理によって上述した実施の形態の機能が実現される場合も含まれる。   Furthermore, after the program code read from the storage medium is written to a memory provided in a function expansion board inserted into the computer or a function expansion unit connected to the computer, the expanded function is based on the instruction of the program code. This includes a case where a CPU or the like provided on the expansion board or the expansion unit performs part or all of the actual processing and the functions of the above-described embodiments are realized by the processing.

本実施の形態に係る基板処理システムの構成を概略的に示す平面図である。It is a top view which shows schematically the structure of the substrate processing system which concerns on this Embodiment. 図1におけるプリンティングモジュールの構成を概略的に示す断面図である。It is sectional drawing which shows the structure of the printing module in FIG. 1 roughly. 図1におけるプロセスモジュールの構成を概略的に示す断面図である。It is sectional drawing which shows the structure of the process module in FIG. 1 roughly. 図1におけるクリーニングモジュールの構成を概略的に示す断面図である。It is sectional drawing which shows the structure of the cleaning module in FIG. 1 roughly. ウエハWの裏面から保護膜を除去するクリーニングモジュールの変形例の構成を概略的に示す断面図である。7 is a cross-sectional view schematically showing a configuration of a modified example of the cleaning module for removing the protective film from the back surface of the wafer W. FIG.

符号の説明Explanation of symbols

W ウエハ
S 処理空間
10 基板処理システム
11 トランスファモジュール
12,13,14,15 プロセスモジュール
16 ローダーモジュール
34 プリンティングモジュール
35 クリーニングモジュール
40 スクリーン印刷ユニット
48 保護膜
52 サセプタ
85 噴出孔
92 ベルヌーイチャックユニット
W Wafer S Processing space 10 Substrate processing system 11 Transfer module 12, 13, 14, 15 Process module 16 Loader module 34 Printing module 35 Cleaning module 40 Screen printing unit 48 Protective film 52 Susceptor 85 Ejection hole 92 Bernoulli chuck unit

Claims (18)

基板にプラズマエッチング処理を施すエッチング装置と、該エッチング装置が接続された真空系基板搬送装置と、該真空系基板搬送装置に接続された大気系基板搬送装置とを備え、前記エッチング装置は前記基板を静電吸着する載置台を有し、該載置台は前記基板の裏面と接触する基板処理システムにおいて、
前記大気系基板搬送装置に接続され、且つ前記プラズマエッチング処理が施される前の前記基板の裏面に保護膜を印刷する保護膜印刷装置と、
前記大気系基板搬送装置に接続され、且つ前記プラズマエッチング処理が施された後の前記基板の裏面から前記保護膜を除去する保護膜除去装置とを備えることを特徴とする基板処理システム。
An etching apparatus that performs plasma etching processing on a substrate, a vacuum substrate transport apparatus connected to the etching apparatus, and an atmospheric substrate transport apparatus connected to the vacuum substrate transport apparatus, the etching apparatus including the substrate In the substrate processing system in which the mounting table contacts the back surface of the substrate,
A protective film printing apparatus which is connected to the atmospheric substrate transport apparatus and prints a protective film on the back surface of the substrate before being subjected to the plasma etching process;
A substrate processing system, comprising: a protective film removing device connected to the atmospheric substrate transfer device and removing the protective film from the back surface of the substrate after the plasma etching process is performed.
前記保護膜印刷装置はスクリーン印刷処理によって前記保護膜の印刷を行うことを特徴とする請求項1記載の基板処理システム。   The substrate processing system according to claim 1, wherein the protective film printing apparatus performs printing of the protective film by a screen printing process. 前記保護膜印刷装置は所定膜の貼着処理によって前記保護膜の印刷を行うことを特徴とする請求項1記載の基板処理システム。   The substrate processing system according to claim 1, wherein the protective film printing apparatus prints the protective film by a predetermined film sticking process. 前記保護膜除去装置は常圧プラズマアッシング処理によって前記保護膜の除去を行うことを特徴とする請求項1乃至3のいずれか1項に記載の基板処理システム。   The substrate processing system according to claim 1, wherein the protective film removing device removes the protective film by an atmospheric pressure plasma ashing process. 前記保護膜除去装置は過熱水蒸気噴出処理によって前記保護膜の除去を行うことを特徴とする請求項1乃至3のいずれか1項に記載の基板処理システム。   The substrate processing system according to claim 1, wherein the protective film removing apparatus removes the protective film by superheated steam ejection processing. 前記保護膜は樹脂からなることを特徴とする請求項1乃至5のいずれか1項に記載の基板処理システム。   The substrate processing system according to claim 1, wherein the protective film is made of a resin. 前記保護膜はシリカ、無弗素芳香族炭化水素の有機ポリマー、ポリイミド、及びレジストのいずれか1つからなることを特徴とする請求項1乃至5のいずれか1項に記載の基板処理システム。   The substrate processing system according to claim 1, wherein the protective film is made of any one of silica, a fluorine-free aromatic hydrocarbon organic polymer, polyimide, and a resist. 前記載置台は上部において前記載置台の基材が露出することを特徴とする請求項1乃至7のいずれか1項に記載の基板処理システム。   The substrate processing system according to claim 1, wherein a base material of the mounting table is exposed at an upper portion of the mounting table. 前記保護膜除去装置は前記基板と接触することなく前記基板の保持を行うことを特徴とする請求項1乃至8のいずれか1項に記載の基板処理システム。   The substrate processing system according to claim 1, wherein the protective film removing apparatus holds the substrate without coming into contact with the substrate. 基板にプラズマエッチング処理を施すエッチング装置を少なくとも備え、前記エッチング装置は前記基板を静電吸着する載置台を有し、該載置台は前記基板の裏面と接触する基板処理システムにおける基板処理方法であって、
前記基板の裏面に保護膜を印刷する印刷ステップと、
前記基板の表面に前記プラズマエッチング処理を施すエッチングステップと、
前記保護膜を除去する除去ステップとを有することを特徴とする基板処理方法。
A substrate processing method in a substrate processing system comprising at least an etching apparatus for performing plasma etching processing on a substrate, the etching apparatus having a mounting table for electrostatically attracting the substrate, wherein the mounting table is in contact with a back surface of the substrate. And
A printing step of printing a protective film on the back surface of the substrate;
An etching step of performing the plasma etching process on the surface of the substrate;
And a removing step for removing the protective film.
前記印刷ステップではスクリーン印刷処理によって前記保護膜の印刷を行うことを特徴とする請求項10記載の基板処理方法。   The substrate processing method according to claim 10, wherein the protective film is printed by screen printing in the printing step. 前記印刷ステップでは所定膜の貼着処理によって前記保護膜の印刷を行うことを特徴とする請求項10記載の基板処理方法。   The substrate processing method according to claim 10, wherein the protective film is printed by a predetermined film sticking process in the printing step. 前記除去ステップでは常圧プラズマアッシング処理によって前記保護膜の除去を行うことを特徴とする請求項10乃至12のいずれか1項に記載の基板処理方法。   The substrate processing method according to claim 10, wherein the protective film is removed by atmospheric pressure plasma ashing in the removing step. 前記除去ステップでは過熱水蒸気噴出処理によって前記保護膜の除去を行うことを特徴とする請求項10乃至12のいずれか1項に記載の基板処理方法。   The substrate processing method according to any one of claims 10 to 12, wherein the protective film is removed by superheated steam ejection processing in the removing step. 前記保護膜は樹脂からなることを特徴とする請求項10乃至14のいずれか1項に記載の基板処理方法。   The substrate processing method according to claim 10, wherein the protective film is made of a resin. 前記保護膜はシリカ、無弗素芳香族炭化水素の有機ポリマー、ポリイミド、及びレジストのいずれか1つからなることを特徴とする請求項10乃至14のいずれか1項に記載の基板処理方法。   15. The substrate processing method according to claim 10, wherein the protective film is made of any one of silica, a fluorine-free aromatic hydrocarbon organic polymer, polyimide, and a resist. 前記除去ステップでは前記基板と接触することなく前記基板の保持を行うことを特徴とする請求項10乃至16のいずれか1項に記載の基板処理方法。   The substrate processing method according to claim 10, wherein the substrate is held without contacting the substrate in the removing step. 基板にプラズマエッチング処理を施すエッチング装置を少なくとも備え、前記エッチング装置は前記基板を静電吸着する載置台を有し、該載置台は前記基板の裏面と接触する基板処理システムにおける基板処理方法をコンピュータに実行させるプログラムを格納するコンピュータ読み取り可能な記憶媒体であって、前記プログラムは、
前記基板の裏面に保護膜を印刷する印刷モジュールと、
前記基板の表面に前記プラズマエッチング処理を施すエッチングモジュールと、
前記保護膜を除去する除去モジュールとを有することを特徴とする記憶媒体。
A substrate processing method in a substrate processing system comprising at least an etching apparatus for performing plasma etching processing on a substrate, the etching apparatus having a mounting table for electrostatically attracting the substrate, and contacting the back surface of the substrate. A computer-readable storage medium storing a program to be executed by the computer,
A printing module for printing a protective film on the back surface of the substrate;
An etching module for performing the plasma etching process on the surface of the substrate;
And a removal module for removing the protective film.
JP2006341282A 2006-12-19 2006-12-19 Substrate processing system, substrate processing method, and storage medium Pending JP2008153510A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006341282A JP2008153510A (en) 2006-12-19 2006-12-19 Substrate processing system, substrate processing method, and storage medium
US11/950,832 US20080141509A1 (en) 2006-12-19 2007-12-05 Substrate processing system, substrate processing method, and storage medium
US13/214,881 US20110303642A1 (en) 2006-12-19 2011-08-22 Substrate processing system, substrate processing method, and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006341282A JP2008153510A (en) 2006-12-19 2006-12-19 Substrate processing system, substrate processing method, and storage medium

Publications (1)

Publication Number Publication Date
JP2008153510A true JP2008153510A (en) 2008-07-03

Family

ID=39655352

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006341282A Pending JP2008153510A (en) 2006-12-19 2006-12-19 Substrate processing system, substrate processing method, and storage medium

Country Status (1)

Country Link
JP (1) JP2008153510A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012109394A (en) * 2010-11-17 2012-06-07 Disco Abrasive Syst Ltd Protective film peeling method and protective film peeling device
JP2012230997A (en) * 2011-04-26 2012-11-22 Panasonic Corp Etching method of substrate
WO2014082033A1 (en) * 2012-11-26 2014-05-30 Applied Materials, Inc. Apparatus and methods for backside passivation

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012109394A (en) * 2010-11-17 2012-06-07 Disco Abrasive Syst Ltd Protective film peeling method and protective film peeling device
JP2012230997A (en) * 2011-04-26 2012-11-22 Panasonic Corp Etching method of substrate
WO2014082033A1 (en) * 2012-11-26 2014-05-30 Applied Materials, Inc. Apparatus and methods for backside passivation
US10020187B2 (en) 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
US10535513B2 (en) 2012-11-26 2020-01-14 Applied Materials, Inc. Apparatus and methods for backside passivation

Similar Documents

Publication Publication Date Title
US10115614B2 (en) Transfer chamber and method for preventing adhesion of particle
KR100867458B1 (en) Substrate processing system
US8043659B2 (en) Substrate processing apparatus and substrate processing method
US7654010B2 (en) Substrate processing system, substrate processing method, and storage medium
KR20070098674A (en) Substrate transferring apparatus, substrate processing apparatus, and substrate processing method
JP6945314B2 (en) Board processing equipment
JP5461759B2 (en) Plasma processing apparatus, plasma processing method, and storage medium
US8129285B2 (en) Substrate processing system
JP4754990B2 (en) Substrate processing system, substrate processing method, and storage medium
US20110303642A1 (en) Substrate processing system, substrate processing method, and storage medium
JP4771845B2 (en) Substrate processing method and storage medium
JP4783094B2 (en) Annular parts for plasma processing, plasma processing apparatus, and outer annular member
WO2013058129A1 (en) Separation device, separation system and separation method
JP4518712B2 (en) Tray-type multi-chamber substrate processing equipment
JP2008153510A (en) Substrate processing system, substrate processing method, and storage medium
US7985699B2 (en) Substrate processing method and storage medium
JP4928832B2 (en) Etching method and computer-readable recording medium
JP5410794B2 (en) Substrate processing equipment
US7608544B2 (en) Etching method and storage medium
JP4646941B2 (en) Substrate processing apparatus and method for stabilizing state in processing chamber
JP4616605B2 (en) Plasma processing method, plasma processing apparatus, and storage medium
JP2010161157A (en) Substrate storing method and storage medium
US11969879B2 (en) Substrate accommodating device and processing system
US20220274260A1 (en) Substrate accommodating device and processing system
JP2006100368A (en) Apparatus and method for substrate treatment